Sample records for ta-c films deposited

  1. Nanodiamond embedded ta-C composite film by pulsed filtered vacuum arc deposition from a single target

    NASA Astrophysics Data System (ADS)

    Iyer, Ajai; Etula, Jarkko; Ge, Yanling; Liu, Xuwen; Koskinen, Jari

    2016-11-01

    Detonation Nanodiamonds (DNDs) are known to have sp3 core, sp2 shell, small size (few nm) and are gaining importance as multi-functional nanoparticles. Diverse methods have been used to form composites, containing detonation nanodiamonds (DNDs) embedded in conductive and dielectric matrices for various applications. Here we show a method, wherein DND-ta-C composite film, consisting of DNDs embedded in ta-C matrix have been co-deposited from the same cathode by pulsed filtered cathodic vacuum arc method. Transmission Electron Microscope analysis of these films revel the presence of DNDs embedded in the matrix of amorphous carbon. Raman spectroscopy indicates that the presence of DNDs does not adversely affect the sp3 content of DND-ta-C composite film compared to ta-C film of same thickness. Nanoindentation and nanowear tests indicate that DND-ta-C composite films possess improved mechanical properties in comparison to ta-C films of similar thickness.

  2. Variable substrate temperature deposition of CoFeB film on Ta for manipulating the perpendicular coercive forces

    NASA Astrophysics Data System (ADS)

    Lakshmanan, Saravanan; Rao, Subha Krishna; Muthuvel, Manivel Raja; Chandrasekaran, Gopalakrishnan; Therese, Helen Annal

    2017-08-01

    Magnetization of Ta/CoFeB/Ta trilayer films with thick layer of CoFeB deposited under different substrate temperatures (Ts) via ultra-high vacuum DC sputtering technique has been measured with the applied magnetic field parallel and perpendicular to the plane of the film respectively to study the perpendicular coercive forces of the film. The samples were further analyzed for its structural, topological, morphological, and electrical transport properties. The core chemical states for the elements present in the CoFeB thin film were analyzed by XPS studies. Magnetization studies reveal the existence of perpendicular coercive forces in CoFeB films deposited only at certain temperatures such as RT, 450 °C, 475 °C and 500 °C. CoFeB film deposited at 475 °C exhibited a maximum coercivity of 315 Oe and a very low saturation magnetization (Ms) of 169 emu/cc in perpendicular direction. This pronounced effect in perpendicular coercive forces observed for CoFeB475 could be attributed to the effect of temperature in enhancing the crystallization of the film at the Ta/CoFeB interfaces. However at temperatures higher than 475 °C the destruction of the Ta/CoFeB interface due to intermixing of Ta and CoFeB results in the disappearance of magnetic anisotropy.

  3. Nanostructured Ti-Ta thin films synthesized by combinatorial glancing angle sputter deposition

    NASA Astrophysics Data System (ADS)

    Motemani, Yahya; Khare, Chinmay; Savan, Alan; Hans, Michael; Paulsen, Alexander; Frenzel, Jan; Somsen, Christoph; Mücklich, Frank; Eggeler, Gunther; Ludwig, Alfred

    2016-12-01

    Ti-Ta alloys are attractive materials for applications in actuators as well as biomedical implants. When fabricated as thin films, these alloys can potentially be employed as microactuators, components for micro-implantable devices and coatings on surgical implants. In this study, Ti100-x Ta x (x = 21, 30) nanocolumnar thin films are fabricated by glancing angle deposition (GLAD) at room temperature using Ti73Ta27 and Ta sputter targets. Crystal structure, morphology and microstructure of the nanostructured thin films are systematically investigated by XRD, SEM and TEM, respectively. Nanocolumns of ˜150-160 nm in width are oriented perpendicular to the substrate for both Ti79Ta21 and Ti70Ta30 compositions. The disordered α″ martensite phase with orthorhombic structure is formed in room temperature as-deposited thin films. The columns are found to be elongated small single crystals which are aligned perpendicular to the (20\\bar{4}) and (204) planes of α″ martensite, indicating that the films’ growth orientation is mainly dominated by these crystallographic planes. Laser pre-patterned substrates are utilized to obtain periodic nanocolumnar arrays. The differences in seed pattern, and inter-seed distances lead to growth of multi-level porous nanostructures. Using a unique sputter deposition geometry consisting of Ti73Ta27 and Ta sputter sources, a nanocolumnar Ti-Ta materials library was fabricated on a static substrate by a co-deposition process (combinatorial-GLAD approach). In this library, a composition spread developed between Ti72.8Ta27.2 and Ti64.4Ta35.6, as confirmed by high-throughput EDX analysis. The morphology over the materials library varies from well-isolated nanocolumns to fan-like nanocolumnar structures. The influence of two sputter sources is investigated by studying the resulting column angle on the materials library. The presented nanostructuring methods including the use of the GLAD technique along with pre-patterning and a

  4. Low emissivity Ag/Ta/glass multilayer thin films deposited by sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Sun Ho; Lee, Kee Sun; Green Home Energy Technology Center, Cheonan City

    Ta is deposited on a glass substrate as an interlayer for the two-dimensional growth of Ag thin films because Ta has good thermal stability and can induce a negative surface-energy change in Ag/glass. From the transmission electron microscopy results, we concluded that the Ag crystals in the bottom layer (seemingly on Ag/Ta) were flattened; this was rarely observed in the three-dimensional growth mode. Comparing Ag/Ta/glass with Ag/glass, we found that the Ta interlayer was effective in reducing both the resistance and the emissivity, accompanied by the relatively high transmittance in the visible region. In particular, Ag(9 nm)/Ta(1 nm)/glass film showedmore » 0.08 of the emissivity, including {approx}61% of the transmittance in the visible region (wavelength: 550 nm).« less

  5. Synthesis of Ultrathin ta-C Films by Twist-Filtered Cathodic Arc Carbon Plasmas

    DTIC Science & Technology

    2001-04-01

    system. Ultrathin tetrahedral amorphous carbon (ta-C) films have been deposited on 6 inch wafers. Film properties have been investigated with respect to...Diamondlike films are characterized by an outstanding combination of advantageous properties : they can be very hard, tough, super-smooth, chemically...5 nm) hard carbon films are being used as protective overcoats on hard disks and read-write heads. The tribological properties of the head-disk

  6. In Situ Ramp Anneal X-ray Diffraction Study of Atomic Layer Deposited Ultrathin TaN and Ta 1-x Al x N y Films for Cu Diffusion Barrier Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consiglio, S.; Dey, S.; Yu, K.

    2016-01-01

    Ultrathin TaN and Ta 1-xAl xN y films with x = 0.21 to 0.88 were deposited by atomic layer deposition (ALD) and evaluated for Cu diffusion barrier effectiveness compared to physical vapor deposition (PVD) grown TaN. Cu diffusion barrier effectiveness was investigated using in-situ ramp anneal synchrotron X-ray diffraction (XRD) on Cu/1.8 nm barrier/Si stacks. A Kissinger-like analysis was used to assess the kinetics of Cu 3Si formation and determine the effective activation energy (E a) for Cu silicidation. Compared to the stack with a PVD TaN barrier, the stacks with the ALD films exhibited a higher crystallization temperature (Tmore » c) for Cu silicidation. The Ea values of Cu 3Si formation for stacks with the ALD films were close to the reported value for grain boundary diffusion of Cu whereas the Ea of Cu 3Si formation for the stack with PVD TaN is closer to the reported value for lattice diffusion. For 3 nm films, grazing incidence in-plane XRD showed evidence of nanocrystallites in an amorphous matrix with broad peaks corresponding to high density cubic phase for the ALD grown films and lower density hexagonal phase for the PVD grown film further elucidating the difference in initial failure mechanisms due to differences in barrier crystallinity and associated phase.« less

  7. Photoluminescence of Ta2O5 films formed by the molecular layer deposition method

    NASA Astrophysics Data System (ADS)

    Baraban, A. P.; Dmitriev, V. A.; Prokof'ev, V. A.; Drozd, V. E.; Filatova, E. O.

    2016-04-01

    Ta2O5 films of different thicknesses (20-100 nm) synthesized by the molecular layer deposition method on p-type silicon substrates and thermally oxidized silicon substrates have been studied by the methods of high-frequency capacitance-voltage characteristics and photoluminescence. A hole-conduction channel is found to form in the Si-Ta2O5-field electrode system. A model of the electronic structure of Ta2O5 films is proposed based on an analysis of the measured PL spectra and performed electrical investigations.

  8. High-rate deposition of LiNb 1- xTa xO 3 films by thermal plasma spray CVD

    NASA Astrophysics Data System (ADS)

    Majima, T.; Yamamoto, H.; Kulinich, S. A.; Terashima, K.

    2000-12-01

    LiNb 1- xTa xO 3 films were prepared by a thermal plasma spray CVD method using liquid source materials. Preferentially (0 0 1)-oriented LiNb 1- xTa xO 3 films with satisfactory in-plane and out-of-plane alignment were fabricated on sapphire (0 0 1) substrates. The full-width at half-maximum (FWHM) of the (0 0 6) rocking curve could achieve 0.12°, which was comparable with those of LiNbO 3 and LiTaO 3 films prepared by other conventional vapor-phase deposition methods. The deposition rate was up to 0.07 μm/min, which was 5-40 times faster than those for most other conventional vapor-phase deposition methods. From inductively coupled plasma atomic emission spectroscopy analysis, x values of these films were estimated to be 0.36-0.49.

  9. Atomic layer deposition of (K,Na)(Nb,Ta)O{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sønsteby, Henrik Hovde, E-mail: henrik.sonsteby@kjemi.iuio.no; Nilsen, Ola; Fjellvåg, Helmer

    2016-07-15

    Thin films of complex alkali oxides are frequently investigated due to the large range of electric effects that are found in this class of materials. Their piezo- and ferroelectric properties also place them as sustainable lead free alternatives in optoelectronic devices. Fully gas-based routes for deposition of such compounds are required for integration into microelectronic devices that need conformal thin films with high control of thickness- and composition. The authors here present a route for deposition of materials in the (K,Na)(Nb,Ta)O{sub 3}-system, including the four end members NaNbO{sub 3}, KNbO{sub 3}, NaTaO{sub 3}, and KTaO{sub 3}, using atomic layer depositionmore » with emphasis on control of stoichiometry in such mixed quaternary and quinary compunds.« less

  10. Tribological properties of self-lubricating Ta-Cu films

    NASA Astrophysics Data System (ADS)

    Qin, Wen; Fu, Licai; Zhu, Jiajun; Yang, Wulin; Li, Deyi; Zhou, Lingping

    2018-03-01

    In this paper, Ta and TaCu films were deposited by using magnetron sputtering, and the tribological properties of the films against Si3N4 balls were investigated under the loads of 2 N and 5 N. The average grain sizes of both films are below 25 nm. Ta and TaCu films have approximate hardness. While the wear rate of TaCu film is much smaller than that of Ta film. Post-wear testing XRD, Raman and XPS revealed the formation of tantalum oxide on the worn surface of both Ta and TaCu films. Tantalum oxidation is effectively lubricating to reduce friction coefficient. So the friction coefficient of both Ta and TaCu film is about 0.45 under different applied loads. Meanwhile, the addition of Cu could increase the toughness of the film, and avoid the generation of wear debris, resulting in a significant increase in wear resistance.

  11. Nucleation of fcc Ta when heating thin films

    DOE PAGES

    Janish, Matthew T.; Mook, William M.; Carter, C. Barry

    2014-10-25

    Thin tantalum films have been studied during in-situ heating in a transmission electron microscope. Diffraction patterns from the as-deposited films were typical of amorphous materials. Crystalline grains were observed to form when the specimen was annealed in-situ at 450°C. Particular attention was addressed to the formation and growth of grains with the face-centered cubic (fcc) crystal structure. As a result, these observations are discussed in relation to prior work on the formation of fcc Ta by deformation and during thin film deposition.

  12. Impurity incorporation, deposition kinetics, and microstructural evolution in sputtered Ta films

    NASA Astrophysics Data System (ADS)

    Whitacre, Jay Fredric

    There is an increasing need to control the microstructure in thin sputtered Ta films for application as high-temperature coatings or diffusion barriers in microelectronic interconnect structures. To this end, the relationship between impurity incorporation, deposition kinetics, and microstructural evolution was examined for room-temperature low growth rate DC magnetron sputtered Ta films. Impurity levels present during deposition were controlled by pumping the chamber to various base pressures before growth. Ar pressures ranging from 2 to 20 mTorr were used to create contrasting kinetic environments in the sputter gas. This affected both the distribution of adatom kinetic energies at the substrate as well as the rate of impurity desorption from the chamber walls: at higher Ar pressures adatoms has lower kinetic energies, and there was an increase in impurity concentration. X-ray diffraction, high-resolution transmission electron microscopy (HREM), transmission electron diffraction (TED), scanning electron microscopy (SEM), secondary ion mass spectrometry (SIMS), and x-ray photoelectron. spectroscopy (XPS) were used to examine film crystallography, microstructure, and composition. A novel laboratory-based in-situ x-ray diffractometer was constructed. This new set-up allowed for the direct observation of microstructural evolution during growth. Films deposited at increasingly higher Ar pressures displayed a systematic decrease in grain size and degree of texturing, while surface morphology was found to vary from a nearly flat surface to a rough surface with several length scales of organization. In-situ x-ray results showed that the rate of texture evolution was found to be much higher in films grown using lower Ar pressures. These effects were studied in films less than 200 A thick using high resolution x-ray diffraction in conjunction with a synchrotron light source (SSRL B.L. 7-2). Films grown using higher Ar pressures (above 10 mTorr) with a pre-growth base

  13. Growth of <111>-oriented Cu layer on thin TaWN films

    NASA Astrophysics Data System (ADS)

    Takeyama, Mayumi B.; Sato, Masaru

    2017-07-01

    In this study, we examine the growth of a <111>-oriented Cu layer on a thin TaWN ternary alloy barrier for good electromigration reliability. The strongly preferentially oriented Cu(111) layer is observed on a thin TaWN barrier even in the as-deposited Cu (100 nm)/TaWN (5 nm)/Si system. Also, this system tolerates annealing at 700 °C for 1 h without silicide reaction. It is revealed that the TaWN film is one of the excellent barriers with thermal stability and low resistivity. Simultaneously, the TaWN film is a candidate for a superior underlying material to achieve the Cu(111) preferential orientation.

  14. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    NASA Astrophysics Data System (ADS)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-09-01

    An effective method for determining the optical constants of Ta2O5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta2O5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta2O5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta2O5. This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices.

  15. Thickness-dependent enhancement of damping in C o2FeAl /β -Ta thin films

    NASA Astrophysics Data System (ADS)

    Akansel, Serkan; Kumar, Ankit; Behera, Nilamani; Husain, Sajid; Brucas, Rimantas; Chaudhary, Sujeet; Svedlindh, Peter

    2018-04-01

    In the present work C o2FeAl (CFA) thin films were deposited by ion beam sputtering on Si (100) substrates at the optimized deposition temperature of 300 °C. A series of CFA films with different thicknesses (tCFA), 8, 10, 12, 14, 16, 18, and 20 nm, were prepared and all samples were capped with a 5-nm-thick β-Ta layer. The thickness-dependent static and dynamic properties of the films were studied by SQUID magnetometry, in-plane as well as out-of-plane broadband vector network analyzer-ferromagnetic resonance (FMR) measurements, and angle-dependent cavity FMR measurements. The saturation magnetization and the coercive field were found to be weakly thickness dependent and lie in the range 900-950 kA/m and 0.53-0.87 kA/m, respectively. The effective damping parameter (αeff) extracted from in-plane and out-of-plane FMR results reveals a 1/tCFA dependence, the values for the in-plane αeff being larger due to two-magnon scattering (TMS). The origin of the αeff thickness dependence is spin pumping into the nonmagnetic β-Ta layer and in the case of the in-plane αeff, also a thickness-dependent TMS contribution. From the out-of-plane FMR results, it was possible to disentangle the different contributions to αeff and to the extract values for the intrinsic Gilbert damping (αG) and the effective spin-mixing conductance (geff↑↓) of the CFA/ β-Ta interface, yielding αG=(1.1 ±0.2 ) ×10-3 and geff↑↓=(2.90 ±0.10 ) ×1019m-2 .

  16. Tribological Behaviour of Ti:Ta-DLC Films Under Different Tribo-Test Conditions

    NASA Astrophysics Data System (ADS)

    Efeoglu, İhsan; Keleş, Ayşenur; Totik, Yaşar; Çiçek, Hikmet; Emine Süküroglu, Ebru

    2018-01-01

    Diamond-like carbon (DLC) films are suitable applicants for cutting tools due to their high hardness, low friction coefficient and wear rate. Doping metals in DLC films have been improved its tribological properties. In this study, titanium and tantalum doped hydrogenated DLC films were deposited by closed-field unbalanced magnetron sputtering system onto M2 high speed steels in Ar/N2/C2H2 atmosphere. The friction and wear properties of Ti:Ta-DLC film were investigated under different tribo-test conditions including in atmospheric pressure, distilled water, commercial oil and Ar atmosphere. The coated specimens were characterized by SEM and X-ray diffraction techniques. The bonding state of C-C (sp3) and C=C (sp2) were obtained with XPS. The tribological properties of Ti:Ta-DLC were investigated with pin-on-disc wear test. Hardness measurements performed by micro-indentation. Our results suggest that Ti:Ta-doped DLC film shows very dense columnar microstructure, high hardness (38.2 GPa) with low CoF (µ≈0.02) and high wear resistance (0.5E-6 mm3/Nm).

  17. Structural and electrical properties of atomic layer deposited Al-doped ZrO2 films and of the interface with TaN electrode

    NASA Astrophysics Data System (ADS)

    Spiga, S.; Rao, R.; Lamagna, L.; Wiemer, C.; Congedo, G.; Lamperti, A.; Molle, A.; Fanciulli, M.; Palma, F.; Irrera, F.

    2012-07-01

    Al-doped ZrO2 (Al-ZrO2) films deposited by atomic layer deposition onto silicon substrates and the interface with the TaN metal gate are investigated. In particular, structural properties of as-grown and annealed films in the 6-26 nm thickness range, as well as leakage and capacitive behavior of metal-oxide-semiconductor stacks are characterized. As-deposited Al-ZrO2 films in the mentioned thickness range are amorphous and crystallize in the ZrO2 cubic phase after thermal treatment at 900 °C. Correspondingly, the dielectric constant (k) value increases from 20 ± 1 to 27 ± 2. The Al-ZrO2 layers exhibit uniform composition through the film thickness and are thermally stable on Si, whereas chemical reactions take place at the TaN/Al-ZrO2 interface. A transient capacitance technique is adopted for monitoring charge trapping and flat band instability at short and long time scales. The role of traps nearby the TaN/Al-ZrO2 interface is discussed and compared with other metal/high-k oxide films. Further, analytical modeling of the flat band voltage shift with a power-law dependence on time allows extracting features of bulk traps close to the silicon/oxide interface, which exhibit energy levels in the 1.4-1.9 eV range above the valence band of the Al-ZrO2.

  18. Formation and characterization of Ta2O5/TaOx films formed by O ion implantation

    NASA Astrophysics Data System (ADS)

    Ruffell, S.; Kurunczi, P.; England, J.; Erokhin, Y.; Hautala, J.; Elliman, R. G.

    2013-07-01

    Ta2O5/TaOx (oxide/suboxide) heterostructures are fabricated by high fluence O ion-implantation into deposited Ta films. The resultant films are characterized by depth profiling X-ray photoelectron spectroscopy (XPS), cross-sectional transmission electron microscopy (XTEM), four-point probe, and current-voltage and capacitance-voltage measurements. The measurements show that Ta2O5/TaOx oxide/suboxide heterostructures can be fabricated with the relative thicknesses of the layers controlled by implantation energy and fluence. Electrical measurements show that this approach has promise for high volume manufacturing of resistive switching memory devices based on oxide/suboxide heterostructures.

  19. Fabrication of (K0.5Na0.5)(Nb0.7Ta0.3)O3 thick films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Vineetha, P.; Saravanan, K. Venkata

    2018-05-01

    (K0.5Na0.5)(Nb0.7Ta0.3)O3 (KNNT) thick films were prepared by electrophoretic deposition method on copper plates (substrates). Prior to the deposition, stable suspensions of KNNT powder were prepared in isopropyl alcohol medium with and without adding triethanolamine (TEA) as dispersant. The optical transmittance spectra with time for both the suspensions were recorded and compared. Suspensions with dispersant has shown low transmittance, which indicate that the particles were dispersed very well in isopropyl alcohol. Fourier Transform Infrared (FTIR) spectroscopy was used to analyze the adsorption of TEA on KNNT particles. Suspension with dispersant was used for electrophoretic deposition. The depositions were carried out at various d.c voltages, keeping the deposition duration and inter electrode distance constant. X-Ray diffraction was used for the phase analysis of the films.

  20. Native oxide transport and removal during the atomic layer deposition of Ta{sub 2}O{sub 5} on InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Henegar, Alex J.; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    Atomic layer deposition (ALD) was used to deposit Ta{sub 2}O{sub 5} on etched and native oxide-covered InAs(100) using pentakis dimethyl amino tantalum and H{sub 2}O at 200–300 °C. The transport and removal of the native oxides during the ALD process was investigated using x-ray photoelectron spectroscopy (XPS). Depositions above 200 °C on etched surfaces protected the interface from reoxidation. On native oxide-covered surfaces, depositions resulted in enhanced native oxide removal at higher temperatures. The arsenic oxides were completely removed above 250 °C after 3 nm of film growth, but some of the As{sub 2}O{sub 3} remained in the film at lower temperatures. Angle-resolved andmore » sputter depth profiling XPS confirmed indium and arsenic oxide migration into the Ta{sub 2}O{sub 5} film at deposition temperatures as low as 200 °C. Continuous removal of both arsenic and indium oxides was confirmed even after the deposition of several monolayers of a coalesced Ta{sub 2}O{sub 5} film, and it was demonstrated that native oxide transport is a prevalent component of the interface “clean-up” mechanism.« less

  1. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Young Mi; Jung, Min-Sang; Choi, Duck-Kyun, E-mail: duck@hanyang.ac.kr, E-mail: mcjung@oist.jp

    2015-08-15

    Using scanning electron microscopy (SEM) and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiO{sub x}-capped Si, and SiO{sub 2}-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  2. Impact of laser power density on tribological properties of Pulsed Laser Deposited DLC films

    NASA Astrophysics Data System (ADS)

    Gayathri, S.; Kumar, N.; Krishnan, R.; AmirthaPandian, S.; Ravindran, T. R.; Dash, S.; Tyagi, A. K.; Sridharan, M.

    2013-12-01

    Fabrication of wear resistant and low friction carbon films on the engineered substrates is considered as a challenging task for expanding the applications of diamond-like carbon (DLC) films. In this paper, pulsed laser deposition (PLD) technique is used to deposit DLC films on two different types of technologically important class of substrates such as silicon and AISI 304 stainless steel. Laser power density is one of the important parameter used to tailor the fraction of sp2 bonded amorphous carbon (a-C) and tetrahedral amorphous carbon (ta-C) made by sp3 domain in the DLC film. The I(D)/I(G) ratio decreases with the increasing laser power density which is associated with decrease in fraction of a-C/ta-C ratio. The fraction of these chemical components is quantitatively analyzed by EELS which is well supported to the data obtained from the Raman spectroscopy. Tribological properties of the DLC are associated with chemical structure of the film. However, the super low value of friction coefficient 0.003 is obtained when the film is predominantly constituted by a-C and sp2 fraction which is embedded within the clusters of ta-C. Such a particular film with super low friction coefficient is measured while it was deposited on steel at low laser power density of 2 GW/cm2. The super low friction mechanism is explained by low sliding resistance of a-C/sp2 and ta-C clusters. Combination of excellent physical and mechanical properties of wear resistance and super low friction coefficient of DLC films is desirable for engineering applications. Moreover, the high friction coefficient of DLC films deposited at 9GW/cm2 is related to widening of the intergrain distance caused by transformation from sp2 to sp3 hybridized structure.

  3. Electrical and thermal properties of Cu-Ta films prepared by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Qin, Wen; Fu, Licai; Zhu, Jiajun; Yang, Wulin; Sang, Jianquan; Li, Deyi; Zhou, Lingping

    2018-06-01

    The microstructure, electrical resistivity and thermal conductivity of the sputtering deposited Cu-Ta films were investigated as a function of Ta content. The results showed that the amorphous phase formed between 20 at.% and 60 at.% Ta, and out of this range α-Cu(Ta) and β-Ta(Cu) solid solutions formed. Because the lattice distortion and β-Ta structure could significantly increase the probability of electron scattering, the electrical resistivity of the Cu-Ta films shows a 'N' type change with the increase of Ta content, and the inflection point appears at 50 at.% Ta and 60 at.% Ta respectively. As the thermal conductance is also dominated by electrons in metals films, an opposite variation tendency is found in the thermal conductivity of the Cu-Ta films. According to our knowledge, this is the first time to measure the thermal conductivity of Cu-Ta thin films.

  4. Dependence of electrical and optical properties of amorphous SiC:H thin films grown by rf plasma enhanced chemical vapor deposition on annealing temperature

    NASA Astrophysics Data System (ADS)

    Park, M. G.; Choi, W. S.; Hong, B.; Kim, Y. T.; Yoon, D. H.

    2002-05-01

    In this article, we investigated the dependence of optical and electrical properties of hydrogenated amorphous silicon carbide (a-SiC:H) films on annealing temperature (Ta) and radio frequency (rf) power. The substrate temperature (Ts) was 250 °C, the rf power was varied from 30 to 400 W, and the range of Ta was from 400 to 600 °C. The a-SiC:H films were deposited by using the plasma enhanced chemical vapor deposition system on Corning 7059 glasses and p-type Si (100) wafers with a SiH4+CH4 gas mixture. The experimental results have shown that the optical bandgap energy (Eg) of the a-SiC:H thin films changed little on the annealing temperature while Eg increased with the rf power. The Raman spectrum of the thin films annealed at high temperatures showed that graphitization of carbon clusters and microcrystalline silicon occurs. The current-voltage characteristics have shown good electrical properties in relation to the annealed films.

  5. Materials Study of NbN and Ta x N Thin Films for SNS Josephson Junctions

    DOE PAGES

    Missert, Nancy; Brunke, Lyle; Henry, Michael D.; ...

    2017-02-15

    We investigated properties of NbN and Ta xN thin films grown at ambient temperatures on SiO 2/Si substrates by reactive-pulsed laser deposition and reactive magnetron sputtering (MS) as a function of N 2 gas flow. Both techniques produced films with smooth surfaces, where the surface roughness did not depend on the N 2 gas flow during growth. High crystalline quality, (111) oriented NbN films with T c up to 11 K were produced by both techniques for N contents near 50%. The low temperature transport properties of the Ta xN films depended upon both the N 2 partial pressure usedmore » during growth and the film thickness. Furthermore, the root mean square surface roughness of Ta xN films grown by MS increased as the film thickness decreased down to 10 nm.« less

  6. Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods

    NASA Astrophysics Data System (ADS)

    Mishima, K.; Murakami, H.; Ohta, A.; Sahari, S. K.; Fujioka, T.; Higashi, S.; Miyazaki, S.

    2013-03-01

    Atomic layer deposition (ALD) and Layer-by-Layer deposition of Ta-oxide films on Ge(100) with using tris (tert-butoxy) (tert-butylimido) tantalum have been studied systematically. From the analysis of the chemical bonding features of the interface between TaOx and Ge(100) using x-ray photoelectron spectroscopy (XPS), Ge atom diffusion into the Ta oxide layer and resultant TaGexOy formation during deposition at temperatures higher than 200°C were confirmed. Also, we have demonstrated that nanometer-thick deposition of Tantalum oxide as an interfacial layer effectively suppresses the formation of GeOx in the HfO2 ALD on Ge. By the combination of TaOx pre-deposition on Ge(100) and subsequent ALD of HfO2, a capacitance equivalent thickness (CET) of 1.35 nm and relative dielectric constant of 23 were achieved.

  7. Electrical characteristics of thin Ta2O5 films deposited by reactive pulsed direct-current magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kim, J.-Y.; Nielsen, M. C.; Rymaszewski, E. J.; Lu, T.-M.

    2000-02-01

    Room temperature deposition of tantalum oxide films on metallized silicon substrates was investigated by reactive pulsed magnetron sputtering of Ta in an Ar/O2 ambient. The dielectric constant of the tantalum oxide ranged from 19 to 31 depending on the oxygen percentage [P(%)=PO2/(PO2+PAr)] used during sputtering. The leakage current density was less than 10 nA/cm2 at 0.5 MV/cm electric field and the dielectric breakdown field was greater than 3.8 MV/cm for P=60%. A charge storage as high as 3.3 μF/cm2 was achieved for 70-Å-thick film. Pulse frequency variation (from 20 to 200 kHz) did not give a significant effect in the electrical properties (dielectric constant or leakage current density) of the Ta2O5 films.

  8. Preparation of TiO2/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    NASA Astrophysics Data System (ADS)

    Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe

    2015-12-01

    We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.

  9. Trace Impurity Analysis in Ta Films Using Glow Discharge Mass Spectrometry: Concentration Change of Impurities by Applying Negative Substrate Bias Voltage

    NASA Astrophysics Data System (ADS)

    Lim, Jae-Won; Mimura, Kouji; Isshiki, Minoru

    2004-12-01

    Glow discharge mass spectrometry (GDMS) was used to analyze a Ta target and Ta films for trace impurities. The Ta films were deposited on Si (100) substrate at substrate bias voltages of 0 V and -125 V using a non-mass separated ion beam deposition system. Although both Ta films were contaminated by impurities during the deposition, the Ta film deposited at a substrate bias voltage of -125 V showed lower impurity content than the Ta film deposited without the substrate bias voltage, which means that applying a negative bias voltage to the substrate decreased the total concentration of impurities. Furthermore, the concentration change of individual impurities in the Ta film is related to their ionization ratio in the argon discharge plasma. Considering the effect of the ionization potential of an individual impurity on the ionization ratio, purification by applying a negative bias voltage to the substrate results from Penning ionization and an ionization mechanism proposed in this study, as well as from the difference between the kinetic energies of Ta neutral atoms and Ta+ ions accelerated toward the substrate with/without a negative substrate bias voltage.

  10. Modeling of erosion and deposition patterns on C-W and W-Ta twin limiters exposed to the TEXTOR edge plasmas

    NASA Astrophysics Data System (ADS)

    Ohya, K.; Tanabe, T.; Rubel, M.; Wada, M.; Ohgo, T.; Hirai, T.; Philipps, V.; Kirschner, A.; Pospieszczyk, A.; Huber, A.; Sergienko, G.; Brezinsek, S.; Noda, N.

    2004-08-01

    The erosion and deposition patterns on tungsten and tantalum test limiters exposed to the TEXTOR deuterium plasma containing a small amount of C impurity are simulated with the modified EDDY code. At the very top of the W and Ta limiters, there occurs neither erosion nor deposition, but the erosion proceeds slowly along the surface. When approaching the edge, the surface is covered by a thick C layer, which shows a very sharp boundary similar to the observation in surface measurements. In the erosion zone, the re-deposited carbon forms a W (Ta)-C mixed layer with small C concentration. Assumptions for chemical erosion yields of ˜0.01 for W and <0.005 for Ta fit the calculated widths of the deposition zone to the experimentally determined values. Possible reasons for the difference between W and Ta are discussed.

  11. Characterization of the Micro-Abrasive Wear in Coatings of TaC-HfC/Au for Biomedical Implants

    PubMed Central

    Guzmán, Pablo; Yate, Luis; Sandoval, Mercy; Caballero, Jose

    2017-01-01

    The object of this work was the deposition of a Ta-Hf-C thin film with a gold interlayer on stainless steel, via the physical vapor deposition (PVD) technique, in order to evaluate the properties of different systems subjected to micro-abrasive wear phenomena generated by alumina particles in Ringer's solution. The surface characterization was performed using a scanning electron microscope (SEM) and atomic force microscope (AFM). The crystallographic phases exhibited for each coating were obtained by X-ray diffraction (XRD). As a consequence of modifying the composition of Ta-Hf there was evidence of an improvement in the micro-abrasive wear resistance and, for each system, the wear constants that confirm the enhancement of the surface were calculated. Likewise, these surfaces can be bioactive, generating an alternative to improve the biological fixation of the implants, therefore, the coatings of TaC-HfC/Au contribute in the development of the new generation of orthopedic implants. PMID:28773207

  12. Mechanical Properties of TiTaHfNbZr High-Entropy Alloy Coatings Deposited on NiTi Shape Memory Alloy Substrates

    NASA Astrophysics Data System (ADS)

    Motallebzadeh, A.; Yagci, M. B.; Bedir, E.; Aksoy, C. B.; Canadinc, D.

    2018-04-01

    TiTaHfNbZr high-entropy alloy (HEA) thin films with thicknesses of about 750 and 1500 nm were deposited on NiTi substrates by RF magnetron sputtering using TiTaHfNbZr equimolar targets. The thorough experimental analysis on microstructure and mechanical properties of deposited films revealed that the TiTaHfNbZr films exhibited amorphous and cauliflower-like structure, where grain size and surface roughness increased concomitant with film thickness. More importantly, the current findings demonstrate that the TiTaHfNbZr HEA films with mechanical properties of the same order as those of the NiTi substrate constitute promising biomedical coatings effective in preventing Ni release.

  13. Mechanical Properties of TiTaHfNbZr High-Entropy Alloy Coatings Deposited on NiTi Shape Memory Alloy Substrates

    NASA Astrophysics Data System (ADS)

    Motallebzadeh, A.; Yagci, M. B.; Bedir, E.; Aksoy, C. B.; Canadinc, D.

    2018-06-01

    TiTaHfNbZr high-entropy alloy (HEA) thin films with thicknesses of about 750 and 1500 nm were deposited on NiTi substrates by RF magnetron sputtering using TiTaHfNbZr equimolar targets. The thorough experimental analysis on microstructure and mechanical properties of deposited films revealed that the TiTaHfNbZr films exhibited amorphous and cauliflower-like structure, where grain size and surface roughness increased concomitant with film thickness. More importantly, the current findings demonstrate that the TiTaHfNbZr HEA films with mechanical properties of the same order as those of the NiTi substrate constitute promising biomedical coatings effective in preventing Ni release.

  14. Influences of the residual argon gas and thermal annealing on Ta2O5 and SiO2 thin film filters

    NASA Astrophysics Data System (ADS)

    Liu, Wen-Jen; Chen, Chih-Min; Lai, Yin-Chieh

    2005-04-01

    Ion beam assisted deposition (IBAD) technique had widely used for improving stacking density and atomic mobility of thin films in many applications, especially adopted in optical film industries. Tantalum pentaoxide (Ta2O5) and silicon oxides (SiO2) optical thin films were deposited on the quartz glass substrate by using argon ion beam assisted deposition, and the influences of the residual argon gas and thermal annealing processes on the optical property, stress, compositional and microstructure evolution of the thin films were investigated in this study. Ta2O5 thin films were analyzed by XPS indicated that the ratio value of oxygen to tantalum was insufficient, at the same time, the residual argon gas in the thin films might result in film and device instabilities. Adopting oxygen-thermal annealing treatment at the temperature of 425°C, the thin films not only decreased the residual argon gas and the surface roughness, but also provided the sufficient stoichiometric ratio. Simultaneously, microstructure examination indicated few nano-crystallized structures and voids existed in Ta2O5 thin films, and possessed reasonable refractive index and lower extinction coefficient. By the way, we also suggested the IBAD system using the film compositional gas ion beam to replace the argon ion beam for assisting deposited optical films. The designed (HL)6H6LH(LH)6 multi-layers indicated higher insertion loss than the designed (HL)68H(LH)6 multi-layers. Therefore, using the high refractive index as spacer material represented lower insertion loss.

  15. Silicide formation process of Er films with Ta and TaN capping layers.

    PubMed

    Choi, Juyun; Choi, Seongheum; Kim, Jungwoo; Na, Sekwon; Lee, Hoo-Jeong; Lee, Seok-Hee; Kim, Hyoungsub

    2013-12-11

    The phase development and defect formation during the silicidation reaction of sputter-deposited Er films on Si with ∼20-nm-thick Ta and TaN capping layers were examined. TaN capping effectively prevented the oxygen incorporation from the annealing atmosphere, which resulted in complete conversion to the ErSi2-x phase. However, significant oxygen penetration through the Ta capping layer inhibited the ErSi2-x formation, and incurred the growth of several Er-Si-O phases, even consuming the ErSi2-x layer formed earlier. Both samples produced a number of small recessed defects at an early silicidation stage. However, large rectangular or square-shaped surface defects, which were either pitlike or pyramidal depending on the capping layer identity, were developed as the annealing temperature increased. The origin of different defect generation mechanisms was suggested based on the capping layer-dependent silicidation kinetics.

  16. Investigation of microstructural and electrical properties of composition dependent co-sputtered Hf1-x Ta x O2 thin films

    NASA Astrophysics Data System (ADS)

    Das, K. C.; Tripathy, N.; Ghosh, S. P.; Mohanta, S. K.; Nakamura, A.; Kar, J. P.

    2017-11-01

    Tantalum doped HfO2 gate dielectric thin films were deposited on silicon substrates using RF reactive co-sputtering by varying RF power of Ta target from 15 W to 90 W. The morphological, compositional and electrical properties of Hf1-x Ta x O2 films were systematically investigated. The Ta content was found to be increased up to 21% for a Ta target power of 90 W. The evolution of monoclinic phase of Hf1-x Ta x O2 was seen from XRD study upto RF power of 60 W and afterwards, the amorphous like behaviour is appeared. The featureless smooth surface with the decrease in granular morphology has been observed from FESEM micrographs of the doped films at higher RF powers of Ta. The flatband voltage is found to be shifted towards negative voltage in the capacitance-voltage plot, which was attributed to the enhancement in positive oxide charge density with rise in RF power. The interface charge density has a minimum value of 7.85  ×  1011 eV-1 cm-2 for the film deposited at Ta RF power of 75 W. The Hf1-x Ta x O2 films deposited at Ta target RF power of 90 W has shown lower leakage current. The high on/off ratio of the current during the set process in Hf1-x Ta x O2 based memristors is found suitable for bipolar resistive switching memory device applications.

  17. Effects of thickness and annealing condition on magnetic properties and thermal stabilities of Ta/Nd/NdFeB/Nd/Ta sandwiched films

    NASA Astrophysics Data System (ADS)

    Liu, Wen-Feng; Zhang, Min-Gang; Zhang, Ke-Wei; Zhang, Hai-Jie; Xu, Xiao-Hong; Chai, Yue-Sheng

    2016-11-01

    Ta/Nd/NdFeB/Nd/Ta sandwiched films are deposited by magnetron sputtering on Si (100) substrates, and subsequently annealed in vacuum at different temperatures for different time. It is found that both the thickness of NdFeB and Nd layer and the annealing condition can affect the magnetic properties of Ta/Nd/NdFeB/Nd/Ta films. Interestingly, the thickness and annealing temperature show the relevant behaviors that can affect the magnetic properties of the film. The high coercivity of 24.1 kOe (1 Oe = 79.5775 A/m) and remanence ratio (remanent magnetization/saturation magnetization) of 0.94 can be obtained in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed for 3 min at 1023 K. In addition, the thermal stability of the film is also linked to the thickness of NdFeB and Nd layer and the annealing temperature as well. The excellent thermal stability can be achieved in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed at 1023 K. Program supported by the National Natural Science Foundation of China (Grant No. 51305290), the Higher Education Technical Innovation Project of Shanxi Province, China (Grant No. 2013133), the Fund Program for the Scientific Activities of Selected Returned Overseas Professionals of Shanxi Province, China (Grant No. 2015003), and the Program for the Key Team of Scientific and Technological Innovation of Shanxi Province, China (Grant No. 2013131009).

  18. Reconstructing the energy band electronic structure of pulsed laser deposited CZTS thin films intended for solar cell absorber applications

    NASA Astrophysics Data System (ADS)

    Pandiyan, Rajesh; Oulad Elhmaidi, Zakaria; Sekkat, Zouheir; Abd-lefdil, Mohammed; El Khakani, My Ali

    2017-02-01

    We report here on the use of pulsed KrF-laser deposition (PLD) technique for the growth of high-quality Cu2ZnSnS4 (CZTS) thin films onto Si, and glass substrates without resorting to any post sulfurization process. The PLD-CZTS films were deposited at room temperature (RT) and then subjected to post annealing at different temperatures ranging from 200 to 500 °C in Argon atmosphere. The X-ray diffraction and Raman spectroscopy confirmed that the PLD films crystallize in the characteristic kesterite CZTS structure regardless of their annealing temperature (Ta), but their crystallinity is much improved for Ta ≥ 400 °C. The PLD-CZTS films were found to exhibit a relatively dense morphology with a surface roughness (RMS) that increases with Ta (from ∼14 nm at RT to 70 nm at Ta = 500 °C with a value around 40 nm for Ta = 300-400 °C). The optical bandgap of the PLD-CZTS films, was derived from UV-vis transmission spectra analysis, and found to decrease from 1.73 eV for non-annealed films to ∼1.58 eV for those annealed at Ta = 300 °C. These band gap values are very close to the optimum value needed for an ideal solar cell absorber. In order to achieve a complete reconstruction of the one-dimensional energy band structure of these PLD-CZTS absorbers, we have combined both XPS and UPS spectroscopies to determine their chemical bondings, the position of their valence band maximum (relative to Fermi level), and their work function values. This enabled us to sketch out, as accurately as possible, the band alignment of the heterojunction interface formed between CZTS and both CdS and ZnS buffer layer materials.

  19. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    NASA Astrophysics Data System (ADS)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  20. Reactive magnetron cosputtering of hard and conductive ternary nitride thin films: Ti-Zr-N and Ti-Ta-N

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abadias, G.; Koutsokeras, L. E.; Dub, S. N.

    2010-07-15

    Ternary transition metal nitride thin films, with thickness up to 300 nm, were deposited by dc reactive magnetron cosputtering in Ar-N{sub 2} plasma discharges at 300 deg. C on Si substrates. Two systems were comparatively studied, Ti-Zr-N and Ti-Ta-N, as representative of isostructural and nonisostructural prototypes, with the aim of characterizing their structural, mechanical, and electrical properties. While phase-separated TiN-ZrN and TiN-TaN are the bulk equilibrium states, Ti{sub 1-x}Zr{sub x}N and Ti{sub 1-y}Ta{sub y}N solid solutions with the Na-Cl (B1-type) structure could be stabilized in a large compositional range (up to x=1 and y=0.75, respectively). Substituting Ti atoms by eithermore » Zr or Ta atoms led to significant changes in film texture, microstructure, grain size, and surface morphology, as evidenced by x-ray diffraction, x-ray reflectivity, and scanning electron and atomic force microscopies. The ternary Ti{sub 1-y}Ta{sub y}N films exhibited superior mechanical properties to Ti{sub 1-x}Zr{sub x}N films as well as binary compounds, with hardness as high as 42 GPa for y=0.69. All films were metallic, the lowest electrical resistivity {rho}{approx}65 {mu}{Omega} cm being obtained for pure ZrN, while for Ti{sub 1-y}Ta{sub y}N films a minimum was observed at y{approx}0.3. The evolution of the different film properties is discussed based on microstructrural investigations.« less

  1. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  2. Ferroelectric, piezoelectric, and leakage current properties of (K0.48Na0.48Li0.04)(Nb0.775Ta0.225)O3 thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Wang, D. Y.; Lin, D. M.; Kwok, K. W.; Chan, N. Y.; Dai, J. Y.; Li, S.; Chan, H. L. W.

    2011-01-01

    Lead-free (K0.48Na0.48Li0.04)(Nb0.775Ta0.225)O3 (KNLNT) thin films were deposited on Pt(111)/Ti/SiO2/Si(001) substrates using pulsed laser deposition. The film exhibited a well-defined ferroelectric hysteresis loop with a remnant polarization 2Pr of 22.6 μC/cm2 and a coercive field Ec of 10.3 kV/mm. The effective piezoelectric coefficient d33,f of the KNLNT thin films was found to be about 49 pm/V by piezoelectric force microscope. The dominant conduction mechanisms of Au/KNLNT/Pt thin film capacitor were determined to be bulk-limited space-charge-limited-current and Poole-Frenkle emission at low and high electric field strengths, respectively, within a measured temperature range of 130-370 K.

  3. Integration of amorphous tantalum silicon nitride (TaSiN) films as diffusion barriers in a Cu/SiLK(TM) metallization scheme

    NASA Astrophysics Data System (ADS)

    Padiyar, Sumant Devdas

    2003-09-01

    Current and future performance requirements for high- speed integrated circuit (IC) devices have placed great emphasis on the introduction of novel materials, deposition techniques and improved metrology techniques. The introduction of copper interconnects and more currently low-k dielectric materials in IC fabrication are two such examples. This introduction necessitates research on the compatibility of these materials and process techniques with adjacent diffusion barrier materials. One candidate, which has attracted significant attention is tantalum-silicon-nitride (TaSiN) on account of its superior diffusion barrier performance and high recrystallization temperature1. The subject of this dissertation is an investigation of the integration compatibility and performance of TaSiN barrier layers with a low-k dielectric polymer (SiLK ®2). A plasma- enhanced chemical vapor deposition (PECVD) approach is taken for growth of TaSiN films in this work due to potential advantages in conformal film coverage compared to more conventional physical vapor deposition methods. A Design of Experiment (DOE) methodology was introduced for PECVD of TaSiN on SiLK to optimize film properties such as film composition, resistivity, growth rate and film roughness with respect to the predictors viz. substrate temperature, precursor gas flow and plasma power. The first pass study determined the response window for optimized TaSiN film composition, growth rate and low halide contamination and the compatibility of the process with an organic polymer substrate, i.e. SiLK. Second-pass studies were carried out to deposit ultra- thin (10nm) films on: (a)blanket SiLK to investigate the performance of TaSiN films against copper diffusion, and (b)patterned SiLK to evaluate step coverage and conformality. All TaSiN depositions were carried out on SiO2 substrates for baseline comparisons. A second purpose of the diffusion barrier in IC processing is to improve interfacial adhesion between the

  4. Deposition and dielectric characterization of strontium and tantalum-based oxide and oxynitride perovskite thin films

    NASA Astrophysics Data System (ADS)

    Jacq, S.; Le Paven, C.; Le Gendre, L.; Benzerga, R.; Cheviré, F.; Tessier, F.; Sharaiha, A.

    2016-04-01

    We have synthesized the composition x = 0.01 of the (Sr1-xLax)2(Ta1-xTix)2O7 solid solution, mixing the ferroelectric perovskite phases Sr2Ta2O7 and La2Ti2O7. Related oxide and oxynitride materials have been produced as thin films by magnetron radio frequency sputtering. Reactive sputter deposition was conducted at 750 °C under a 75 vol.% (Ar) + 25 vol.% (N2,O2) mixture. An oxygen-free plasma leads to the deposition of an oxynitride film (Sr0.99La0.01) (Ta0.99Ti0.01)O2N, characterized by a band gap Eg = 2.30 eV and a preferential (001) epitaxial growth on (001) SrTiO3 substrate. Its dielectric constant and loss tangent are respectively Epsilon' = 60 (at 1 kHz) and tanDelta = 62.5 × 10-3. In oxygen-rich conditions (vol.%N2 ≤ 15%), (110) epitaxial (Sr0.99La0.01)2(Ta0.99Ti0.01)2O7 oxides films are deposited, associated to a larger band gap value (Eg = 4.55 eV). The oxide films permittivity varies from 45 to 25 (at 1 kHz) in correlation with the decrease in crystalline orientation; measured losses are lower than 5.10-3. For 20 ≤ vol.% N2 ≤ 24.55, the films are poorly crystallized, leading to very low permittivities (minimum Epsilon' = 3). A correlation between the dielectric losses and the presence of an oxynitride phase in the samples is highlighted.

  5. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  6. Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications

    NASA Astrophysics Data System (ADS)

    Choi, Kyeong-Keun; Park, Chan-Gyung; Kim, Deok-kee

    2016-01-01

    The electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition were investigated for through-silicon via (TSV) and metal-insulator-metal applications at temperatures below 300 °C. ZrO2 films were able to be conformally deposited on the scallops of 50-µm-diameter, 100-µm-deep TSV holes. The mean breakdown field of 30-nm-thick ZrO2 films on 30-nm-thick Ta(N) increased about 41% (from 2.7 to 3.8 MV/cm) upon H2 plasma treatment. With the plasma treatment, the breakdown field of the film increased and the temperature coefficient of capacitance decreased significantly, probably as a result of the decreased carbon concentration in the film.

  7. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  8. Pulsed laser deposited metal oxide thin films mediated controlled adsorption of proteins

    NASA Astrophysics Data System (ADS)

    Kim, Se Jin

    Several metal oxide thin films were grown on Si substrate by pulsed laser deposition for controlling adsorption of proteins. No intentional heating of substrate and introduction of oxygen gas during growth were employed. Additionally, fibrinogen, bovine serum albumin (BSA), and lysozyme were used as model protein in this study. The film properties such as cyratllinity, surface roughness, surface electrical charge and chemistry were investigated by many techniques in order to obtain the relationship with protein adsorption. Firstly, as grown Ta2O5 and ZnO thin film were used to study the effects of surface charge on the behaviors of BSA and lysozyme adsorption. The protein thickness results by ellipsometry showed that negatively charged Ta2O5 had a stronger affinity to positively charged lysozyme, while positively charged ZnO had a stronger affinity to negatively charged BSA. The results confirmed electrostatic interaction due to surface charge is one of main factors for determining adsorption of proteins. Furthermore, annealing studies were performed by heat treatment of as grown Ta2O5 and ZnO at 800°C in air ambience. Annealed Ta2O5 thin film had almost wetting property (from 10.02° to less than 1˜2°) and the change of cystallinity (from amorphous to cyrsalline) while annealed ZnO thin film had a reduced contact angle (from 75.65° to 39.41°) and remained to crystalline structure. The fibrinogen thickness on annealed Ta2O5 film was increased compared with as grown sample, while heat treated ZnO film showed much reduction of fibrinogen adsorption. Binary Ta-Zn oxide thin films (TZ) were grown by preparing PLD target composed of 50 wt% Ta2O5 and 50 wt% ZnO. This binary film had IEP pH 7.1 indicating nearly neutral charge in pH 7.4 PBS solution, and hydrophilic property. Ellipsometrical results showed that TZ film had the lowest fibrinogen, BSA and lysozyme thickness after 120 min adsorption compared with Ta2O5 and ZnO. Other samples, bilayer oxide films in

  9. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  10. Structural and mechanical properties of Al-C-N films deposited at room temperature by plasma focus device

    NASA Astrophysics Data System (ADS)

    Z, A. Umar; R, Ahmad; R, S. Rawat; M, A. Baig; J, Siddiqui; T, Hussain

    2016-07-01

    The Al-C-N films are deposited on Si substrates by using a dense plasma focus (DPF) device with aluminum fitted central electrode (anode) and by operating the device with CH4/N2 gas admixture ratio of 1:1. XRD results verify the crystalline AlN (111) and Al3CON (110) phase formation of the films deposited using multiple shots. The elemental compositions as well as chemical states of the deposited Al-C-N films are studied using XPS analysis, which affirm Al-N, C-C, and C-N bonding. The FESEM analysis reveals that the deposited films are composed of nanoparticles and nanoparticle agglomerates. The size of the agglomerates increases at a higher number of focus deposition shots for multiple shot depositions. Nanoindentation results reveal the variation in mechanical properties (nanohardness and elastic modulus) of Al-C-N films deposited with multiple shots. The highest values of nanohardness and elastic modulus are found to be about 11 and 185 GPa, respectively, for the film deposited with 30 focus deposition shots. The mechanical properties of the films deposited using multiple shots are related to the Al content and C-N bonding.

  11. Oxidation Resitant HfC-TaC Rocket Thruster for High Performance Propellants

    NASA Technical Reports Server (NTRS)

    Patterson, Mark

    1999-01-01

    The purpose of this reasearch effort was to develop high temperature, oxidation resistant thrusters which would lessen the thruster cooling requirements, resulting in increased performance and longer life for onboard propulsion systems for spacecraft. This research effort focussed on developing ceramic matrix composites (CMCs) for this application, and specifically investigated the use of HfC stabilized with TaC. This material composition can potentially operate in a stoichiometric oxygen to hydrogen ratio at a temperature of 5000 deg F (2760 deg C) in a radiatively cooled mode. Various compositions of Hafnium Carbide (HfC) and Tantalum Carbide (TaC) were deposited by chemical vapor deposition (CVD) and tested, in an attempt to identify the composition which offered the best oxidation resistance. Although it was identified that small amounts of TaC appeared to offer improved oxidation resistance over HfC alone, an optimal composition was not identified. A 251bf thruster was fabricated from a novel CMC sandwich construction with a HfC-TaC matrix, and survived two test firings, each of 5 seconds before a failure in the injector caused the run to be aborted. It was not possible to control the relative stoichiometry of the HfC and TaC composition throughout the reactor due to the large difference in the thermodynamics of the HfC and TaC formation from their respective chlorides. This also resulted in an inability to infiltrate TaC into the preform under the experimental conditions investigated. Other material compositions were fabricated and tested and two material systems; HfC-SiC functionally graded to C(sub f)/C and Re functionally graded to C(sub f)/C were selected for further testing. These functionally graded composites have use in a number of propulsion applications presently of interest to NASA and the defense industry. Their ability to withstand extremely hostile thermal environments and the light-weight and high-strength exhibited from the C(sub f)/C

  12. Electrical properties of multilayer (DLC-TiC) films produced by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Alawajji, Raad A.; Kannarpady, Ganesh K.; Nima, Zeid A.; Kelly, Nigel; Watanabe, Fumiya; Biris, Alexandru S.

    2018-04-01

    In this work, pulsed laser deposition was used to produce a multilayer diamond like carbon (ML (DLC-TiC)) thin film. The ML (DLC-TiC) films were deposited on Si (100) and glass substrates at various substrate temperatures in the range of 20-450 °C. Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), and atomic force microscopy were utilized to characterize the prepared films. Raman analysis revealed that as the substrate temperature increased, the G-peak position shifted to a higher raman shift and the full width at half maximum of the G and D bands decreased. XPS analysis indicated a decrease in sp3/sp2 ratio and an increase in Ti-C bond intensity when the substrate temperature was increased. Additionally, the surface roughness of ML (DLC-TiC) filmswas affected by the type and temperature of the substrate. The electrical measurement results indicated that the electrical resistivity of the ML (DLC-TiC) film deposited on Si and glass substrates showed the same behavior-the resistivity decreased when substrate temperature increased. Furthermore, the ML (DLC-TiC) films deposited on silicon showed lower electrical resistivity, dropping from 8.39E-4 Ω-cm to 5.00E-4 Ω-cm, and, similarly, the films on the glass substrate displayed a drop in electrical resistivity from 1.8E-2 Ω-cm to 1.2E-3 Ω-cm. These enhanced electrical properties indicate that the ML (DLC-TiC) films have widespread potential as transducers for biosensors in biological research; electrochemical electrodes, because these films can be chemically modified; biocompatible coatings for medicals tools; and more.

  13. Residual Stresses in Ta, Mo, Al and Pd Thin Films Deposited by E-Beam Evaporation Process on Si and Si/SiO2 Substrates

    NASA Astrophysics Data System (ADS)

    Guisbiers, G.; Strehle, S.; Van Overschelde, O.; Wautelet, M.

    2006-02-01

    Residual stresses are commonly generated during the deposition process of thin films and can influence the reliability of the deposited systems e.g. due to fatigue, aging effects or debonding. Therefore, an evaluation of such stresses in thin films is of crucial importance for metallization of microelectronic devices and MEMS. Residual stresses can be determined experimentally by substrate curvature or X-ray diffraction measurements. The modeling of residual stresses generally deals with the calculation of the thermal ones alone. In the present work, a model is proposed, where intrinsic stresses are calculated explicitly based on the Tsui-Clyne model. The aim of this model, called self-consistent model, is to predict residual stresses in thin films independent on measurements. The simulated values are compared with experimental results for the following systems: Ta/Si, Mo/Si, Al/SiO2/Si and Pd/SiO2/Si.

  14. Adhesion, friction, and wear of plasma-deposited thin silicon nitride films at temperatures to 700 C

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Pouch, J. J.; Alterovitz, S. A.; Pantic, D. M.; Johnson, G. A.

    1988-01-01

    The adhesion, friction, and wear behavior of silicon nitride films deposited by low- and high-frequency plasmas (30 kHz and 13.56 MHz) at various temperatures to 700 C in vacuum were examined. The results of the investigation indicated that the Si/N ratios were much greater for the films deposited at 13.56 MHz than for those deposited at 30 kHz. Amorphous silicon was present in both low- and high-frequency plasma-deposited silicon nitride films. However, more amorphous silicon occurred in the films deposited at 13.56 MHz than in those deposited at 30 kHz. Temperature significantly influenced adhesion, friction, and wear of the silicon nitride films. Wear occurred in the contact area at high temperature. The wear correlated with the increase in adhesion and friction for the low- and high-frequency plasma-deposited films above 600 and 500 C, respectively. The low- and high-frequency plasma-deposited thin silicon nitride films exhibited a capability for lubrication (low adhesion and friction) in vacuum at temperatures to 500 and 400 C, respectively.

  15. Measurement and modeling of short and medium range order in amorphous Ta 2O 5 thin films

    DOE PAGES

    Shyam, Badri; Stone, Kevin H.; Bassiri, Riccardo; ...

    2016-08-26

    Here, amorphous films and coatings are rapidly growing in importance. Yet, there is a dearth of high-quality structural data on sub-micron films. Not understanding how these materials assemble at atomic scale limits fundamental insights needed to improve their performance. Here, we use grazing-incidence x-ray total scattering measurements to examine the atomic structure of the top 50–100 nm of Ta 2O 5 films; mirror coatings that show high promise to significantly improve the sensitivity of the next generation of gravitational-wave detectors. Our measurements show noticeable changes well into medium range, not only between crystalline and amorphous, but also between as-deposited, annealedmore » and doped amorphous films. It is a further challenge to quickly translate the structural information into insights into mechanisms of packing and disorder. Here, we illustrate a modeling approach that allows translation of observed structural features to a physically intuitive packing of a primary structural unit based on a kinked Ta-O-Ta backbone. Our modeling illustrates how Ta-O-Ta units link to form longer 1D chains and even 2D ribbons, and how doping and annealing influences formation of 2D order. We also find that all the amorphousTa 2O 5 films studied in here are not just poorly crystalline but appear to lack true 3D order.« less

  16. Deposition of silicon carbide thin films by pulsed excimer laser ablation technique in the 25-700°C deposition temperature range

    NASA Astrophysics Data System (ADS)

    El Khakani, My A.; Gat, E.; Beaudoin, Yves; Chaker, Mohamed; Monteil, C.; Guay, Daniel; Letourneau, G.; Pepin, Henri

    1995-04-01

    Laser ablation deposition technique was used to deposit silicon carbide thin films on both Si(100) and quartz substrates. The deposition was accomplished by ablating SiC sintered ceramic targets, using a KrF (248 nm) excimer laser. At a laser intensity of about 1 X 109 W/cm2, substrate temperatures in the (25-700) degree(s)C range were investigated. When the deposition temperature is varied from 27 to 650 degree(s)C, (i) the density of a-SiC films increases from 2.6 to 3.0 g cm-3, while their mean roughness value (for a film thickness of about 1 micrometers ) slightly changes from 0.44 to 0.5 nm; (ii) the optical transmission of a-SiC films is significantly improved (the absorption coefficient at 632.8 nm wavelength was reduced by a factor of about 5); and (iii) their Si-C bond density, as determined by FTIR spectroscopy, increases from (13.1 +/- 1.3) to (23.4 +/- 2.4) 1022 bond cm-3. The increased number of Si-C bonds is correlated to the increase of the optical transmission. Over all the investigated deposition temperature range, the a-SiC films were found to be under high compressive stress around a mean value of about 1.26 GPa. The control of the stress of a-SiC films was achieved by means of post- thermal annealings and the annealed a-SiC films were successfully used to fabricate x-ray membranes.

  17. Synthesis, processing and properties of TaC-TaB2-C Ceramics

    DTIC Science & Technology

    2010-01-01

    powder used. • A very important conclusion from the present study is that the grain size of nominally pure TaC ceramics is a strong function of carbon...ceramics at temperatures as low as 1500 ◦C. The grain size of nominally pure TaC ceramics was a strong function of carbon stoichiometry. Enhanced grain...evaluate the properties of ceramics in the TaC–TaB2–C system. Published by Elsevier Ltd. Keywords: A . Sintering; B. Microstructure; D. Carbides; D. Carbon

  18. Superconductor-Metal-Insulator transition in two dimensional Ta thin Films

    NASA Astrophysics Data System (ADS)

    Park, Sun-Gyu; Kim, Eunseong

    2013-03-01

    Superconductor-insulator transition has been induced by tuning film thickness or magnetic field. Recent electrical transport measurements of MoGe, Bi, Ta thin films revealed an interesting intermediate metallic phase which intervened superconducting and insulating phases at certain range of magnetic field. Especially, Ta thin films show the characteristic IV behavior at each phase and the disorder tuned intermediate metallic phase [Y. Li, C. L. Vicente, and J. Yoon, Physical Review B 81, 020505 (2010)]. This unexpected metallic phase can be interpreted as a consequence of vortex motion or contribution of fermionic quasiparticles. In this presentation, we report the scaling behavior during the transitions in Ta thin film as well as the transport measurements in various phases. Critical exponents v and z are obtained in samples with wide ranges of disorder. These results reveal new universality class appears when disorder exceeds a critical value. Dynamical exponent z of Superconducting sample is found to be 1, which is consistent with theoretical prediction of unity. z in a metallic sample is suddenly increased to be approximately 2.5. This critical exponent is much larger than the value found in other system and theoretical prediction. We gratefully acknowledge the financial support by the National Research Foundation of Korea through the Creative Research Initiatives.

  19. Influence of Ta doping in resistive switching behavior of TiO2

    NASA Astrophysics Data System (ADS)

    Barman, Arabinda; Saini, Chetan P.; Deshmukh, Sujit; Dhar, Sankar; Kanjilal, Aloke

    An approach has been made to understand the resistive switching behavior in Ta-doped TiO2 films on Pt substrates. Prior to thin film deposition, Ta-doped TiO2 powder has been synthesized chemically using Ta and Ti precursor solutions. However, the Ta doping has seriously been affected by increasing Ta concentration above 1 at% due to the segregation of Ta2O5 phase. The Ta-doped TiO2 targets have been prepared for pulsed laser deposition of the films on Pt substrates using an excitation wavelength of 248 nm. The structural and chemical properties of the Ta-doped TiO2 films have been investigated in details with the help of XRD, SIMS, XAS and XPS. The stoichiometry of the Ta-doped TiO2 films with increasing depth has been verified initially by SIMS. The electrical study of the corresponding device structures further suggests that the optimized resistive switching effect can be accomplished up to a threshold Ta-doping of 1 at%. Nevertheless, a highly conducting behavior has been shown when the TiO2 films are doped with 2 at% Ta. These results will be discussed in details in the light of defect induced resistive switching phenomenon.

  20. HIGH-k GATE DIELECTRIC: AMORPHOUS Ta/La2O3 FILMS GROWN ON Si AT LOW PRESSURE

    NASA Astrophysics Data System (ADS)

    Bahari, Ali; Khorshidi, Zahra

    2014-09-01

    In the present study, Ta/La2O3 films (La2O3 doped with Ta2O5) as a gate dielectric were prepared using a sol-gel method at low pressure. Ta/La2O3 film has some hopeful properties as a gate dielectric of logic device. The structure and morphology of Ta/La2O3 films were studied using X-ray diffraction (XRD), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Electrical properties of films were performed using capacitance-voltage (C-V) and current density-voltage (J-V) measurements. The optical bandgap of samples was studied by UV-visible optical absorbance measurement. The optical bandgap, Eopt, is determined from the absorbance spectra. The obtained results show that Ta/La2O3 film as a good gate dielectric has amorphous structure, good thermal stability, high dielectric constant (≈ 25), low leakage current and wide bandgap (≈ 4.7 eV).

  1. Structure, mechanical and tribological properties of TiSiC films deposited by magnetron sputtering segment target

    NASA Astrophysics Data System (ADS)

    Jiang, Jinlong; He, Kaichen; He, XingXing; Huang, Hao; Pang, Xianjuan; Wei, Zhiqiang

    2017-12-01

    In this work, the TiSiC films were deposited by magnetron sputtering segment target with various areal ratio of Ti80Si20 to C. The effects of segment target component on the structure, mechanical and tribological properties of the films were investigated. The results revealed that the deposited films exhibited a structural transform from a cubic TiC structure to a nanocomposite structure with nanocrystalline TiC in a-C:Si matrix, and finally x-ray amorphous structures with decreasing areal ratio of Ti80Si20 to C. The TiSiC film deposited at the Ti80Si20:C areal ratio of 7:7 showed superior mechanical and tribological properties such as high hardness (18.6 Gpa), good scratch resistant (46 N), low friction coefficient (0.2) and low wear rate (8.6  ×  10-7 mm3 Nm-1), which suggests that it is a promising candidate for the protective films.

  2. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Lin, Jianliang; Chistyakov, Roman

    2017-02-01

    Highly <0001> c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm-2) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a <0001> preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm-2 improved the <0001> orientation. Further increasing the peak target current density to above 0.53 Acm-2 showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  3. Static and dynamic properties of Co2FeAl thin films: Effect of MgO and Ta as capping layers

    NASA Astrophysics Data System (ADS)

    Husain, Sajid; Barwal, Vineet; Kumar, Ankit; Behera, Nilamani; Akansel, Serkan; Goyat, Ekta; Svedlindh, Peter; Chaudhary, Sujeet

    2017-05-01

    The influence of MgO and Ta capping layers on the static and dynamic magnetic properties of Co2FeAl (CFA) Heusler alloy thin films has been investigated. It is observed that the CFA film deposited with MgO capping layer is preeminent compared to the uncapped or Ta capped CFA film. In particular, the magnetic inhomogeneity contribution to the ferromagnetic resonance line broadening and damping constant are found to be minimal for the MgO capped CFA thin film i.e., 0.12±0.01 Oe and 0.0074±0.00014, respectively. The saturation magnetization was found to be 960±25emu/cc.

  4. Injected ion energy dependence of SiC film deposited by low-energy SiC3H9+ ion beam produced from hexamethyldisilane

    NASA Astrophysics Data System (ADS)

    Yoshimura, Satoru; Sugimoto, Satoshi; Takeuchi, Takae; Murai, Kensuke; Kiuchi, Masato

    2018-04-01

    We mass-selected SiC3H9+ ions from various fragments produced through the decomposition of hexamethyldisilane, and finally produced low-energy SiC3H9+ ion beams. The ion beams were injected into Si(1 0 0) substrates and the dependence of deposited films on injected ion energy was then investigated. Injected ion energies were 20, 100, or 200 eV. Films obtained were investigated with X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy. X-ray diffraction and X-ray photoelectron spectroscopy of the substrates obtained following the injection of 20 eV ions demonstrated the occurrence of silicon carbide film (3C-SiC) deposition. On the other hand, Raman spectroscopy showed that the films deposited by the injection of 100 or 200 eV ions included 3C-SiC plus diamond-like carbon. Ion beam deposition using hexamethyldisilane-derived 20 eV SiC3H9+ ions is an efficient technique for 3C-SiC film formation on Si substrates.

  5. The effect of Substrate temperature on physical and electrical properties of DC magnetron sputtered (Ta2O5)0.85(TiO2)0.15 films

    NASA Astrophysics Data System (ADS)

    Sekhar, M. Chandra; Uthanna, S.; Martins, R.; Jagadeesh Chandra, S. V.; Elangovan, E.

    2012-04-01

    Thin films of (Ta2O5)0.85(TiO2)0.15 were deposited on quartz and p-Si substrates by DC reactive magnetron sputtering at different substrate temperatures (Ts) in the range 303 - 873 K. The films deposited at 303 0K were in the amorphous and it transformed to crystalline at substrate temperatures >= 573 0K. The crystallite size was increased from 50 nm to 72 nm with the increase of substrate temperature. The surface morphology was significantly influenced with the substrate temperature. After deposition of the (Ta2O5)0.85(TiO2)0.15 films on Si, aluminium (Al) electrode was deposited to fabricate metal/oxide/semiconductor (MOS) capacitors with a configuration of Al/(Ta2O5)0.85(TiO2)0.15/Si. A low leakage current of 7.7 × 10-5 A/cm2 was obtained from the films deposited at 303 K. The leakage current was decreased to 9.3 × 10-8 A/cm2 with the increase of substrate temperature owing to structural changes. The conduction mechanism of the Al/(Ta2O5)0.85(TiO2)0.15/Si capacitors was analyzed and compared with mechanisms of Poole-Frenkel and Schottky emissions. The optical band gap (Eg) was decreased from 4.45 eV to 4.38 eV with the increase in substrate temperature.

  6. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition.

    DOE PAGES

    Craciun, D.; Socol, G.; Lambers, E.; ...

    2015-01-17

    Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH 4 pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH 4 pressures exhibited slightly higher nanohardness and Young modulus values than filmsmore » deposited under higher pressures. As a result, tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.« less

  7. Characteristics of the Energetic Igniters Through Integrating B/Ti Nano-Multilayers on TaN Film Bridge

    NASA Astrophysics Data System (ADS)

    Yan, YiChao; Shi, Wei; Jiang, HongChuan; Cai, XianYao; Deng, XinWu; Xiong, Jie; Zhang, WanLi

    2015-05-01

    The energetic igniters through integrating B/Ti nano-multilayers on tantalum nitride (TaN) ignition bridge are designed and fabricated. The X-ray diffraction (XRD) and temperature coefficient of resistance (TCR) results show that nitrogen content has a great influence on the crystalline structure and TCR. TaN films under nitrogen ratio of 0.99 % exhibit a near-zero TCR value of approximately 10 ppm/°C. The scanning electron microscopy demonstrates that the layered structure of the B/Ti multilayer films is clearly visible with sharp and smooth interfaces. The electrical explosion characteristics employing a capacitor discharge firing set at the optimized charging voltage of 45 V reveal an excellent explosion performance by (B/Ti) n /TaN integration film bridge with small ignition delay time, high explosion temperature, much more bright flash of light, and much large quantities of the ejected product particles than TaN film bridge.

  8. Influence of inserted Mo layer on the thermal stability of perpendicularly magnetized Ta/Mo/Co{sub 20}Fe{sub 60}B{sub 20}/MgO/Ta films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Minghua, E-mail: mhli@ustb.edu.cn; Department of Electrical Engineering, University of California, Los Angeles, California 90095; Lu, Jinhui

    2016-04-15

    We studied the thermal stability of perpendicular magnetic anisotropy (PMA) in Ta/Mo/CoFeB/MgO/Ta films with and without inserted Mo layers. In the absence of a Mo layer, the films show PMA at annealing temperatures below 300 °C. On the other hand, the insertion of a Mo layer preserves PMA at annealing temperatures of up to 500 °C; however, a higher annealing temperature leads to the collapse of PMA. X-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy (HRTEM) were used to study the microstructure of the films to understand the deterioration of PMA. The XPS results show that the segregation ofmore » Ta is partly suppressed by inserting a Mo layer. Once inserted, Mo does not remain at the interface of Ta and CoFeB but migrates to the surface of the films. The HRTEM results show that the crystallization of the MgO (001) texture is improved owing to the higher annealing temperature of the Mo inserted sample. A smooth and clear CoFeB/MgO interface is evident. The inserted Mo layer not only helps to obtain sharper and smoother interfaces but also contributes to the crystallization after the higher annealing temperature of films.« less

  9. Electron transport in nanocrystalline SiC films obtained by direct ion deposition

    NASA Astrophysics Data System (ADS)

    Kozlovskyi, A.; Semenov, A.; Skorik, S.

    2016-12-01

    Electrical conductivity of nanocrystalline SiC films obtained by direct ion deposition was investigated within the temperature interval from 2 to 770 K. It were investigated the samples of films with 3С-SiC polytype structure and the heteropolytype films formed by layers of different polytypes SiC (3C-SiC/21R-SiC, 21R-SiC/27R-SiC, 3C-SiC/15R-SiC). The films had n-type conductivity that ensured a small excess of silicon ions. The thermally activated character of electron transport in the 3С-SiC polytype films was established. In the heteropolytype films the temperature dependence of the electrical resistance was described by the relation R(T) = R0 × exp[-kT/E0]. It was shown that the charge transport mechanism in the heteropolytype samples is electron tunneling through potential barriers formed by the conduction band offset in the contact region of the heterojunction. Tunnel charge transport occurs due to the presence of discrete energy states in the forbidden band caused the dimensional quantization.

  10. Deposition of a-C/B films from o-carborane and trimethyl boron precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geddes, J.B.; Getty, W.D.

    1996-12-31

    Vacuum wall deposition of a-B/C films has had tremendous positive impact on the performance of tokamak fusion reactors. In this work, precursor vapor and helium carrier gas have been used to create a plasma using a novel plasma source. Either trimethyl boron (TMB) or sublimed vapor from o-carborane solid can be used as deposition precursors. The plasma operates in a pressure range of 5 to 15 mTorr and typical flow rates are 5 sccm He plus 0.5-1 sccm o-carborane or TMB vapor. The film deposition rate ranges from less than 100 {angstrom}/minute to over 1,000 {angstrom}/minute. Microwave power levels rangemore » from 300--400 W at 2.45 GHz. The temperature and bias of the substrate can be varied, and the temperature of the substrate is recorded during deposition. The films have been analyzed using XPS. The atomic composition of the films has been measured. The o-carborane films have a much higher boron concentration than those deposited from TMB. The chemical bond characteristics of the different species have also been examined for each type of film. The thickness of the films is measured by profilometry, and this is combined with measurements of the film area and weight to calculate the film density. X-ray diffraction analysis has been performed; no evidence of any crystalline structure was found. Films with a thickness of a few thousand {angstrom} are routinely obtained. Deposition rates were 350 {angstrom}/minute on average.« less

  11. Nb and Ta Co-Doped TiO2 Transparent Conductive Thin Films by Magnetron Sputtering: Fabrication, Structure, and Characteristics

    NASA Astrophysics Data System (ADS)

    Liu, Yang; Peng, Qian; Qiao, Yadong; Yang, Guang

    2018-06-01

    Nb and Ta co-doped anatase titanium dioxide (NTTO) nanocrystalline thin films were deposited on quartz and Si (100) substrates by RF magnetron sputtering. The influence of RF power on the growth, structure, morphology, and properties of the samples are discussed in detail. X-ray diffraction measurements show that the films are polycrystalline with anatase tetragonal structure, which is further confirmed by Raman spectroscopy analysis. Meanwhile, Raman spectroscopy results indicate that the peak width of E g(1) mode, which is directly correlated to the carrier density, changes obviously with RF power. It is found that the substitution of Nb5+ and Ta5+ at Ti site is significantly improved with the increase of RF power from 150 W to 210 W. For the sample deposited at 210 W, the optical transmittance is above 82% in the visible range and the electrical resistivity is as low as 1.3 × 10-3 Ω cm with carrier density of 1.1 × 1021 cm-3 and Hall mobility of 4.5 cm2 V-1 s-1. The optical and electrical properties of NTTO thin films can be compared to those of Nb or Ta doped anatase TiO2. However, co-doping with Nb and Ta gives a possible platform to complement the limitations of each individual dopant.

  12. Direct evidence on Ta-Metal Phases Igniting Resistive Switching in TaOx Thin Film

    PubMed Central

    Kyu Yang, Min; Ju, Hyunsu; Hwan Kim, Gun; Lee, Jeon-Kook; Ryu, Han-Cheol

    2015-01-01

    A Ta/TaOx/Pt stacked capacitor-like device for resistive switching was fabricated and examined. The tested device demonstrated stable resistive switching characteristics including uniform distribution of resistive switching operational parameters, highly promising endurance, and retention properties. To reveal the resistive switching mechanism of the device, micro structure analysis using high-resolution transmission electron microscope (HR-TEM) was performed. From the observation results, two different phases of Ta-metal clusters of cubic α-Ta and tetragonal β-Ta were founded in the amorphous TaOx mother-matrix after the device was switched from high resistance state (HRS) to low resistance state (LRS) by externally applied voltage bias. The observed Ta metal clusters unveiled the origin of the electric conduction paths in the TaOx thin film at the LRS. PMID:26365532

  13. Direct evidence on Ta-Metal Phases Igniting Resistive Switching in TaOx Thin Film

    NASA Astrophysics Data System (ADS)

    Kyu Yang, Min; Ju, Hyunsu; Hwan Kim, Gun; Lee, Jeon-Kook; Ryu, Han-Cheol

    2015-09-01

    A Ta/TaOx/Pt stacked capacitor-like device for resistive switching was fabricated and examined. The tested device demonstrated stable resistive switching characteristics including uniform distribution of resistive switching operational parameters, highly promising endurance, and retention properties. To reveal the resistive switching mechanism of the device, micro structure analysis using high-resolution transmission electron microscope (HR-TEM) was performed. From the observation results, two different phases of Ta-metal clusters of cubic α-Ta and tetragonal β-Ta were founded in the amorphous TaOx mother-matrix after the device was switched from high resistance state (HRS) to low resistance state (LRS) by externally applied voltage bias. The observed Ta metal clusters unveiled the origin of the electric conduction paths in the TaOx thin film at the LRS.

  14. Effect of starting powder morphology on film texture for bismuth layer-structured ferroelectrics prepared by aerosol deposition method

    NASA Astrophysics Data System (ADS)

    Suzuki, Muneyasu; Tsuchiya, Tetsuo; Akedo, Jun

    2017-06-01

    We report grain orientation control for bismuth layer-structured ferroelectrics (BLSFs) films deposited by aerosol deposition (AD) method at room temperature. Bi4Ti3O12 (BiT), SrBi2Ta2O9 (SBTa), and SrBi4Ti4O15 (SBTi) starting powders with particles of various shape (plate-like, spherical, and angular) were prepared by solid-state reaction and fused salt synthesis. Their AD films represented fine microstructures without pores, which agrees well with previous reports. Although the SBTa AD films deposited by using spherical particles exhibited an extremely low Lotgering factor (F), the BiT AD films deposited by using plate-like particles exhibited a marked c-axis orientation. The F of BiT and SBTi AD films decreased with increasing film thickness (t). We consider that the dispersion of agglomerated plate-like particles on the film surface and the densification of the compacted powder layer occurring while under particle impact are important in obtaining the grain-oriented AD films. These results of using the AD method with shape-controlled particles are expected to result in open up an innovative functional coating technique.

  15. Characteristics of the Energetic Igniters Through Integrating B/Ti Nano-Multilayers on TaN Film Bridge.

    PubMed

    Yan, YiChao; Shi, Wei; Jiang, HongChuan; Cai, XianYao; Deng, XinWu; Xiong, Jie; Zhang, WanLi

    2015-12-01

    The energetic igniters through integrating B/Ti nano-multilayers on tantalum nitride (TaN) ignition bridge are designed and fabricated. The X-ray diffraction (XRD) and temperature coefficient of resistance (TCR) results show that nitrogen content has a great influence on the crystalline structure and TCR. TaN films under nitrogen ratio of 0.99 % exhibit a near-zero TCR value of approximately 10 ppm/°C. The scanning electron microscopy demonstrates that the layered structure of the B/Ti multilayer films is clearly visible with sharp and smooth interfaces. The electrical explosion characteristics employing a capacitor discharge firing set at the optimized charging voltage of 45 V reveal an excellent explosion performance by (B/Ti) n /TaN integration film bridge with small ignition delay time, high explosion temperature, much more bright flash of light, and much large quantities of the ejected product particles than TaN film bridge.

  16. Normal incidence reflectance of ion beam deposited SiC films in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Osantowski, John F.; Herzig, Howard; Gum, Jeffrey S.; Toft, Albert R.

    1988-01-01

    Results are presented from an experimental investigation of the normal-incidence reflectance at 58.4, 92.0, and 121.6 nm wavelength of 30- and 80-nm-thick SiC films produced by ion-beam deposition on unheated 5 x 5-cm microscope slides. The films were deposited in the 2-m evaporator described by Bradford et al. (1969) with chamber base pressure 1 microtorr, operating pressure 40 microtorr, and a 50-62-mA 750-eV Ar ion beam; the reflectance measurements were obtained in the reflector-monochromator system described by Osantowski (1974). Reflectances of over 30 percent were found at 92 and 121.6 nm, almost equal to those of polished CVD films of SiC and degrading only slightly after aging for 4 months. It is suggested that ion-beam deposition may be the best low-temperature technique for coating EUV optics for space astronomy.

  17. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  18. In Situ deposition of YBCO high-T(sub c) superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P.; Gallois, B.; Kear, B.

    1990-01-01

    Metalorganic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T( sub c) greater than 90 K and Jc approx. 10 to the 4th power A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metalorganic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  19. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  20. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  1. Chemistry of Non-Equilibrium Film Deposition.

    DTIC Science & Technology

    1985-12-01

    titanium isopropoxide mixed with water solutions of lanthanum and lead nitrate. The gels were dehydrated, then fired to 600C to remove all organics...OW- ’so IRO $Va. ame Thin films; titanium dioxide; -PuZT,- ion beam deposition; annealing,’ trnmiso electron microscopy. 4 - . - S \\AISST 0A ZT *Can...Deposition....... . ... *.... .. ... .. ..... .. . .... 2 C. Nonequilibrium Physical Deposition.................... 3 1. Titanium Oxide Films

  2. Characteristics of WN{sub x}C{sub y} films deposited using remote plasma atomic layer deposition with ({sup Me}Cp)W(CO){sub 2}(NO) for Cu diffusion barrier

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Hyunjung; Park, Jingyu; Jeon, Heeyoung

    Diffusion barrier characteristics of tungsten–nitride–carbide (WN{sub x}C{sub y}) thin films interposed between Cu and SiO{sub 2} layers were studied. The WN{sub x}C{sub y} films were deposited by remote plasma atomic layer deposition (RPALD) using a metal organic source, ({sup Me}Cp)W(CO){sub 2}(NO), and ammonia. Auger electron spectroscopy analysis indicated the WN{sub x}C{sub y} films consisted of tungsten, nitrogen, carbon, and oxygen. X-ray diffraction (XRD) analysis showed that the film deposited at 350 °C was nanocrystalline. The resistivity of WN{sub x}C{sub y} film deposited by RPALD was very low compared to that in previous research because of the lower nitrogen content and differentmore » crystal structures of the WN{sub x}C{sub y}. To verify the diffusion barrier characteristics of the WN{sub x}C{sub y} film, Cu films were deposited by physical vapor deposition after WN{sub x}C{sub y} film was formed by RPALD on Si substrate. The Cu/WN{sub x}C{sub y}/Si film stack was annealed in a vacuum by rapid thermal annealing at 500 °C. Cu diffusion through the barrier layer was verified by XRD. Stable film properties were observed up to 500 °C, confirming that WN{sub x}C{sub y} film is suitable as a Cu diffusion barrier in microelectronic circuits.« less

  3. The microwave properties of Ag(Ta0.8Nb0.2)O3 thick film interdigital capacitors on alumina substrates

    NASA Astrophysics Data System (ADS)

    Lee, Ku-Tak; Koh, Jung-Hyuk

    2012-01-01

    In this paper, we will introduce the microwave properties of Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors fabricated on alumina substrates. The tailored paraelectric state of Ag(Ta,Nb)O3 allows the material to be regarded as a part of the family of microwave materials. As thick films formed in our experiment, Ag(Ta,Nb)O3 exhibited extremely low dielectric loss with relatively high dielectric permittivity. This low dielectric loss is a very important issue for microwave applications. Therefore, we investigated the microwave properties of Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors. Ag(Ta0.8Nb0.2)O3 thick films were prepared by a screen-printing method on alumina substrates and were sintered at 1140 °C for 2 hrs. The XRD analysis results showed that the Ag(Ta0.8Nb0.2)O3 thick film has the perovskite structure. The frequency dependent dielectric permittivity showed that these Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors have very weak frequency dispersions with low loss tangents in the microwave range.

  4. Influence of deposition temperature and amorphous carbon on microstructure and oxidation resistance of magnetron sputtered nanocomposite Crsbnd C films

    NASA Astrophysics Data System (ADS)

    Nygren, Kristian; Andersson, Matilda; Högström, Jonas; Fredriksson, Wendy; Edström, Kristina; Nyholm, Leif; Jansson, Ulf

    2014-06-01

    It is known that mechanical and tribological properties of transition metal carbide films can be tailored by adding an amorphous carbon (a-C) phase, thus making them nanocomposites. This paper addresses deposition, microstructure, and for the first time oxidation resistance of magnetron sputtered nanocomposite Crsbnd C/a-C films with emphasis on studies of both phases. By varying the deposition temperature between 20 and 700 °C and alternating the film composition, it was possible to deposit amorphous, nanocomposite, and crystalline Crsbnd C films containing about 70% C and 30% Cr, or 40% C and 60% Cr. The films deposited at temperatures below 300 °C were X-ray amorphous and 500 °C was required to grow crystalline phases. Chronoamperometric polarization at +0.6 V vs. Ag/AgCl (sat. KCl) in hot 1 mM H2SO4 resulted in oxidation of Crsbnd C, yielding Cr2O3 and C, as well as oxidation of C. The oxidation resistance is shown to depend on the deposition temperature and the presence of the a-C phase. Physical characterization of film surfaces show that very thin C/Cr2O3/Crsbnd C layers develop on the present material, which can be used to improve the oxidation resistance of, e.g. stainless steel electrodes.

  5. TaN resistor process development and integration.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Romero, Kathleen; Martinez, Marino John; Clevenger, Jascinda

    This paper describes the development and implementation of an integrated resistor process based on reactively sputtered tantalum nitride. Image reversal lithography was shown to be a superior method for liftoff patterning of these films. The results of a response surface DOE for the sputter deposition of the films are discussed. Several approaches to stabilization baking were examined and the advantages of the hot plate method are shown. In support of a new capability to produce special-purpose HBT-based Small-Scale Integrated Circuits (SSICs), we developed our existing TaN resistor process, designed for research prototyping, into one with greater maturity and robustness. Includedmore » in this work was the migration of our TaN deposition process from a research-oriented tool to a tool more suitable for production. Also included was implementation and optimization of a liftoff process for the sputtered TaN to avoid the complicating effects of subtractive etching over potentially sensitive surfaces. Finally, the method and conditions for stabilization baking of the resistors was experimentally determined to complete the full implementation of the resistor module. Much of the work to be described involves the migration between sputter deposition tools - from a Kurt J. Lesker CMS-18 to a Denton Discovery 550. Though they use nominally the same deposition technique (reactive sputtering of Ta with N{sup +} in a RF-excited Ar plasma), they differ substantially in their design and produce clearly different results in terms of resistivity, conformity of the film and the difference between as-deposited and stabilized films. We will describe the design of and results from the design of experiments (DOE)-based method of process optimization on the new tool and compare this to what had been used on the old tool.« less

  6. Hollow waveguides with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films

    NASA Astrophysics Data System (ADS)

    Zhao, Y.; Jenkins, M.; Measor, P.; Leake, K.; Liu, S.; Schmidt, H.; Hawkins, A. R.

    2011-02-01

    A type of integrated hollow core waveguide with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films is demonstrated. Hollow core waveguides made with a combination of plasma-enhanced chemical vapor deposition SiO2 and sputtered Ta2O5 provide a nearly optimal structure for optofluidic biofluorescence measurements with low optical loss, high fabrication yield, and low background photoluminescence. Compared to earlier structures made using Si3N4, the photoluminescence background of Ta2O5 based hollow core waveguides is decreased by a factor of 10 and the signal-to-noise ratio for fluorescent nanobead detection is improved by a factor of 12.

  7. Pulsed Laser Deposition of High Temperature Protonic Films

    NASA Technical Reports Server (NTRS)

    Dynys, Fred W.; Berger, M. H.; Sayir, Ali

    2006-01-01

    Pulsed laser deposition has been used to fabricate nanostructured BaCe(0.85)Y(0.15)O3- sigma) films. Protonic conduction of fabricated BaCe(0.85)Y(0.15)O(3-sigma) films was compared to sintered BaCe(0.85)Y(0.15)O(3-sigma). Sintered samples and laser targets were prepared by sintering BaCe(0.85)Y(0.15)O(3-sigma) powders derived by solid state synthesis. Films 1 to 8 micron thick were deposited by KrF excimer laser on porous Al2O3 substrates. Thin films were fabricated at deposition temperatures of 700 to 950 C at O2 pressures up to 200 mTorr using laser pulse energies of 0.45 - 0.95 J. Fabricated films were characterized by X-ray diffraction, electron microscopy and electrical impedance spectroscopy. Single phase BaCe(0.85)Y(0.15)O(3-sigma) films with a columnar growth morphology are observed with preferred crystal growth along the [100] or [001] direction. Results indicate [100] growth dependence upon laser pulse energy. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C to 900 C. Electrical conduction behavior was dependent upon film deposition temperature. Maximum conductivity occurs at deposition temperature of 900 oC; the electrical conductivity exceeds the sintered specimen. All other deposited films exhibit a lower electrical conductivity than the sintered specimen. Activation energy for electrical conduction showed dependence upon deposition temperature, it varied

  8. Nano suboxide layer generated in Ta{sub 2}O{sub 5} by Ar{sup +} ion irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, W. D., E-mail: song-wendong@dsi.a-star.edu.sg, E-mail: ying-ji-feng@dsi.a-star.edu.sg; Ying, J. F., E-mail: song-wendong@dsi.a-star.edu.sg, E-mail: ying-ji-feng@dsi.a-star.edu.sg; He, W.

    2015-01-19

    Ta{sub 2}O{sub 5}/TaO{sub x} heterostructure has become a leading oxide layer in memory cells and/or a bidirectional selector for resistive random access memory (RRAM). Although atomic layer deposition (ALD) was found to be uniquely suitable for depositing uniform and conformal films on complex topographies, it is hard to use ALD to grow suboxide TaO{sub x} layer. In this study, tantalum oxide films with a composition of Ta{sub 2}O{sub 5} were grown by ALD. Using Ar{sup +} ion irradiation, the suboxide was formed in the top layer of Ta{sub 2}O{sub 5} films by observing the Ta core level shift toward lowermore » binding energy with angle-resolved X-ray photoelectron spectroscopy. By controlling the energy and irradiation time of an Ar{sup +} ion beam, Ta{sub 2}O{sub 5}/TaO{sub x} heterostructure can be reliably produced on ALD films, which provides a way to fabricate the critical switching layers of RRAM.« less

  9. Role of the Heat Sink Layer Ta for Ultrafast Spin Dynamic Process in Amorphous TbFeCo Thin Films

    NASA Astrophysics Data System (ADS)

    Ren, Y.; Zhang, Z. Z.; Min, T.; Jin, Q. Y.

    The ultrafast demagnetization processes (UDP) in Ta (t nm)/TbFeCo (20 nm) films have been studied using the time-resolved magneto-optical Kerr effect (TRMOKE). With a fixed pump fluence of 2 mJ/cm2, for the sample without a Ta underlayer (t=0nm), we observed the UDP showing a two-step decay behavior, with a relatively longer decay time (τ2) around 3.0 ps in the second step due to the equilibrium of spin-lattice relaxation following the 4f occupation. As a 10nm Ta layer is deposited, the two-step demagnetization still exists while τ2 decreases to ˜1.9ps. Nevertheless, the second-step decay (τ2=0ps) disappears as the Ta layer thickness is increased up to 20 nm, only the first-step UDP occurs within 500 fs, followed by a fast recovery process. The rapid magnetization recovery rate strongly depends on the pump fluence. We infer that the Ta layer provides conduction electrons involving the thermal equilibrium of spin-lattice interaction and serves as heat bath taking away energy from spins of TbFeCo alloy film in UDP.

  10. Electric field modulated ferromagnetism in ZnO films deposited at room temperature

    NASA Astrophysics Data System (ADS)

    Bu, Jianpei; Liu, Xinran; Hao, Yanming; Zhou, Guangjun; Cheng, Bin; Huang, Wei; Xie, Jihao; Zhang, Heng; Qin, Hongwei; Hu, Jifan

    2018-04-01

    The ZnO film deposited at room temperature, which is composed of the amorphous-phase background plus a few nanograins or nanoclusters (about 1-2 nm), exhibits room temperature ferromagnetism (FM). Such FM is found to be connected with oxygen vacancies. For the Ta/ZnO/Pt device based on the medium layer ZnO deposited at room temperature, the saturation magnetization not only is modulated between high and low resistive states by electric voltage with DC loop electric current but also increases/decreases through adjusting the magnitudes of positive/negative DC sweeping voltage. Meanwhile, the voltage-controlled conductance quantization is observed in Ta/ZnO/Pt, accompanying the voltage-controlled magnetization. However, the saturation magnetization of the Ta/ZnO/Pt device becomes smaller under positive electric voltage and returns in some extent under negative electric voltage, when the DC loop electric current is not applied.

  11. Effect of a CoFeB layer on the anisotropic magnetoresistance of Ta/CoFeB/MgO/NiFe/MgO/CoFeB/Ta films

    NASA Astrophysics Data System (ADS)

    Li, Minghua; Shi, Hui; Dong, Yuegang; Ding, Lei; Han, Gang; Zhang, Yao; Liu, Ye; Yu, Guanghua

    2017-10-01

    The anisotropic magnetoresistance (AMR) and magnetic properties of NiFe films can be remarkably enhanced via CoFeB layer. In the case of an ultrathin NiFe film having a Ta/CoFeB/MgO/NiFe/MgO/CoFeB/Ta structure, the CoFeB/MgO layers suppressed the formation of magnetic dead layers and the interdiffusions and interface reactions between the NiFe and Ta layers. The AMR reached a maximum value of 3.56% at 450 °C. More importantly, a single NiFe (1 1 1) peak can be formed resulting in higher AMR values for films having CoFeB layer. This enhanced AMR also originated from the significant specular reflection of electrons owing to the crystalline MgO layer, together with the sharp interfaces with the NiFe layer. These factors together resulted in higher AMR and improved magnetic properties.

  12. Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111)

    NASA Astrophysics Data System (ADS)

    Jeon, Hyeongtag; Jung, Bokhee; Kim, Young Do; Yang, Woochul; Nemanich, R. J.

    2000-09-01

    This study examines the effects of a thin Ta interlayer on the formation of TiSi2 on Si(111) substrate. The Ta interlayer was introduced by depositing Ta and Ti films sequentially on an atomically clean Si(111) substrate in an ultrahigh vacuum (UHV) system. Samples of 100 Å Ti with 5 and 10 Å Ta interlayers were compared to similar structures without an interlayer. After deposition, the substrates were annealed for 10 min, in situ, at temperatures between 500 and 750 °C in 50 °C increments. The TiSi2 formation with and without the Ta interlayer was analyzed with an X-ray diffractometer, Auger electron spectroscopy (AES), Scanning electron microscopy (SEM), transmission electron microscopy (TEM), and a four-point probe. The AES analysis data showed a 1:2 ratio of Ti:Si in the Ti-silicide layer and indicated that the Ta layer remained at the interface between TiSi2 and the Si(111) substrate. The C 49-C 54 TiSi2 phase transition temperature was lowered by ˜200 °C. The C 49-C 54 TiSi2 phase transition temperature was 550 °C for the samples with a Ta interlayer and was 750 °C for the samples with no Ta interlayer. The sheet resistance of the Ta interlayered Ti silicide showed lower values of resistivity at low temperatures which indicated the change in phase transition temperature. The C 54 TiSi2 displayed different crystal orientation when the Ta interlayer was employed. The SEM and TEM micrographs showed that the TiSi2 with a Ta interlayer significantly suppressed the tendency to islanding and surface agglomeration.

  13. Intense photoluminescence from amorphous tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Zhu, Minmin; Zhang, Zhengjun; Miao, Wei

    2006-07-01

    Tantalum oxide films were deposited on silicon substrates at a temperature of ˜450°C by heating a pure tantalum foil in a rough vacuum. The films were amorphous in structure and consisted of fully oxidized Ta2O5 and (TaOx, x <2.5) suboxides. This feature resulted in strong visible light emission from the films further oxidized in the air at temperatures of 200-300°C. The mechanism for this photoluminescence behavior of the amorphous tantalum oxide films was also investigated and discussed. This study suggests that wide-band-gap materials could act as effective visible light emitters and provides a simple route to synthesize such materials.

  14. Hydrogen gas sensors using a thin Ta2O5 dielectric film

    NASA Astrophysics Data System (ADS)

    Kim, Seongjeen

    2014-12-01

    A capacitive-type hydrogen gas sensor with a MIS (metal-insulator-semiconductor) structure was investigated for high-temperature applications. In this work, a tantalum oxide (Ta2O5) layer of tens of nanometers in thickness formed by oxidizing tantalum film in rapid thermal processing (RTP) was exploited with the purpose of sensitivity improvement. Silicon carbide (SiC), which is good even at high temperatures over 500 °C, was used as the substrate. We fabricated sensors composed of Pd/Ta2O5/SiC, and the dependences of the capacitance response properties and the I-V characteristics on the hydrogen concentration were analyzed from the temperature range of room temperature to 500 °C. As a result, our hydrogen sensor showed promising performance with respect to the sensitivity and the adaptability at high temperature.

  15. Atomic layer deposited high-k nanolaminate capacitors

    NASA Astrophysics Data System (ADS)

    Smith, S. W.; McAuliffe, K. G.; Conley, J. F., Jr.

    2010-10-01

    Al 2O 3-Ta 2O 5 nanolaminate films were prepared via atomic layer deposition (ALD) on silicon with a single overall composition and thickness, but with a varying number of Al 2O 3/Ta 2O 5 bilayers. The composition of the films was roughly 57% Al 2O 3 and 43% Ta 2O 5 and the total film thickness was held at ˜58 nm, while the number of bilayers was varied from 3 to 192 by changing the target bilayer thickness from ˜19.2 nm to ˜0.3 nm. Varying the number of bilayers was found to impact electrical properties. Although, almost all laminate films exhibited leakage, breakdown, hysteresis, and overall dielectric constant intermediate between pure Al 2O 3 and Ta 2O 5 films, laminates with few bilayers exhibited leakage current density lower than Al 2O 3 over the range of ˜3.5-4.5 MV/cm. Select samples annealed at temperatures from 400 to 900 °C were compared with as-deposited laminates. Annealing the laminate films at low temperatures improved leakage and breakdown while higher temperature anneals degraded both leakage and breakdown but improved the effective dielectric constant. A figure of merit was used to evaluate the overall ability of the various films to store charge. It was found that the few bilayer laminates were ranked higher than the many bilayer laminates as well as above both the pure Ta 2O 5 and pure Al 2O 3 films. These results indicate that even for a fixed overall composition, the electrical properties of a nanolaminate can be adjusted by varying the number of bilayers.

  16. Surface chirality of CuO thin films.

    PubMed

    Widmer, Roland; Haug, Franz-Josef; Ruffieux, Pascal; Gröning, Oliver; Bielmann, Michael; Gröning, Pierangelo; Fasel, Roman

    2006-11-01

    We present X-ray photoelectron spectroscopy (XPS) and X-ray photoelectron diffraction (XPD) investigations of CuO thin films electrochemically deposited on an Au(001) single-crystal surface from a solution containing chiral tartaric acid (TA). The presence of enantiopure TA in the deposition process results in a homochiral CuO surface, as revealed by XPD. On the other hand, XPD patterns of films deposited with racemic tartaric acid or the "achiral" meso-tartaric acid are completely symmetric. A detailed analysis of the experimental data using single scattering cluster calculations reveals that the films grown with l(+)-TA exhibit a CuO(1) orientation, whereas growth in the presence of d(-)-TA results in a CuO(11) surface orientation. A simple bulk-truncated model structure with two terminating oxygen layers reproduces the experimental XPD data. Deposition with alternating enantiomers of tartaric acid leads to CuO films of alternating chirality. Enantiospecifity of the chiral CuO surfaces is demonstrated by further deposition of CuO from a solution containing racemic tartaric acid. The pre-deposited homochiral films exhibit selectivity toward the same enantiomeric deposition pathway.

  17. Effects of Processing Variables on Tantalum Nitride by Reactive-Ion-Assisted Magnetron Sputtering Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Chao‑Tsang; Shieh, Han‑Ping D.

    2006-08-01

    The binary compound tantalum nitride (TaN) and ternary compounds tantalum tungsten nitrides (Ta1-xWxNy) exhibit interesting properties such as high melting point, high hardness, and chemical inertness. Such nitrides were deposited on a tungsten carbide (WC) die and silicon wafers by ion-beam-sputter evaporation of the respective metal under nitrogen ion-assisted deposition (IAD). The effects of N2/Ar flux ratio, post annealing, ion-assisted deposition, deposition rate, and W doping in coating processing variables on hardness, load critical scratching, oxidation resistance, stress and surface roughness were investigated. The optimum N2/Ar flux ratios in view of the hardness and critical load of TaN and Ta1-xWxNy films were ranged from 0.9 to 1.0. Doping W into TaN to form Ta1-xWxNy films led significant increases in hardness, critical load, oxidation resistance, and reduced surface roughness. The optimum doping ratio was [W/(W+Ta)]=0.85. From the deposition rate and IAD experiments, the stress in the films is mainly contributed by sputtering atoms. The lower deposition rate at a high N2/Ar flux ratio resulted in a higher compressive stress. A high compressive residual stress accounts for a high hardness. The relatively high compressive stress was attributed primarily to peening by atoms, ions and electrons during film growth, the Ta1-xWxNy films showed excellent hardness and strength against a high temperature, and sticking phenomena can essentially be avoided through their use. Ta1-xWxNy films showed better performance than the TaN film in terms of mechanical properties and oxidation resistance.

  18. Ta-Pt Alloys as Gate Materials for Metal-Oxide-Semiconductor Field Effect Transistor Application

    NASA Astrophysics Data System (ADS)

    Huang, Chih-Feng; Tsui, Bing-Yue

    2009-03-01

    In this work we explore the thermal stability of sputter-deposited Ta-rich Ta-Pt alloys. The effects of group III and V impurities on their work function are also investigated. The Ta content ranges from 65 to 82 at. %. The main phase is σ Ta-Pt. The binding energies of core-level electrons of Ta and Pt are changed due to the intermixing of Ta and Pt, which is evidence that the work function of alloys is changed in metallic alloy systems. Binding energies are thermally stable up to 800 °C. Moreover, the incorporation of Pt in Ta film induces poor crystallization and a compound phase of Ta-Pt alloys. Transmission electron microscopy analysis confirmed the absence of a clear grain boundary in Ta-Pt alloys. The Ta and Pt depth profile shows uniformity in depth after 800 °C annealing for 30 min. The diffusion and distribution of impurities in the alloys were studied by secondary ion mass spectroscopy. Arsenic cannot diffuse in the alloys following annealing at 800 °C for 30 s. In contrast, boron can easily diffuse at 800 °C. The incorporation of impurities with a dosage of 5 ×1015 cm-2 in 60 nm Ta-Pt alloy by implantation did not significantly change the flat-band voltage following annealing at 800 °C.

  19. Effects of annealing on arrays of Ge nanocolumns formed by glancing angle deposition

    NASA Astrophysics Data System (ADS)

    Khare, C.; Gerlach, J. W.; Höche, T.; Fuhrmann, B.; Leipner, H. S.; Rauschenbach, B.

    2012-10-01

    Post-deposition thermal annealing of glancing angle deposited Ge nanocolumn arrays was carried out in a continuous Ar-flow at temperatures ranging from TA = 300 to 800 °C for different annealing durations. Morphological alterations and the recrystallization process induced by the thermal annealing treatment were investigated for the Ge nanocolumns deposited on planar and pre-patterned Si substrates. From X-ray diffraction (XRD) measurements, the films annealed at TA ≥ 500 °C were found to be polycrystalline. On planar Si substrates, at TA = 600 °C nanocolumns exhibited strong coarsening and merging, while a complete disintegration of the nanocolumns was detected at TA = 700 °C. The morphology of nanostructures deposited on pre-patterned substrates differs substantially, where the merging or column-disintegration effect was absent at elevated annealing temperatures. The two-arm-chevron nanostructures grown on pre-patterned substrates retained their complex shape and morphology, after extended annealing intervals. Investigations by transmission electron microscopy revealed nanocrystalline domains of the order of 5-30 nm (in diameter) present within the chevron structures after the annealing treatment.

  20. Highly Active GaN-Stabilized Ta3 N5 Thin-Film Photoanode for Solar Water Oxidation.

    PubMed

    Zhong, Miao; Hisatomi, Takashi; Sasaki, Yutaka; Suzuki, Sayaka; Teshima, Katsuya; Nakabayashi, Mamiko; Shibata, Naoya; Nishiyama, Hiroshi; Katayama, Masao; Yamada, Taro; Domen, Kazunari

    2017-04-18

    Ta 3 N 5 is a very promising photocatalyst for solar water splitting because of its wide spectrum solar energy utilization up to 600 nm and suitable energy band position straddling the water splitting redox reactions. However, its development has long been impeded by poor compatibility with electrolytes. Herein, we demonstrate a simple sputtering-nitridation process to fabricate high-performance Ta 3 N 5 film photoanodes owing to successful synthesis of the vital TaO δ precursors. An effective GaN coating strategy is developed to remarkably stabilize Ta 3 N 5 by forming a crystalline nitride-on-nitride structure with an improved nitride/electrolyte interface. A stable, high photocurrent density of 8 mA cm -2 was obtained with a CoPi/GaN/Ta 3 N 5 photoanode at 1.2 V RHE under simulated sunlight, with O 2 and H 2 generated at a Faraday efficiency of unity over 12 h. Our vapor-phase deposition method can be used to fabricate high-performance (oxy)nitrides for practical photoelectrochemical applications. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Preparation of W-Ta thin-film thermocouple on diamond anvil cell for in-situ temperature measurement under high pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Jie; Fundamental Department, Aviation University, Changchun 130022; Li Ming

    2011-04-15

    In this paper, a W-Ta thin-film thermocouple has been integrated on a diamond anvil cell by thin-film deposition and photolithography methods. The thermocouple was calibrated and its thermal electromotive force was studied under high pressure. The results indicate that the thermal electromotive force of the thermocouple exhibits a linear relationship with temperature and is not associated with pressure. The resistivity measurement of ZnS powders under high pressure at different temperatures shows that the phase transition pressure decreases as the temperature increases.

  2. Diffusion barrier properties of single- and multilayered quasi-amorphous tantalum nitride thin films against copper penetration

    NASA Astrophysics Data System (ADS)

    Chen, G. S.; Chen, S. T.

    2000-06-01

    Tantalum-related thin films containing different amounts of nitrogen are sputter deposited at different argon-to-nitrogen flow rate ratios on (100) silicon substrates. Using x-ray diffractometry, transmission electron microscopy, composition and resistivity analyses, and bending-beam stress measurement technique, this work examines the impact of varying the nitrogen flow rate, particularly on the crystal structure, composition, resistivity, and residual intrinsic stress of the deposited Ta2N thin films. With an adequate amount of controlled, reactive nitrogen in the sputtering gas, thin films of the tantalum nitride of nominal formula Ta2N are predominantly amorphous and can exist over a range of nitrogen concentrations slightly deviated from stoichiometry. The single-layered quasi-amorphous Ta2N (a-Ta2N) thin films yield intrinsic compressive stresses in the range 3-5 GPa. In addition, the use of the 40-nm-thick a-Ta2N thin films with different nitrogen atomic concentrations (33% and 36%) and layering designs as diffusion barriers between silicon and copper are also evaluated. When subjected to high-temperature annealing, the single-layered a-Ta2N barrier layers degrade primarily by an amorphous-to-crystalline transition of the barrier layers. Crystallization of the single-layered stoichiometric a-Ta2N (Ta67N33) diffusion barriers occurs at temperatures as low as 450 °C. Doing so allows copper to preferentially penetrate through the grain boundaries or thermal-induced microcracks of the crystallized barriers and react with silicon, sequentially forming {111}-facetted pyramidal Cu3Si precipitates and TaSi2 Overdoping nitrogen into the amorphous matrix can dramatically increase the crystallization temperature to 600 °C. This temperature increase slows down the inward diffusion of copper and delays the formation of both silicides. The nitrogen overdoped Ta2N (Ta64N36) diffusion barriers can thus be significantly enhanced so as to yield a failure temperature 100 °C

  3. Application of cluster-plus-glue-atom model to barrierless Cu–Ni–Ti and Cu–Ni–Ta films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Xiaona, E-mail: lixiaona@dlut.edu.cn; Ding, Jianxin; Wang, Miao

    To improve the thermal stability of copper and avoid its diffusion into surrounding dielectrics or interfacial reactions with them, the authors applied the cluster-plus-glue-atom model to investigate barrierless Cu–Ni–M (M = Ti or Ta) seed layers. The dissolution of the third element (Ti or Ta) in the Cu lattice with the aid of Ni significantly improved the thermal stability of the Cu seed layer. The appropriate M/Ni (M = Ti or Ta) ratio was selected to obtain a low resistivity: the resistivity was as low as 2.5 μΩ cm for the (Ti{sub 1.5/13.5}Ni{sub 12/13.5}){sub 0.3}Cu{sub 99.7} film and 2.8 μΩ cm for the (Ta{sub 1.1/13.1}Ni{sub 12/13.1}){submore » 0.4}Cu{sub 99.6} film after annealing at 500 °C for 1 h. After annealing at 500 °C for 40 h, the two films remained stable without forming a Cu{sub 3}Si compound. The authors confirmed that the range of applications of the cluster-plus-glue-atom model could be extended. Therefore, a third element M with negative enthalpies of mixing with both Cu and Ni could be selected, under the premise that the mixing enthalpy of M–Ni is more negative than that of M–Cu.« less

  4. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  5. Dielectric relaxation study of amorphous TiTaO thin films in a large operating temperature range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rouahi, A.; Kahouli, A.; Laboratoire Materiaux, Organisation et Proprietes

    2012-11-01

    Two relaxation processes have been identified in amorphous TiTaO thin films deposited by reactive magnetron sputtering. The parallel angle resolved x-ray photoelectron spectroscopy and field emission scanning electron microscopy analyses have shown that this material is composed of an agglomerates mixture of TiO{sub 2}, Ta{sub 2}O{sub 5}, and Ti-Ta bonds. The first relaxation process appears at low temperature with activation energy of about 0.26 eV and is related to the first ionisation of oxygen vacancies and/or the reduction of Ti{sup 4+} to Ti{sup 3+}. The second relaxation process occurs at high temperature with activation energy of 0.95 eV. This lastmore » peak is associated to the diffusion of the doubly ionized oxygen vacancies V{sub O}e. The dispersion phenomena observed at high temperature can be attributed to the development of complex defect such as (V{sub O}e - 2Ti{sup 3+}).« less

  6. Low Temperature, Selective Atomic Layer Deposition of Nickel Metal Thin Films.

    PubMed

    Kerrigan, Marissa M; Klesko, Joseph P; Blakeney, Kyle J; Winter, Charles H

    2018-04-25

    We report the growth of nickel metal films by atomic layer deposition (ALD) employing bis(1,4-di- tert-butyl-1,3-diazadienyl)nickel and tert-butylamine as the precursors. A range of metal and insulating substrates were explored. An initial deposition study was carried out on platinum substrates. Deposition temperatures ranged from 160 to 220 °C. Saturation plots demonstrated self-limited growth for both precursors, with a growth rate of 0.60 Å/cycle. A plot of growth rate versus substrate temperature showed an ALD window from 180 to 195 °C. Crystalline nickel metal was observed by X-ray diffraction for a 60 nm thick film deposited at 180 °C. Films with thicknesses of 18 and 60 nm grown at 180 °C showed low root mean square roughnesses (<2.5% of thicknesses) by atomic force microscopy. X-ray photoelectron spectroscopies of 18 and 60 nm thick films deposited on platinum at 180 °C revealed ionizations consistent with nickel metal after sputtering with argon ions. The nickel content in the films was >97%, with low levels of carbon, nitrogen, and oxygen. Films deposited on ruthenium substrates displayed lower growth rates than those observed on platinum substrates. On copper substrates, discontinuous island growth was observed at ≤1000 cycles. Film growth was not observed on insulating substrates under any conditions. The new nickel metal ALD procedure gives inherently selective deposition on ruthenium and platinum from 160 to 220 °C.

  7. Deposition and characterization of magnetron sputtered bcc tantalum

    NASA Astrophysics Data System (ADS)

    Patel, Anamika

    The goal of this thesis was to provide scientific and technical research results for developing and characterizing tantalum (Ta) coatings on steel substrates deposited by DC magnetron sputtering. Deposition of tantalum on steel is of special interest for the protection it offers to surfaces, e.g. the surfaces of gun barrels against the erosive wear of hot propellant gases and the mechanical damage caused by the motion of launching projectiles. Electro-plated chromium is presently most commonly used for this purpose; however, it is considered to be carcinogenic in its hexavalent form. Tantalum is being investigated as non-toxic alternative to chromium and also because of its superior protective properties in these extreme environments. DC magnetron sputtering was chosen for this investigation of tantalum coatings on steel substrates because it is a versatile industrial proven process for deposition of metals. Sputter deposited Ta films can have two crystallographic structures: (1) body center cubic (bcc) phase, characterized by high toughness and high ductility and (2) a tetragonal beta phase characterized by brittleness and a tendency to fail under stress. It was found in this work that the bcc Ta coatings on steel can be obtained reliably by either of two methods: (1) depositing Ta on a submicron, stoichiometric TaN seed layer reactively sputtered on unheated steel and (2) depositing Ta directly on steel heated above a critical temperature. For argon sputtering gas this critical temperature was found to be 400°C at a pressure of 5 mtorr. With the heavier krypton gas, this critical temperature is reduced to 350°C. X-ray diffraction (XRD) was used to investigate the structure of tantalum and nitride films, and the composition of the nitride films was measured by nuclear reaction analyses (NRA), which were used to study in detail the enhancement of the bcc phase of Ta on steel. The scratch adhesion tests performed with a diamond hemispherical tip of radius 200 mum

  8. Preparation of W-Ta thin-film thermocouple on diamond anvil cell for in-situ temperature measurement under high pressure.

    PubMed

    Yang, Jie; Li, Ming; Zhang, Honglin; Gao, Chunxiao

    2011-04-01

    In this paper, a W-Ta thin-film thermocouple has been integrated on a diamond anvil cell by thin-film deposition and photolithography methods. The thermocouple was calibrated and its thermal electromotive force was studied under high pressure. The results indicate that the thermal electromotive force of the thermocouple exhibits a linear relationship with temperature and is not associated with pressure. The resistivity measurement of ZnS powders under high pressure at different temperatures shows that the phase transition pressure decreases as the temperature increases. © 2011 American Institute of Physics

  9. Laser-deposited thin films of biocompatible ceramic

    NASA Astrophysics Data System (ADS)

    Jelinek, Miroslav; Olsan, V.; Jastrabik, Lubomir; Dostalova, Tatjana; Himmlova, Lucia; Kadlec, Jaromir; Pospichal, M.; Simeckova, M.; Fotakis, Costas

    1995-03-01

    Thin films of biocompatible materials such as hydroxylapatite (HA) - Ca10 (PO4)6(OH)2 were deposited by laser ablation technique. The films of HA were created on Ti substrates by KrF laser. The layers were deposited in vacuum, in pure H2O vapors (pressure 2 X 10-3 mbar - 2 X 10-1 mbar), and in Ar/H2O vapor mixture. Influence of laser energy density ET (3 Jcm-2, 13 Jcm-2) and substrate temperature Tg (500 degree(s)C - 760 degree(s)C) on the film parameters was studied. Two different technological processes were used for HA target preparation. Films and targets were characterized by Rutherford backscattering analysis (RBS), particle induced x-ray emission (PIXE), x-ray diffraction (XRD), scanning electron microscopy (SEM) and by Knoop microhardness and scratch test. The best crystalline HA films were reached in the mixture of Ar/H2O. Higher Tg had to be used for such deposition. Higher Tg was also preferable from the point of film microhardness. Adhesion of films to the substrates in the range of tens of Newtons was measured. The preliminary results of in vitro experiments of films biotolerance and resorbability are also presented.

  10. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  11. Morphological phase diagrams of C60 and C70 films on graphite

    NASA Astrophysics Data System (ADS)

    Sato, Kazuma; Tanaka, Tomoyasu; Akaike, Kouki; Kanai, Kaname

    2017-10-01

    The morphologies of C60 and C70 fullerene films vacuum-deposited onto graphite at various deposition rates and grown at several temperatures were investigated using atomic force microscopy. These fullerene films on graphite are model systems of physisorption of organic molecules that likely exhibit little chemical interaction with the graphite's surface. The morphologies of C60 and C70 films grown on graphite can be understood well from growth models previously reported. Comparison of the morphological phase diagrams obtained for C60 and C70 indicate that the diffusion properties of the adsorbed molecule are key in determining the morphology of the obtained film. The low diffusion rate of C70 resulted in various film morphologies for all deposition conditions tested. Also, the obtained phase diagrams can be understood by the results of fractal dimension analysis on the C60 and C70 islands. The fundamental understanding of film growth obtained using these ideal physisorption systems will aid in understanding film growth by other molecular adsorption systems.

  12. C_7F_16/He rf plasma CVD of a-C:F films

    NASA Astrophysics Data System (ADS)

    Hokoi, Kohji; Akazawa, Masamichi; Sugawara, Hirotake; Sakai, Yosuke

    2000-10-01

    Fluorinated carbon is one of the most promissing materials with low dielectric constant ɛr and high dielectric strength V_b. We have deposited a-C:F films by rf (13.56 MHz) plasma enhanced CVD method using the following liquid materials; C_7F_16, (C_3F_7)_3N/(C_4F_9)_3N and C_8F_18/C_8F_16O.(C. P. Lungu et al.), Jpn. J. Appl. Phys. 38, L1544--6 (1999) The films showed ɛr values in a range of 1.9--3.0 and V_b>2 MV/cm. In this work, we added He (3 Pa) to C_7F_16 (60 Pa) plasmas, expecting that He atoms in the metastable excited state (He*, 19.8 eV) would promote C_7F_16 decomposition in gas phase or activation of the film surface during deposition. The films with the thickness up to 2300 nm were deposited on unheated Si substrate with an rf power of 100 W. The deposition rate derived from the film thickness measurement by SEM and ellipsometry was about 230 nm/min. This value is roughly two times as large as that of the films deposited by C_7F_16 (60 Pa) plasmas without He. We discuss the mechanism that leads to such a significant increase in the deposition rate.

  13. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  14. Possible ferroelectricity in perovskite oxynitride SrTaO2N epitaxial thin films

    PubMed Central

    Oka, Daichi; Hirose, Yasushi; Kamisaka, Hideyuki; Fukumura, Tomoteru; Sasa, Kimikazu; Ishii, Satoshi; Matsuzaki, Hiroyuki; Sato, Yukio; Ikuhara, Yuichi; Hasegawa, Tetsuya

    2014-01-01

    Compressively strained SrTaO2N thin films were epitaxially grown on SrTiO3 substrates using nitrogen plasma-assisted pulsed laser deposition. Piezoresponse force microscopy measurements revealed small domains (101–102 nm) that exhibited classical ferroelectricity, a behaviour not previously observed in perovskite oxynitrides. The surrounding matrix region exhibited relaxor ferroelectric-like behaviour, with remanent polarisation invoked by domain poling. First-principles calculations suggested that the small domains and the surrounding matrix had trans-type and a cis-type anion arrangements, respectively. These experiments demonstrate the promise of tailoring the functionality of perovskite oxynitrides by modifying the anion arrangements by using epitaxial strain.

  15. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  16. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  17. Thick c-BN films deposited by radio frequency magnetron sputtering in argon/nitrogen gas mixture with additional hydrogen gas

    NASA Astrophysics Data System (ADS)

    Zhao, Yan; Gao, Wei; Xu, Bo; Li, Ying-Ai; Li, Hong-Dong; Gu, Guang-Rui; Yin, Hong

    2016-10-01

    The excellent physical and chemical properties of cubic boron nitride (c-BN) film make it a promising candidate for various industry applications. However, the c-BN film thickness restricts its practical applications in many cases. Thus, it is indispensable to develop an economic, simple and environment-friend way to synthesize high-quality thick, stable c-BN films. High-cubic-content BN films are prepared on silicon (100) substrates by radio frequency (RF) magnetron sputtering from an h-BN target at low substrate temperature. Adhesions of the c-BN films are greatly improved by adding hydrogen to the argon/nitrogen gas mixture, allowing the deposition of a film up to 5-μm thick. The compositions and the microstructure morphologies of the c-BN films grown at different substrate temperatures are systematically investigated with respect to the ratio of H2 gas content to total working gas. In addition, a primary mechanism for the deposition of thick c-BN film is proposed. Project supported by the National Natural Science Foundation of China (Grant Nos. 51572105, 61504046, and 51272224), the Scientific Research Foundation for the Returned Overseas Chinese Scholars, State Education Ministry, China, the Development and Reform Commission of Jilin Province, China (Grant No. 2015Y050), and the Scientific Research Foundation for the Returned Overseas of Jilin Province, China.

  18. Substrate bias effects on composition and coercivity of CoCrTa/Cr thin films on canasite and glass

    NASA Astrophysics Data System (ADS)

    Deng, Y.; Lambeth, D. N.; Sui, X.; Lee, L.-L.; Laughlin, D. E.

    1993-05-01

    CoCrTa/Cr thin films were prepared by rf diode sputtering onto canasite and glass substrates at various bias voltages from two targets of different compositions (Co82.8Cr14.6Ta2.6 and Co86Cr12Ta2). While Auger depth profile analysis indicates that there is some broadening at the CoCrTa-Cr interface, x-ray fluorescence spectroscopy reveals that changes in alloy composition due to the resputtering processes are even more prominent. For both targets, as the substrate bias increases the Co content in the films declines, and the magnetization decreases. The maximum film coercivity appears to correlate to the final film composition. By investigating the results from both targets, it is concluded that the coercivity reaches a maximum when the film composition is in the neighborhood of Co84Cr13Ta3. Thus, to optimize the coercivity different bias voltages are required for each target. Excessive substrate bias, however, leads to films with low magnetization and coercivity.

  19. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less

  20. Magneto-optical properties of CoFeB ultrathin films: Effect of Ta buffer and capping layer

    NASA Astrophysics Data System (ADS)

    Husain, Sajid; Gupta, Nanhe Kumar; Barwal, Vineet; Chaudhary, Sujeet

    2018-05-01

    The effect of adding Ta as a capping and buffer layer on ultrathin CFB(Co60Fe20B20) thin films has been investigated by magneto-optical Kerr effect. A large difference in the coercivity and saturation field is observed between the single layer CFB(2nm) and Ta(5nm)/CFB(2nm)/Ta(2nm) trilayer structure. In particular, the in-plane anisotropy energy is found to be 90kJ/m3 on CFB(2nm) and 2.22kJ/m3 for Ta(5nm)/CFB(2nm)/Ta(2nm) thin films. Anisotropy energy further reduced to 0.93kJ/m3 on increasing the CFB thinness in trilayer structure i.e., Ta(5nm)/CFB(4nm)/Ta(2nm). Using VSM measurement, the saturation magnetization is found to be 1230±50 kA/m. Low coercivity and anisotropy energy in capped and buffer layer thin films envisage the potential of employing CFB for low field switching applications of the spintronic devices.

  1. The adsorption and dissociation of O2 on Pd and Pt modified TaC (1 0 0) surface: A first principles study

    NASA Astrophysics Data System (ADS)

    Meng, Yanan; Zhang, Xilin; Mao, Jianjun; Xu, Xiaopei; Yang, Zongxian

    2018-05-01

    The adsorption and dissociation of O2 on the palladium and platinum modified TaC (1 0 0) surfaces were investigated based on the density functional theory calculations. It is found that the adsorption sites of O2 are the Ta-Ta bridge sites on both the partially covered TaC (1 0 0) surfaces by Pd and Pt, M4/TaC (1 0 0) (M = Pd and Pt), while the 4-fold metal hollow sites and the metal-metal bridge sites are preferred on the fully covered TaC (1 0 0) surfaces by Pd and Pt monolayer, MML/TaC (1 0 0), respectively. The deposition of Pd or Pt can enhance the oxidation resistance of TaC (1 0 0). Meanwhile, the TaC (1 0 0) decorated by monolayer Pd still exhibited outstanding catalytic activity for O2 dissociation. Our study might be useful to designing efficient catalysts for the oxygen reduction reaction.

  2. C-QDs@UiO-66-(COOH)2 Composite Film via Electrophoretic Deposition for Temperature Sensing.

    PubMed

    Feng, Ji-Fei; Gao, Shui-Ying; Shi, Jianlin; Liu, Tian-Fu; Cao, Rong

    2018-03-05

    Temperature plays a crucial role in both scientific research and industry. However, traditional temperature sensors, such as liquid-filled thermometers, thermocouples, and transistors, require contact to obtain heat equilibrium between the probe and the samples during the measurement. In addition, traditional temperature sensors have limitations when being used to detect the temperature change of fast-moving samples at smaller scales. Herein, the carbon quantum dots (C-QDs) functionalized metal-organic framework (MOF) composite film, a novel contactless solid optical thermometer, has been prepared via electrophoretic deposition (EPD). Instead of terephthalic acid (H 2 BDC), 1',2',4',5'-benzenetetracarboxylic (H 4 BTEC) acid was employed to construct a UiO-66 framework to present two uncoordinated carboxylic groups decorated on the pore surface. The uncoordinated carboxylic groups can generate negative charges, which facilitates the deposition of film on the positive electrode during the EPD process. Moreover, UiO-66-(COOH) 2 MOFs can absorb C-QDs from the solution and prevent C-QDs from aggregating, and the well-dispersed C-QDs impart fluorescence characteristics to composites. As-synthesized composite film was successfully used to detect temperature change in the range of 97-297 K with a relative sensitivity up to 1.3% K -1 at 297 K.

  3. Plasma-deposited amorphous hydrogenated carbon films and their tribological properties

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.

    1989-01-01

    Recent work on the properties of diamondlike carbon films and their dependence on preparation conditions are reviewed. The results of the study indicate that plasma deposition enables one to deposit a variety of amorphous hydrogenated carbon (a-C:H ) films exhibiting more diamondlike behavior to more graphitic behavior. The plasma-deposited a-C:H can be effectively used as hard, wear-resistant, and protective lubricating films on ceramic materials such as Si(sub 3)N(sub 4) under a variety of environmental conditions such as moist air, dry nitrogrn, and vacuum.

  4. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  5. Highly c-axis-oriented monocrystalline Pb(Zr, Ti)O₃ thin films on si wafer prepared by fast cooling immediately after sputter deposition.

    PubMed

    Yoshida, Shinya; Hanzawa, Hiroaki; Wasa, Kiyotaka; Esashi, Masayoshi; Tanaka, Shuji

    2014-09-01

    We successfully developed sputter deposition technology to obtain a highly c-axis-oriented monocrystalline Pb(Zr, Ti)O3 (PZT) thin film on a Si wafer by fast cooling (~-180°C/min) of the substrate after deposition. The c-axis orientation ratio of a fast-cooled film was about 90%, whereas that of a slow-cooled (~-40°C/min) film was only 10%. The c-axis-oriented monocrystalline Pb(Zr0.5, Ti0.5)O3 films showed reasonably large piezoelectric coefficients, e(31,f) = ~-11 C/m(2), with remarkably small dielectric constants, ϵ(r) = ~220. As a result, an excellent figure of merit (FOM) was obtained for piezoelectric microelectromechanical systems (MEMS) such as a piezoelectric gyroscope. This c-axis orientation technology on Si will extend industrial applications of PZT-based thin films and contribute further to the development of piezoelectric MEMS.

  6. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  7. Structural Stability of Diffusion Barriers in Cu/Ru/MgO/Ta/Si

    PubMed Central

    Hsieh, Shu-Huei; Chen, Wen Jauh; Chien, Chu-Mo

    2015-01-01

    Various structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si were prepared by sputtering and electroplating techniques, in which the ultra-thin trilayer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is used as the diffusion barrier against the interdiffusion between Cu film and Si substrate. The various structures of Cu/Ru/MgO/Ta/Si were characterized by four-point probes for their sheet resistances, by X-ray diffractometers for their crystal structures, by scanning electron microscopes for their surface morphologies, and by transmission electron microscopes for their cross-section and high resolution views. The results showed that the ultra-thin tri-layer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is an effective diffusion barrier against the interdiffusion between Cu film and Si substrate. The MgO, and Ta layers as deposited are amorphous. The mechanism for the failure of the diffusion barrier is that the Ru layer first became discontinuous at a high temperature and the Ta layer sequentially become discontinuous at a higher temperature, the Cu atoms then diffuse through the MgO layer and to the substrate at the discontinuities, and the Cu3Si phases finally form. The maximum temperature at which the structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si are annealed and still have low sheet resistance is from 550 to 750 °C for the annealing time of 5 min and from 500 to 700 °C for the annealing time of 30 min. PMID:28347099

  8. Correlation between the transport mechanisms in conductive filaments inside Ta2O5-based resistive switching devices and in substoichiometric TaOx thin films

    NASA Astrophysics Data System (ADS)

    Rosário, Carlos M. M.; Thöner, Bo; Schönhals, Alexander; Menzel, Stephan; Wuttig, Matthias; Waser, Rainer; Sobolev, Nikolai A.; Wouters, Dirk J.

    2018-05-01

    Conductive filaments play a key role in redox-based resistive random access memory (ReRAM) devices based on the valence change mechanism, where the change of the resistance is ascribed to the modulation of the oxygen content in a local region of these conductive filaments. However, a deep understanding of the filaments' composition and structure is still a matter of debate. We approached the problem by comparing the electronic transport, at temperatures from 300 K down to 2 K, in the filaments and in TaOx films exhibiting a substoichiometric oxygen content. The filaments were created in Ta (15 nm)/Ta2O5 (5 nm)/Pt crossbar ReRAM structures. In the TaOx thin films with various oxygen contents, the in-plane transport was studied. There is a close similarity between the electrical properties of the conductive filaments in the ReRAM devices and of the TaOx films with x ˜ 1, evidencing also no dimensionality difference for the electrical transport. More specifically, for both systems there are two different conduction processes: one in the higher temperature range (from 50 K up to ˜300 K), where the conductivity follows a √{ T } dependence, and one at lower temperatures (<50 K), where the conductivity follows the exp(-1 / √{ T } ) dependence. This suggests a strong similarity between the material composition and structure of the filaments and those of the substoichiometric TaOx films. We also discuss the temperature dependence of the conductivity in the framework of possible transport mechanisms, mainly of those normally observed for granular metals.

  9. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    NASA Astrophysics Data System (ADS)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  10. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  11. Switchable and tunable film bulk acoustic resonator fabricated using barium strontium titanate active layer and Ta{sub 2}O{sub 5}/SiO{sub 2} acoustic reflector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sbrockey, N. M., E-mail: sbrockey@structuredmaterials.com; Tompa, G. S.; Kalkur, T. S.

    2016-08-01

    A solidly mounted acoustic resonator was fabricated using a Ba{sub 0.60}Sr{sub 0.40}TiO{sub 3} (BST) film deposited by metal organic chemical vapor deposition. The device was acoustically isolated from the substrate using a Bragg reflector consisting of three pairs of Ta{sub 2}O{sub 5}/SiO{sub 2} layers deposited by chemical solution deposition. Transmission electron microscopy verified that the Bragg reflector was not affected by the high temperatures and oxidizing conditions necessary to process high quality BST films. Electrical characterization of the resonator demonstrated a quality factor (Q) of 320 and an electromechanical coupling coefficient (K{sub t}{sup 2}) of 7.0% at 11 V.

  12. Effect of deposition temperature on thermal stabilities of copper-carbon films in barrier-less Cu metallization

    NASA Astrophysics Data System (ADS)

    Zhu, Huan; Fu, Zhiqiang; Xie, Qi; Yue, Wen; Wang, Chengbiao; Kang, Jiajie; Zhu, Lina

    2018-01-01

    Copper-carbon alloy films have been applied in barrier-less Cu metallization as seed layers for improving the thermal stabilities. The effect of the deposition temperature on the microstructure and properties of C-doped Cu films on Si substrates was investigated. The films were prepared by ion beam-assisted deposition at various deposition temperatures by co-sputtering of Cu and graphite targets. No inter-diffusion between Cu and Si was observed in Cu(C) films throughout this experiment, because XRD patterns corresponding to their deep-level reaction product, namely, Cu3Si, were not observed in XRD patterns and EDS results of Cu(C) films. Amorphous carbon layer and SiC layer were found in the interface of Cu(C) as-deposited films when deposition temperature rose to 100 °C by TEM, high-resolution image and Fourier transformation pattern. The Cu(C) films deposited at 100 °C had the best thermal stabilities and the lowest electrical resistivity of 4.44 μW cm after annealing at 400 °C for 1 h. Cu agglomeration was observed in Cu(C) alloy films with deposition temperatures of 200, 300 and 400 °C, and the most serious agglomeration occurred in Cu(C) films deposited at 200 °C. Undesired Cu agglomeration resulted in a sharp increase in the resistivity after annealing at 300 °C for 1 h. The deposition temperature of 100 °C reflected the superior thermal stabilities of Cu(C) seed layers compared with those of other layers.

  13. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  14. Plasma-enhanced pulsed-laser deposition of single-crystalline M o2C ultrathin superconducting films

    NASA Astrophysics Data System (ADS)

    Zhang, Fan; Zhang, Zhi; Wang, Huichao; Chan, Cheuk Ho; Chan, Ngai Yui; Chen, Xin Xin; Dai, Ji-Yan

    2017-08-01

    Transition-metal carbides (TMCs) possess many intriguing properties and inspiring application potentials, and recently the study of a two-dimensional form of TMCs has attracted great attention. Herein, we report successful fabrication of continuous M o2C ultrathin single-crystalline films at 700 ∘C with an approach of plasma-enhanced pulsed-laser deposition. By sophisticated structural analyses, the M o2C films are characterized as single crystal with a rarely reported face-centered cubic structure. In further electrical transport measurements, superconductivity observed in the M o2C films demonstrates a typical two-dimensional feature, which is consistent with Berezinskii-Kosterlitz-Thouless transitions. Besides, large upper critical magnetic fields are discovered in this system. Our work offers an approach to grow large-area and high-quality TMCs at relatively low temperatures. This study may stimulate more related investigations on the synthesis, characterizations, and applications of two-dimensional TMCs.

  15. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    NASA Astrophysics Data System (ADS)

    Bao, Quanhe; Chen, Chuanzhong; Wang, Diangang; Liu, Junming

    2008-11-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 43- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA.

  16. A very promising piezoelectric property of Ta{sub 2}O{sub 5} thin films. II: Birefringence and piezoelectricity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Audier, M., E-mail: Marc.Audier@grenoble-inp.fr; Chenevier, B.; Roussel, H.

    2011-08-15

    Birefringent and piezoelectric properties of Ta{sub 2}O{sub 5} ceramic thin films of monoclinic and trigonal structures were analyzed. The birefringence, observed by reflected polarized light microscopy, yields information on thin film microstructures, crystal shapes and sizes and on crystallographic orientations of grains of trigonal structure. Such an information was considered for investigating piezoelectric properties by laser Doppler vibrometry and by piezoresponse force microscopy. The vibration velocity was measured by applying an oscillating electric field between electrodes on both sides of a Ta{sub 2}O{sub 5} film deposited on a Si substrate which was pasted on an isolating mica sheet. In thismore » case, it is shown that the vibration velocity results were not only from a converse piezoelectric effect, proportional to the voltage, but also from the Coulomb force, proportional to the square of the voltage. A huge piezoelectric strain effect, up to 7.6%, is found in the case of Ta{sub 2}O{sub 5} of trigonal structure. From an estimation of the electrical field through the Ta{sub 2}O{sub 5} thin film, this strain likely corresponds to a very high longitudinal coefficient d{sub 33} of several thousand picometers. Results obtained by piezoresponse force microscopy show that trigonal grains exhibit a polarization at zero field, which is probably due to stress caused expansion in the transition monoclinic-trigonal, presented in a previous article (part I). - Graphical abstract: Image of cross-polarized optical microscopy showing grains of trigonal structure embedded in the monoclinic phase (on the left); (a) mounting of the sample for Laser Doppler Vibrometry, sample constituted of several layers and its equivalent electrical circuit; (b) longitudinal displacements due to converse piezoelectric and Coulomb effects and corresponding piezoelectric strain-U{sub app.}. hystereses. Highlights: > A new Ta{sub 2}O{sub 5} trigonal phase is shown to be birefringent

  17. Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Hyungjoo; Zhu Weiye; Liu Lei

    2013-05-15

    Selectivity of etching between physical vapor-deposited TiN and TaN was studied in chlorine-containing plasmas, under isotropic etching conditions. Etching rates for blanket films were measured in-situ using optical emission of the N{sub 2} (C{sup 3}{Pi}{sub u}{yields}B{sup 3}{Pi}{sub g}) bandhead at 337 nm to determine the etching time, and transmission electron microscopy to determine the starting film thickness. The etching selectivity in Cl{sub 2}/He or HCl/He plasmas was poor (<2:1). There was a window of very high selectivity of etching TiN over TaN by adding small amounts (<1%) of O{sub 2} in the Cl{sub 2}/He plasma. Reverse selectivity (10:1 of TaNmore » etching over TiN) was observed when adding small amounts of O{sub 2} to the HCl/He plasma. Results are explained on the basis of the volatility of plausible reaction products.« less

  18. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  19. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  20. X-ray analyses of thermally grown and reactively sputtered tantalum oxide films on NiTi alloy

    NASA Astrophysics Data System (ADS)

    McNamara, Karrina; Tofail, Syed A. M.; Conroy, Derek; Butler, James; Gandhi, Abbasi A.; Redington, Wynette

    2012-08-01

    Sputter deposition of tantalum (Ta) on the surface of NiTi alloy is expected to improve the alloy's corrosion resistance and biocompatibility. Tantalum is a well-known biomaterial which is not affected by body fluids and is not irritating to human tissue. Here we compare the oxidation chemistry crystal structure evolution of tantalum oxide films grown on NiTi by reactive O2 sputtering and by thermal oxidation of sputter deposited Ta films. The effect of sputtering parameters and post-sputtering treatments on the morphology, oxidation state and crystal structure of the tantalum oxide layer have been investigated by field-emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD). The study has found that it may be better to avoid oxidation at and above 600 °C. The study establishes that reactive sputtering in presence of low oxygen mixture yields thicker film with better control of the film quality except that the surface oxidation state of Ta is slightly lower.

  1. Magnetic properties of LCMO deposited films

    NASA Astrophysics Data System (ADS)

    Park, Seung-Iel; Jeong, Kwang Ho; Cho, Young Suk; Kim, Chul Sung

    2002-04-01

    La-Ca-Mn-O films were deposited with various thickness (500, 1000 and 1500°C) by RF-magnetron sputtering at 700°C and by the spin coating of sol-gel method at 400°C on LaAlO 3(1 0 0) and Si(1 0 0) single-crystal substrates. The crystal structure and chemical composition of the film grown by RF sputtering method were orthorhombic and La 0.89Ca 0.11MnO 3, respectively, while the film prepared by sol-gel spin coating was cubic with La 0.7Ca 0.3MnO 3. The temperature dependence of the resistance for the film grown by RF sputtering method with the thickness of 1000°C shows that a semiconductor-metal transition occurs at 242 K. The relative maximum magnetoresistance is about 273% at 226 K.

  2. Transport properties and c/a ratio of V{sub 2}O{sub 3} thin films grown on C- and R-plane sapphire substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Joe; Limelette, Patrice; Funakubo, Hiroshi

    2015-12-14

    We prepared V{sub 2}O{sub 3} thin films on C- or R-plane sapphire (Al{sub 2}O{sub 3}) substrates by a pulsed laser deposition method. X-ray diffraction analyses confirmed that single-phase V{sub 2}O{sub 3} films were epitaxially grown on both C- and R-planes under an Ar gas ambient of 2 × 10{sup −2} mbar at a substrate temperature of 873 K. Depending on the deposition conditions, c/a ratios at room temperature of (0001)-oriented V{sub 2}O{sub 3} films widely ranged from 2.79 to 2.88. Among them, the films of 2.81 ≤ c/a ≤ 2.84 showed complex metal (M)–insulator (I)–M transition during cooling from 300 to 10 K, while those of larger c/a ratiosmore » were accompanied by metallic properties throughout this temperature range. All the films on R-plane substrates underwent simple M-I transition at ∼150 K, which was more abrupt than the films on C-plane, whereas their c/a ratios were narrowly distributed. The distinct difference of M-I transition properties between C- and R-plane films is explained by the intrinsic a- and c-axes evolution through the transition from M to I phases.« less

  3. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  4. Interfacial development of electrophoretically deposited graphene oxide films on Al alloys

    DOE PAGES

    Jin, Sumin; Dickerson, James H.; Pham, Viet Hung; ...

    2015-07-28

    Adhesion between film and substrate is critical for electronic device and coating applications. Interfacial development between electrophoretically deposited graphene oxide films on Al 1100 and Al 5052 alloys were investigated using FT-IR and XPS depth profiling techniques. Obtained results suggest metal ion permeation from the substrates into deposited graphene oxide films. The interface between the films and the substrates were primarily composed of Al-O-C bonds from oxygenated defects on graphene oxide plane rather than expected Al-C formation. Films heat treated at 150 °C had change in microstructure and peak shifts in XPS spectra suggesting change in chemical structure of bondsmore » between the films and the substrates.« less

  5. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  6. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  7. Love-type surface acoustic wave on Y-X LiTaO3 with amorphous Ta2O5 thin film

    NASA Astrophysics Data System (ADS)

    Kakio, Shoji; Fukasawa, Haruka; Hosaka, Keiko

    2015-07-01

    In this study, to obtain a substrate structure with a lower phase velocity, the propagation properties of a Love-type surface acoustic wave (Love SAW) on Y-X LiTaO3 (LT) with an amorphous tantalum pentoxide (a-Ta2O5) thin film were investigated using a simple delay line and a resonator with a wavelength λ of 8 µm. The insertion loss of a simple delay line was decreased markedly by loading with an a-Ta2O5 film owing to a transformation from a leaky SAW (LSAW) to a non-leaky Love SAW. A phase velocity of 3,340 m/s, a coupling factor of 5.8%, and a propagation loss of 0.03 dB/λ were obtained for a normalized thickness h/λ of 0.120. Moreover, the resonance properties of the Love SAW were almost equal or superior to those for an LSAW on Al/36° Y-X LT, except for the fractional bandwidth.

  8. Beneficial effect of Cu on Ti-Nb-Ta-Zr sputtered uniform/adhesive gum films accelerating bacterial inactivation under indoor visible light.

    PubMed

    Alhussein, Akram; Achache, Sofiane; Deturche, Regis; Sanchette, Frederic; Pulgarin, Cesar; Kiwi, John; Rtimi, Sami

    2017-04-01

    This article presents the evidence for the significant effect of copper accelerating the bacterial inactivation on Ti-Nb-Ta-Zr (TNTZ) sputtered films on glass up to a Cu content of 8.3 at.%. These films were deposited by dc magnetron co-sputtering of an alloy target Ti-23Nb-0.7Ta-2Zr (at.%) and a Cu target. The fastest bacterial inactivation of E. coli on this later TNTZ-Cu surface proceeded within ∼75min. The films deposited by magnetron sputtering are chemically homogenous. The film roughness evaluated by atomic force spectroscopy (AFM) on the TNTZ-Cu 8.3 at.% Cu sample presented an RMS-value of 20.1nm being the highest RMS of any Cu-sputtered TNTZ sample. The implication of the RMS value found for this sample leading to the fastest interfacial bacterial inactivation kinetics is also discussed. Values for the Young's modulus and hardness are reported for the TNTZ films in the presence of various Cu-contents. Evaluation of the bacterial inactivation kinetics of E. coli under low intensity actinic hospital light and in the dark was carried out. The stable repetitive bacterial inactivation was consistent with the extremely low Cu-ion release from the samples of 0.4 ppb. Evidence is presented by the bacterial inactivation dependence on the applied light intensity for the intervention of Cu as semiconductor CuO during the bacterial inactivation at the TNTZ-Cu interface. The mechanism of CuO-intervention under light is suggested based on the pH/and potential changes registered during bacterial disinfection. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Production and characterization of titanium (Ti), platinum (Pt) and tantalum (Ta) thin films for native DNA biosensors

    NASA Astrophysics Data System (ADS)

    Genç, Eminegül; Kepceoǧlu, Abdullah; Gezgin, Serap Yiǧit; Kars, Meltem Demirel; Kılıç, Hamdi Şükür

    2017-02-01

    The use of the femtosecond (fs) laser pulses for ablation applications have several advantageous and Laser-Induced Forward Transfer (LIFT) is an ablation-driven transfer process. The use of fs laser pulses for LIFT is gaining a great attraction nowadays. The most of the Direct Writing (DW) methods are laser based techniques and the LIFT technique is the one of them. This spectacular technique allows high resolution without lithographic processes. In this study, we have grown Ti, Pt and Ta thin films on the microscope slides by Pulse Laser Deposition (PLD) technique using Nd:YAG laser in the high vacuum condition. As a result, thin films produced in this work is a good candidate to produce native DNA biosensors based on LIFT technique.

  10. Direct Metal Deposition of Refractory High Entropy Alloy MoNbTaW

    NASA Astrophysics Data System (ADS)

    Dobbelstein, Henrik; Thiele, Magnus; Gurevich, Evgeny L.; George, Easo P.; Ostendorf, Andreas

    Alloying of refractory high entropy alloys (HEAs) such as MoNbTaW is usually done by vacuum arc melting (VAM) or powder metallurgy (PM) due to the high melting points of the elements. Machining to produce the final shape of parts is often needed after the PM process. Casting processes, which are often used for aerospace components (turbine blades, vanes), are not possible. Direct metal deposition (DMD) is an additive manufacturing technique used for the refurbishment of superalloy components, but generating these components from the bottom up is also of current research interest. MoNbTaW possesses high yield strength at high temperatures and could be an alternative to state-of-the-art materials. In this study, DMD of an equimolar mixture of elemental powders was performed with a pulsed Nd:YAG laser. Single wall structures were built, deposition strategies developed and the microstructure of MoNbTaW was analyzed by back scattered electrons (BSE) and energy dispersive X-ray (EDX) spectroscopy in a scanning electron microscope. DMD enables the generation of composition gradients by using dynamic powder mixing instead of pre-alloyed powders. However, the simultaneous handling of several elemental or pre-alloyed powders brings new challenges to the deposition process. The influence of thermal properties, melting point and vapor pressure on the deposition process and chemical composition will be discussed.

  11. Optical and electrical properties of sol-gel spin coated titanium dioxide thin films

    NASA Astrophysics Data System (ADS)

    Sahoo, Anusuya; Jayakrishnan, A. R.; Kamakshi, K.; Silva, J. P. B.; Sekhar, K. C.; Gomes, M. J. M.

    2017-08-01

    In this work; TiO2 thin films were deposited on glass and stainless steel substrates by sol-gel spin coating method. The films deposited on glass were annealed at different temperatures (Ta) in the range of 200 to 500 0C and that are deposited on steel substrate were annealed at 800 0C. The optical properties of TiO2 thin films were studied by using UV-VIS spectroscopy and photoluminescence (PL) spectroscopy. The transmittance on the average was found to ≥ 80 % and is found to sensitive to Ta. The PL spectra exhibited the strong emission band associated with band- to- band transition around 390 nm and the two weak bands at 480 and 510 nm associated to the oxygen defects and surface defects respectively. The current-voltage (I-V) characteristics of the Al/TiO2/steel capacitors were studied and analysed with application of various current mechanisms. Analysis reveals that the conduction in Al/TiO2/steel capacitors is governed by Poole-Frenkel mechanism.

  12. Pulsed laser deposition of lithium niobate thin films

    NASA Astrophysics Data System (ADS)

    Canale, L.; Girault-Di Bin, C.; Cosset, F.; Bessaudou, A.; Celerier, A.; Decossas, J.-Louis; Vareille, J.-C.

    2000-12-01

    Pulsed laser deposition of Lithium Niobate thin films onto sapphire (0001) substrates is reported. Thin films composition and structure have been determined using Rutherford Backscattermg Spectroscopy (RBS) and X-ray diffraction ( XRD) experiments. The influe:nce of deposition parameters such as substrate temperature, oxygen pressure and target to substrate distance on the composition and the structure of the films has been studied. Deposition temperature is found to be an important parameter which enables us to grow LiNbO3 films without the Li deficient phase LiNb3O8. Nearly stoichiometric thin fihns have been obtained for an oxygen pressure of 0. 1 Ton and a substrate temperature of 800°C. Under optimized conditions the (001) preferential orientation of growth, suitable for most optical applications, has been obtained.

  13. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  14. Deposition of diamond-like films by ECR microwave plasma

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han (Inventor); Pool, Frederick S. (Inventor)

    1995-01-01

    Hard amorphous hydrogenated carbon, diamond-like films are deposited using an electron cyclotron resonance microwave plasma with a separate radio frequency power bias applied to a substrate stage. The electron cyclotron resonance microwave plasma yields low deposition pressure and creates ion species otherwise unavailable. A magnetic mirror configuration extracts special ion species from a plasma chamber. Different levels of the radio frequency power bias accelerate the ion species of the ECR plasma impinging on a substrate to form different diamond-like films. During the deposition process, a sample stage is maintained at an ambient temperature of less than 100.degree. C. No external heating is applied to the sample stage. The deposition process enables diamond-like films to be deposited on heat-sensitive substrates.

  15. Long-Wavelength Infrared Sensing by Cytochrome C Protein Thin Film Deposited by the Spin Coating Method

    PubMed Central

    Lai, Bo-Yu; Chu, Chung-Hao; Su, Guo-Dung John

    2013-01-01

    High infrared absorption, large temperature coefficient of resistance (TCR) and small 1/f noise are preferred characteristics for sensing materials used in bolometers. In this paper, we discuss a cytochrome c protein as a potential sensing material for long-wavelength bolometers. We simulated and experimentally proved high infrared absorption of cytochrome c in the wavelength between 8 μm and 14 μm. Cytochrome c thin films were deposited on a hydrophilic surface using the spin coating method. The resistance variation with temperature is measured and we show that the TCR of cytochrome c thin films is consistently higher than 20%. The measured values of 1/f noise were as low as 2.33 × 10−13 V2/Hz at 60 Hz. Finally, we test the reliability of cytochrome c by measuring the resistance changes over time under varying conditions. We found that cytochrome c thin films deteriorated significantly without appropriate packaging. PMID:24264331

  16. Stresses in chemical vapor deposited epitaxial 3C-SiC membranes

    NASA Astrophysics Data System (ADS)

    Su, C. M.; Fekade, A.; Spencer, M.; Wuttig, Manfred

    1995-02-01

    The internal stresses in chemical-vapor-deposited 3C-SiC films were studied by a vibrating membrane technique. The differential thermal expansivity of 3C-SiC films was investigated by the change of the internal stress as a function of temperature. It was found that the internal stress of the films is dominated by thermal stresses and its magnitude depends both on doping and the film thickness. While p doping substantially increases the stress, increasing the film thickness reduces the stress of the SiC layer. The thermal expansivity of the SiC layer shows a lower value which is significantly less than of bulk 3C-SiC and tends to approach the expansivity of the Si substrate. It is proposed that the stress dependence of the SiC films on doping and film thickness is the result of the film morphology which is heavily faulted for very thin films and more perfect as the film thickness increases.

  17. Structural and gasochromic properties of WO3 films prepared by reactive sputtering deposition

    NASA Astrophysics Data System (ADS)

    Yamamoto, S.; Hakoda, T.; Miyashita, A.; Yoshikawa, M.

    2015-02-01

    The effects of deposition temperature and film thickness on the structural and gasochromic properties of tungsten trioxide (WO3) films used for the optical detection of diluted cyclohexane gas have been investigated. The WO3 films were prepared on SiO2 substrates by magnetron sputtering, with the deposition temperature ranging from 300 to 550 °C in an Ar and O2 gas mixture. The films were characterized by scanning electron microscopy (SEM), x-ray diffraction (XRD), and Rutherford backscattering spectroscopy (RBS). The gasochromic properties of the WO3 films, coated with a catalytic Pt layer, were examined by exposing them to up to 5% cyclohexane in N2 gas. It was found that (001)-oriented monoclinic WO3 films, with a columnar structure, grew at deposition temperatures between 400 and 450 °C. Furthermore, (010)-oriented WO3 films were preferably formed at deposition temperatures higher than 500 °C. The gasochromic characterization of the Pt/WO3 films revealed that (001)-oriented WO3 films, with cauliflower-like surface morphology, were appropriate for the optical detection of cyclohexane gas.

  18. Defect studies of thin ZnO films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Vlček, M.; Čížek, J.; Procházka, I.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Mosnier, J.-P.

    2014-04-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  19. Mechanical behavior of Ti-Ta-based surface alloy fabricated on TiNi SMA by pulsed electron-beam melting of film/substrate system

    NASA Astrophysics Data System (ADS)

    Meisner, S. N.; Yakovlev, E. V.; Semin, V. O.; Meisner, L. L.; Rotshtein, V. P.; Neiman, A. A.; D'yachenko, F.

    2018-04-01

    The physical-mechanical properties of the Ti-Ta based surface alloy with thickness up to ∼2 μm fabricated through the multiple (up to 20 cycles) alternation of magnetron deposition of Ti70Ta30 (at.%) thin (50 nm) films and their liquid-phase mixing with the NiTi substrate by microsecond low-energy, high current pulsed electron beam (LEHCPEB: ≤15 keV, ∼2 J/cm2) are presented. Two types of NiTi substrates (differing in the methods of melting alloys) were pretreated with LEHCPEB to improve the adhesion of thin-film coating and to protect it from local delimitation because of the surface cratering under pulsed melting. The methods used in the research include nanoindentation, transmission electron microscopy, and depth profile analysis of nanohardness, Vickers hardness, elastic modulus, depth recovery ratio, and plasticity characteristic as a function of indentation depth. For comparison, similar measurements were carried out with NiTi substrates in the initial state and after LEHCPEB pretreatment, as well as on "Ti70Ta30(1 μm) coating/NiTi substrate" system. It was shown that the upper surface layer in both NiTi substrates is the same in properties after LEHCPEB pretreatment. Our data suggest that the type of multilayer surface structure correlates with its physical-mechanical properties. For NiTi with the Ti-Ta based surface alloy ∼1 μm thick, the highest elasticity falls on the upper submicrocrystalline layer measuring ∼0.2 μm and consisting of two Ti-Ta based phases: α‧‧ martensite (a = 0.475 nm, b = 0.323 nm, c = 0.464 nm) and β austenite (a = 0.327 nm). Beneath the upper layer there is an amorphous sublayer followed by underlayers with coarse (>20 nm) and fine (<20 nm) average grain sizes which provide a gradual transition of the mechanical parameters to the values of the NiTi substrate.

  20. Ion beam deposition of in situ superconducting Y-Ba-Cu-O films

    NASA Astrophysics Data System (ADS)

    Klein, J. D.; Yen, A.; Clauson, S. L.

    1990-01-01

    Oriented superconducting YBa2Cu3O7 thin films were deposited on yttria-stabilized zirconia substrates by ion beam sputtering of a nonstoichiometric oxide target. The films exhibited zero-resistance critical temperatures as high as 80.5 K without post-deposition anneals. Both the deposition rate and the c lattice parameter data displayed two distinct regimes of dependence on the beam power of the ion source. Low-power sputtering yielded films with large c dimensions and low Tc's. Higher power sputtering produced a continuous decrease in the c lattice parameter and an increase in critical temperatures.

  1. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  2. Fabrication of vanadium dioxide polycrystalline films with higher temperature coefficient of resistance

    NASA Astrophysics Data System (ADS)

    Li, Jinhua; Yuan, Ningyi; Jiang, Meiping; Kun, Li

    2011-08-01

    Vanadium Dioxide Polycrystalline Films with High Temperature Coefficient of Resistance(TCR) were fabricated by modified Ion Beam Enhanced Deposition(IBED) method. The TCR of the Un-doping VO2 was about -4%/K at room temperature after appropriate thermal annealing. The XRD results clearly showed that IBED polycrystalline VO2 films had a single [002] orientation of VO2(M). The TCR of 5at.%W and 7at.% Ta doped Vanadium Dioxide Polycrystalline Films were high up to -18%/K and -12%/K at room temperature, respectively. Using 7at.% Ta and 2at.% Ti co-doping, the TCR of the co-doped vanadium oxide film was -7%/K and without hysteresis during temperature increasing and decresing from 0-80°C. It should indicate that the W-doped vanadium dioxide films colud be used for high sensing IR detect and the Ta/Ti co-doped film without hysteresis is suitable for infrarid imaging application.

  3. Ferroelectric photovoltaic properties in doubly substituted (Bi0.9La0.1)(Fe0.97Ta0.03)O3 thin films

    NASA Astrophysics Data System (ADS)

    Katiyar, R. K.; Sharma, Y.; Barrionuevo, D.; Kooriyattil, S.; Pavunny, S. P.; Young, J. S.; Morell, G.; Weiner, B. R.; Katiyar, R. S.; Scott, J. F.

    2015-02-01

    Doubly substituted [Bi0.9La0.1][Fe0.97Ta0.03]O3 (BLFTO) films were fabricated on Pt/TiO2/SiO2/Si substrates by pulsed laser deposition. The ferroelectric photovoltaic properties of ZnO:Al/BLFTO/Pt thin film capacitor structures were evaluated under white light illumination. The open circuit voltage and short circuit current density were observed to be ˜0.20 V and ˜1.35 mA/cm2, respectively. The band gap of the films was determined to be ˜2.66 eV, slightly less than that of pure BiFeO3 (2.67 eV). The PV properties of BLFTO thin films were also studied for various pairs of planar electrodes in different directions in polycrystalline thin films.

  4. Cadmium sulfide thin films growth by chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  5. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  6. Formation of Ti-Ta-based surface alloy on TiNi SMA substrate from thin films by pulsed electron-beam melting

    NASA Astrophysics Data System (ADS)

    Meisner, L. L.; Markov, A. B.; Ozur, G. E.; Rotshtein, V. P.; Yakovlev, E. V.; Meisner, S. N.; Poletika, T. M.; Girsova, S. L.; Semin, V. O.; Mironov, Yu P.

    2017-05-01

    TiNi shape memory alloys (SMAs) are unique metallic biomaterials due to combination of superelastisity and high corrosion resistance. Important factors limiting biomedical applications of TiNi SMAs are a danger of toxic Ni release into the adjacent tissues, as well as insufficient level of X-ray visibility. In this paper, the method for fabrication of protective Ni-free surface alloy of thickness ∼1 μm of near Ti70Ta30 composition on TiNi SMA substrate has been successfully realized. The method is based on multiple alternation of magnetron co-deposition of Ti70Ta30 thin (50 nm) films and their liquid-phase mixing with the TiNi substrate by microsecond low-energy, high current electron beam (≤15 keV, ∼2 J/cm2) using setup RITM-SP (Microsplav, Russia). It was found by AES, XRD, SEM/EDS and HRTEM/EDS examinations, that Ti-Ta surface alloy has an increased X-ray visibility and gradient multiphase amorphous-nanocrystalline structure containing nanopores.

  7. Effects of background oxygen pressure on dielectric and ferroelectric properties of epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrTiO3

    NASA Astrophysics Data System (ADS)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-11-01

    Oxygen partial pressure (PO_2) in pulsed laser deposition significantly influences the composition, microstructure, and electrical properties of epitaxial misfit strain-relieved 450nm ⟨001⟩ oriented epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated SrTiO3. Films deposited at 400mTorr exhibit high remnant and saturated polarization of 7.5 and 16.5μC /cm2, respectively, which is ˜100% increase over the ones grown at 100mTorr. The dielectric constant linearly increases from 220 to 450 with increasing PO2. The observed changes in surface morphology of the films and their properties are shown to be due to the suppression of volatile A-site cation loss.

  8. Integration of perovskite oxide dielectrics into complementary metal-oxide-semiconductor capacitor structures using amorphous TaSiN as oxygen diffusion barrier

    NASA Astrophysics Data System (ADS)

    Mešić, Biljana; Schroeder, Herbert

    2011-09-01

    The high permittivity perovskite oxides have been intensively investigated for their possible application as dielectric materials for stacked capacitors in dynamic random access memory circuits. For the integration of such oxide materials into the CMOS world, a conductive diffusion barrier is indispensable. An optimized stack p++-Si/Pt/Ta21Si57N21/Ir was developed and used as the bottom electrode for the oxide dielectric. The amorphous TaSiN film as oxygen diffusion barrier showed excellent conductive properties and a good thermal stability up to 700 °C in oxygen ambient. The additional protective iridium layer improved the surface roughness after annealing. A 100-nm-thick (Ba,Sr)TiO3 film was deposited using pulsed laser deposition at 550 °C, showing very promising properties for application; the maximum relative dielectric constant at zero field is κ ≈ 470, and the leakage current density is below 10-6 A/cm2 for fields lower then ± 200 kV/cm, corresponding to an applied voltage of ± 2 V.

  9. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  10. Atomic layer deposited Ta2O5 gate insulation for enhancing breakdown voltage of AlN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Deen, D. A.; Storm, D. F.; Bass, R.; Meyer, D. J.; Katzer, D. S.; Binari, S. C.; Lacis, J. W.; Gougousi, T.

    2011-01-01

    AlN/GaN heterostructures with a 3.5 nm AlN cap have been grown by molecular beam epitaxy followed by a 6 nm thick atomic layer deposited Ta2O5 film. Transistors fabricated with 150 nm length gates showed drain current density of 1.37 A/mm, transconductance of 315 mS/mm, and sustained drain-source biases up to 96 V while in the off-state before destructive breakdown as a result of the Ta2O5 gate insulator. Terman's method has been modified for the multijunction capacitor and allowed the measurement of interface state density (˜1013 cm-2 eV-1). Small-signal frequency performance of 75 and 115 GHz was obtained for ft and fmax, respectively.

  11. Ion beam sputter deposited diamond like films

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Rutledge, S. K.

    1982-01-01

    A single argon ion beam source was used to sputter deposit carbon films on fused silica, copper, and tantalum substrates under conditions of sputter deposition alone and sputter deposition combined with simultaneous argon ion bombardment. Simultaneously deposited and ion bombarded carbon films were prepared under conditions of carbon atom removal to arrival ratios of 0, 0.036, and 0.71. Deposition and etch rates were measured for films on fused silica substrates. Resulting characteristics of the deposited films are: electrical resistivity of densities of 2.1 gm/cu cm for sputter deposited films and 2.2 gm/cu cm for simultaneously sputter deposited and Ar ion bombarded films. For films approximately 1700 A thick deposited by either process and at 5550 A wavelength light the reflectance was 0.2, the absorptance was 0.7, the absorption coefficient was 67,000 cm to the -1 and the transmittance was 0.1.

  12. Internal Stress and Microstructure of Zinc Oxide Films Sputter-Deposited with Carbon Dioxide Gas

    NASA Astrophysics Data System (ADS)

    Toru Ashida,; Kazuhiro Kato,; Hideo Omoto,; Atsushi Takamatsu,

    2010-06-01

    The internal stress and microstructure of ZnO films were investigated as a function of carbon dioxide (CO2) gas flow ratio [CO2/(O2+CO2)] during sputter deposition. The internal stress of the ZnO films decreased with increasing CO2 gas flow ratio. The carbon concentration in the films deposited using CO2 gas increased by up to 4.0 at. %. Furthermore, the ZnO films deposited without CO2 gas exhibited a preferred orientation of (002); however, the C-doped ZnO films exhibited random orientations. These findings suggest that the C atoms incorporated in the ZnO crystal lattice induce this random orientation, thereby relaxing the internal stress of C-doped ZnO films.

  13. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  14. Photoluminescence and anti-deliquesce of cesium iodide and its sodium-doped films deposited by thermal evaporation at high deposition rates

    NASA Astrophysics Data System (ADS)

    Hsu, Jin-Cherng; Chiang, Yueh-Sheng; Ma, Yu-Sheng

    2013-03-01

    Cesium iodide (CsI) and sodium iodide (NaI) are good scintillators due to their high luminescence efficiency. These alkali halides can be excited by ultra-violet or by ionizing radiation. In this study, CsI and its Na-doped films about 8 μm thick were deposited by thermal evaporation boat without heating substrates at high deposition rates of 30, 50, 70, 90, and 110 nm/sec, respectively. The as-deposited films were sequentially deposited a silicon dioxide film to protect from deliquesce. And, the films were also post-annealed in vacuum at 150, 200, 250, and 300 °C, respectively. We calculated the packing densities of the samples according to the measurements of Fourier transform infrared spectroscopy (FTIR) and observed the luminescence properties by photoluminescence (PL) system. The surfaces and cross sections of the films were investigated by scanning electron microscope (SEM). From the above measurements we can find the optimal deposition rate of 90 nm/sec and post-annealing temperature of 250 °C in vacuum for the asdeposited cesium iodide and its sodium-doped films.

  15. Irradiation of amorphous Ta42Si13N45 film with a femtosecond laser pulse

    NASA Astrophysics Data System (ADS)

    Romano, V.; Meier, M.; Theodore, N. D.; Marble, D. K.; Nicolet, M.-A.

    2011-07-01

    Films of 260 nm thickness, with atomic composition Ta42Si13N45, on 4″ silicon wafers, have been irradiated in air with single laser pulses of 200 femtoseconds duration and 800 nm wave length. As sputter-deposited, the films are structurally amorphous. A laterally truncated Gaussian beam with a near-uniform fluence of ˜0.6 J/cm2 incident normally on such a film ablates 23 nm of the film. Cross-sectional transmission electron micrographs show that the surface of the remaining film is smooth and flat on a long-range scale, but contains densely distributed sharp nanoprotrusions that sometimes surpass the height of the original surface. Dark field micrographs of the remaining material show no nanograins. Neither does glancing angle X-ray diffraction with a beam illuminating many diffraction spots. By all evidence, the remaining film remains amorphous after the pulsed femtosecond irradiation. The same single pulse, but with an enhanced and slightly peaked fluence profile, creates a spot with flat peripheral terraces whose lateral extents shrink with depth, as scanning electron and atomic force micrographs revealed. Comparison of the various figures suggests that the sharp nanoprotrusions result from an ejection of material by brittle fraction and spallation, not from ablation by direct beam-solid interaction. Conditions under which spallation should dominate over ablation are discussed.

  16. Synthesis and characterization of LPCVD SiC films using novel precursors

    NASA Astrophysics Data System (ADS)

    Bhaskaran, Mahalingam

    A unique low pressure chemical vapor deposition (LPCVD) process has been developed to synthesize amorphous and crystalline SiC films using environmentally benign chemicals. The interrelationships governing the process variables, compositions and select properties of the resulting films were established. Such films can be used to produce high quality mask membrane for x-ray lithography. These films can also be used in fabricating high power electrical devices, and hetrojunction devices in conjunction with silicon. Amorphous SiC films were synthesized using a single precursor, ditertiarybutylsilane, at temperatures below 850sp°C. Compositional analysis performed on these deposits revealed that, in the deposition temperature range of 625 to 750sp°C, the composition of the deposits changed progressively from slightly silicon rich (55% Si) to slightly carbon rich (51%C). Above 750sp°C, there was a rapid increase in the carbon content from the near stoichiometric value to about 75%-C at 850sp°C. The stoichiometric films exhibited high stress values of 700 ± 50 MPa. Attempts to reduce the stress values resulted in films with excess carbon content of about 60%-C. From the high frequency C-V characterization, the dielectric constant for these films was estimated to be 10.1 ± 0.5. Temperature bias stressing studies revealed a trapped charge density of 0.869× 10sp7 cIsp{-2} within the bulk. Crystalline silicon carbide films were grown on silicon substrates using dichlorosilane and acetylene as precursors, in the temperature range of 950sp°C to 1050sp°C. The carbon content in the film was found to be increasing with the deposition temperature, when the flow ratio of precursors was one. The carbon composition was also found to be sharply dependent on acetylene flow, for constant deposition temperature and pressure. Stoichiometric films were achieved for dichlorosilane to acetylene flow ratio of 4:1. X-ray diffraction studies confirmed the growth of beta-SiC with

  17. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  18. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  19. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  20. Growth, structure and stability of sputter-deposited MoS2 thin films.

    PubMed

    Kaindl, Reinhard; Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS 2 ) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS 2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS 2 films by magnetron sputtering. MoS 2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO 2 /Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS 2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS 2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS 2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS 2 thin films are discussed. A potential application for such conductive nanostructured MoS 2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS 2 films.

  1. Growth, structure and stability of sputter-deposited MoS2 thin films

    PubMed Central

    Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS2) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films. PMID:28685112

  2. Deposition of functional nanoparticle thin films by resonant infrared laser ablation.

    NASA Astrophysics Data System (ADS)

    Haglund, Richard; Johnson, Stephen; Park, Hee K.; Appavoo, Kannatessen

    2008-03-01

    We have deposited thin films containing functional nanoparticles, using tunable infrared light from a picosecond free-electron laser (FEL). Thin films of the green light-emitting molecule Alq3 were first deposited by resonant infrared laser ablation at 6.68 μm, targeting the C=C ring mode of the Alq3. TiO2 nanoparticles 50-100 nm diameter were then suspended in a water matrix, frozen, and transferred by resonant infrared laser ablation at 2.94 μm through a shadow mask onto the Alq3 film. Photoluminescence was substantially enhanced in the regions of the film covered by the TiO2 nanoparticles. In a second experiment, gold nanoparticles with diameters in the range of 50-100 nm were suspended in the conducting polymer and anti-static coating material PEDOT:PSS, which was diluted by mixing with N-methyl pyrrolidinone (NMP). The gold nanoparticle concentration was 8-10% by weight. The mixture was frozen and then ablated by tuning the FEL to 3.47 μm, the C-H stretch mode of NMP. Optical spectroscopy of the thin film deposited by resonant infrared laser ablation exhibited the surface-plasmon resonance characteristic of the Au nanoparticles. These experiments illustrate the versatility of matrix-assisted resonant infrared laser ablation as a technique for depositing thin films containing functionalized nanoparticles.

  3. Effect of electron-beam deposition process variables on the film characteristics of the CrOx films

    NASA Astrophysics Data System (ADS)

    Chiu, Po-kai; Liao, Yi-Ting; Tsai, Hung-Yin; Chiang, Donyau

    2018-02-01

    The film characteristics and optical properties of the chromium oxide films on the glass substrates prepared by electron-beam deposition with different process variables were investigated. The process variables included are the various oxygen flow rates, the different applied substrate temperatures, and the preparation process in Ar or O2 surrounding environment with and without ion-assisted deposition. The optical constants of the deposited films are determined from the reflectance and transmittance measurements obtained using a spectrophotometer with wavelengths ranging from 350 nm to 2000 nm. The microstructures of the films were examined by the XRD, SEM, and XPS. The electrical conductivity was measured by a four-point probe instrument. The resulting microstructures of all the prepared films are amorphous and the features of the films are dense, uniform and no pillar structure is observed. The refractive index of deposited films decrease with oxygen flow rate increase within studied wavelengths and the extinction coefficients have the same trend in wavelengths of UV/Vis ranges. Increasing substrate temperature to 200 oC results in increase of both refractive index and extinction coefficient, but substrate temperatures below 150 oC show negligible effect on optical constants. The optical and electrical properties in the prepared CrOx films are illustrated by the analyzed XPS results, which decompose the enveloped curve of chromium electron energy status into the constituents of metal Cr, oxides CrO2 and Cr2O3. The relative occupied area contributed from metal Cr and area contributed from the other oxides can express the concentration ratio of free electron to covalent bonds in deposited films and the ratio is applied to explain the film characteristics, including the optical constants and sheet resistance.

  4. Deposition And Characterization Of Ultra Thin Diamond Like Carbon Films

    NASA Astrophysics Data System (ADS)

    Tomcik, B.

    2010-07-01

    Amorphous hydrogenated and/or nitrogenated carbon films, a-C:H/a-C:N, in overall thickness up to 2 nm are materials of choice as a mechanical and corrosion protection layer of the magnetic media in modern hard disk drive disks. In order to obtain high density and void-free films the sputtering technology has been replaced by different plasma and ion beam deposition techniques. Hydrocarbon gas precursors, like C2H2 or CH4 with H2 and N2 as reactive gases are commonly used in Kaufman DC ion and RF plasma beam sources. Optimum incident energy of carbon ions, C+, is up to 100 eV while the typical ion current densities during the film formation are in the mA/cm2 range. Other carbon deposition techniques, like filtered cathodic arc, still suffer from co-deposition of fine nanosized carbon clusters (nano dust) and their improvements are moving toward arc excitation in the kHz and MHz frequency range. Non-destructive film analysis like μ-Raman optical spectroscopy, spectroscopic ellipsometry, FTIR and optical surface analysis are mainly used in the carbon film characterization. Due to extreme low film thicknesses the surface enhanced Raman spectroscopy (SERS) with pre-deposited layer of Au can reduce the signal collection time and minimize photon-induced damage during the spectra acquisition. Standard approach in the μ-Raman film evaluation is the measurement of the position (shift) and area of D and G-peaks under the deconvoluted overall carbon spectrum. Also, a slope of the carbon spectrum in the 1000-2000 cm-1 wavenumber range is used as a measure of the hydrogen intake within a film. Diamond like carbon (DLC) film should possess elasticity and self-healing properties during the occasional crash of the read-write head flying only couple of nanometers above the spinning film. Film corrosion protection capabilities are mostly evaluated by electrochemical tests, potentio-dynamic and linear polarization method and by business environmental method. Corrosion mechanism

  5. Atomic layer deposition of VO2 films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    NASA Astrophysics Data System (ADS)

    Lv, Xinrui; Cao, Yunzhen; Yan, Lu; Li, Ying; Song, Lixin

    2017-02-01

    VO2 thin films have been grown on Si(100) (VO2/Si) and fused silica substrates (VO2/SiO2) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO2 thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150-200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO2 films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO2/Si film. AFM was applied to study the surface morphology of VO2/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO2/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (Tc,h) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of Tc,h compared with the bulk VO2 (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO2/SiO2 films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across the transition.

  6. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  7. Liquid phase deposition synthesis of hexagonal molybdenum trioxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deki, Shigehito; Beleke, Alexis Bienvenu; Kotani, Yuki

    2009-09-15

    Hexagonal molybdenum trioxide thin films with good crystallinity and high purity have been fabricated by the liquid phase deposition (LPD) technique using molybdic acid (H{sub 2}MoO{sub 4}) dissolved in 2.82% hydrofluoric acid (HF) and H{sub 3}BO{sub 3} as precursors. The crystal was found to belong to a hexagonal hydrate system MoO{sub 3}.nH{sub 2}O (napprox0.56). The unit cell lattice parameters are a=10.651 A, c=3.725 A and V=365.997 A{sup 3}. Scanning electron microscope (SEM) images of the as-deposited samples showed well-shaped hexagonal rods nuclei that grew and where the amount increased with increase in reaction time. X-ray photon electron spectroscopy (XPS) spectramore » showed a Gaussian shape of the doublet of Mo 3d core level, indicating the presence of Mo{sup 6+} oxidation state in the deposited films. The deposited films exhibited an electrochromic behavior by lithium intercalation and deintercalation, which resulted in coloration and bleaching of the film. Upon dehydration at about 450 deg. C, the hexagonal MoO{sub 3}.nH{sub 2}O was transformed into the thermodynamically stable orthorhombic phase. - Abstract: SEM photograph of typical h-MoO{sub 3}.nH{sub 2}O thin film nuclei obtained after 36 h at 40 deg. C by the LPD method. Display Omitted« less

  8. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    PubMed

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  9. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  10. Multiferroic YCrO3 thin films grown on glass substrate: Resistive switching characteristics

    NASA Astrophysics Data System (ADS)

    Seo, Jeongdae; Ahn, Yoonho; Son, Jong Yeog

    2016-01-01

    Polycrystalline YCrO3 thin films were deposited on (111) Pt/Ta/glass substrates by pulsed laser deposition. The YCrO3 thin films exhibited good ferroelectric properties with remnant polarization of about 5 µC/cm2. Large leakage current was observed by I- V curve and ferroelectric hysteresis loop. The YCrO3 resistive random access memory (RRAM) capacitor showed unipolar switching behaviors with SET and RESET voltages higher than those of general NiO RRAM capacitors. [Figure not available: see fulltext.

  11. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  12. Reversible phase transition in vanadium oxide films sputtered on metal substrates

    NASA Astrophysics Data System (ADS)

    Palai, Debajyoti; Carmel Mary Esther, A.; Porwal, Deeksha; Pradeepkumar, Maurya Sandeep; Raghavendra Kumar, D.; Bera, Parthasarathi; Sridhara, N.; Dey, Arjun

    2016-11-01

    Vanadium oxide films, deposited on aluminium (Al), titanium (Ti) and tantalum (Ta) metal substrates by pulsed RF magnetron sputtering at a working pressure of 1.5 x10-2 mbar at room temperature are found to display mixed crystalline vanadium oxide phases viz., VO2, V2O3, V2O5. The films have been characterized by field-emission scanning electron microscopy, X-ray diffraction, differential scanning calorimetry (DSC) and X-ray photoelectron spectroscopy, and their thermo-optical and electrical properties have been investigated. Studies of the deposited films by DSC have revealed a reversible-phase transition found in the temperature range of 45-49 °C.

  13. Effect of oxygen deposition pressure and temperature on the structure and properties of pulsed laser-deposited La0.67Ca0.33MnOδ films

    NASA Astrophysics Data System (ADS)

    Horwitz, James S.; Dorsey, Paul C.; Koon, N. C.; Rubinstein, M.; Byers, J. M.; Gillespie, D. J.; Osofsky, Michael S.; Harris, V. G.; Grabowski, K. S.; Knies, D. L.; Donovan, Edward P.; Treece, Randolph E.; Chrisey, Douglas B.

    1996-04-01

    The effect of substrate temperature and oxygen deposition pressure on the structure and properties of thin films of LaxCa1-xMnO(delta ) has been investigated. Thin films (approximately 1000 angstroms) of La0.67Ca0.33MnO(delta ) were deposited onto LaAlO3 (100) substrates by pulsed laser deposition at a substrate temperature of 600 and 700 degree(s)C. A series of films were grown on different oxygen pressures, between 15 and 400 mTorr, which systematically changed the oxygen concentrations in the films. As-deposited films exhibited an oriented orthorhombic structure. At low oxygen deposition pressures films were preferentially (202) oriented. At high pressures deposited films had a (040) preferred orientation. A 900 degree(s)C anneal in flowing oxygen of a film deposited at low oxygen pressure resulted in a decrease in the a lattice parameter and a change in the preferred orientation from (202) to (040). Vacuum annealing at 550 degree(s)C resulted in an increase in the a lattice parameter. The resistivity as a function of temperature showed a significant variation as a function of growth conditions. The peak in the resistivity curve (Tm) varied between 73 and 150 K depending upon the growth conditions. The activation energy associated with the semiconducting phase was approximately the same for all films (approximately 100 meV).

  14. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  15. Deposition of device quality low H content, amorphous silicon films

    DOEpatents

    Mahan, A.H.; Carapella, J.C.; Gallagher, A.C.

    1995-03-14

    A high quality, low hydrogen content, hydrogenated amorphous silicon (a-Si:H) film is deposited by passing a stream of silane gas (SiH{sub 4}) over a high temperature, 2,000 C, tungsten (W) filament in the proximity of a high temperature, 400 C, substrate within a low pressure, 8 mTorr, deposition chamber. The silane gas is decomposed into atomic hydrogen and silicon, which in turn collides preferably not more than 20--30 times before being deposited on the hot substrate. The hydrogenated amorphous silicon films thus produced have only about one atomic percent hydrogen, yet have device quality electrical, chemical, and structural properties, despite this lowered hydrogen content. 7 figs.

  16. Deposition of device quality low H content, amorphous silicon films

    DOEpatents

    Mahan, Archie H.; Carapella, Jeffrey C.; Gallagher, Alan C.

    1995-01-01

    A high quality, low hydrogen content, hydrogenated amorphous silicon (a-Si:H) film is deposited by passing a stream of silane gas (SiH.sub.4) over a high temperature, 2000.degree. C., tungsten (W) filament in the proximity of a high temperature, 400.degree. C., substrate within a low pressure, 8 mTorr, deposition chamber. The silane gas is decomposed into atomic hydrogen and silicon, which in turn collides preferably not more than 20-30 times before being deposited on the hot substrate. The hydrogenated amorphous silicon films thus produced have only about one atomic percent hydrogen, yet have device quality electrical, chemical, and structural properties, despite this lowered hydrogen content.

  17. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  18. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    PubMed

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  19. Spin dynamics and frequency dependence of magnetic damping study in soft ferromagnetic FeTaC film with a stripe domain structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samantaray, B., E-mail: iitg.biswanath@gmail.com; Ranganathan, R.; Mandal, P.

    Perpendicular magnetic anisotropy (PMA) and low magnetic damping are the key factors for the free layer magnetization switching by spin transfer torque technique in magnetic tunnel junction devices. The magnetization precessional dynamics in soft ferromagnetic FeTaC thin film with a stripe domain structure was explored in broad band frequency range by employing micro-strip ferromagnetic resonance technique. The polar angle variation of resonance field and linewidth at different frequencies have been analyzed numerically using Landau-Lifshitz-Gilbert equation by taking into account the total free energy density of the film. The numerically estimated parameters Landé g-factor, PMA constant, and effective magnetization are foundmore » to be 2.1, 2 × 10{sup 5} erg/cm{sup 3} and 7145 Oe, respectively. The frequency dependence of Gilbert damping parameter (α) is evaluated by considering both intrinsic and extrinsic effects into the total linewidth analysis. The value of α is found to be 0.006 at 10 GHz and it increases monotonically with decreasing precessional frequency.« less

  20. Real-Time Deposition Monitor for Ultrathin Conductive Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline

    2011-01-01

    A device has been developed that can be used for the real-time monitoring of ultrathin (2 or more) conductive films. The device responds in less than two microseconds, and can be used to monitor film depositions up to about 60 thick. Actual thickness monitoring capability will vary based on properties of the film being deposited. This is a single-use device, which, due to the very low device cost, can be disposable. Conventional quartz/crystal microbalance devices have proven inadequate to monitor the thickness of Pd films during deposition of ultrathin films for hydrogen sensor devices. When the deposited film is less than 100 , the QCM measurements are inadequate to allow monitoring of the ultrathin films being developed. Thus, an improved, high-sensitivity, real-time deposition monitor was needed to continue Pd film deposition development. The new deposition monitor utilizes a surface acoustic wave (SAW) device in a differential delay-line configuration to produce both a reference response and a response for the portion of the device on which the film is being deposited. Both responses are monitored simultaneously during deposition. The reference response remains unchanged, while the attenuation of the sensing path (where the film is being deposited) varies as the film thickness increases. This device utilizes the fact that on high-coupling piezoelectric substrates, the attenuation of an SAW undergoes a transition from low to very high, and back to low as the conductivity of a film on the device surface goes from nonconductive to highly conductive. Thus, the sensing path response starts with a low insertion loss, and as a conductive film is deposited, the film conductivity increases, causing the device insertion loss to increase dramatically (by up to 80 dB or more), and then with continued film thickness increases (and the corresponding conductivity increases), the device insertion loss goes back down to the low level at which it started. This provides a

  1. Enhanced visible light photocatalytic water reduction from a g-C 3N 4/SrTa 2O 6 heterojunction

    DOE PAGES

    Adhikari, Shiba P.; Hood, Zachary D.; Wang, Hui; ...

    2017-06-02

    In this paper, a new g-C 3N 4/SrTa 2O 6 heterojunction photocatalyst was designed and prepared by chimie douce (soft chemistry) method where carbon nitride (g-C 3N 4) was deposited over the metastable perovskite phase of SrTa 2O 6. The morphological study of the heterojunction using SEM and STEM revealed that g-C 3N 4 nanofibers are dispersed uniformly on the surface of SrTa 2O 6 plates leading to the intimate contact between them. The heterojunction could achieve a high and stable visible light photocatalytic H 2 generation of 137 mmol/h/mole of g-C 3N 4, which is much larger than themore » amount of hydrogen generated by one mole of pristine g-C 3N 4. Finally, a plausible mechanism for the observed enhanced photocatalytic activity for the heterojunction is proposed on the basis of effective charge separation of photogenerated electron-hole pairs, supported by band position calculations and photo-physical properties of g-C 3N 4 and SrTa 2O 6.« less

  2. Enhanced visible light photocatalytic water reduction from a g-C 3N 4/SrTa 2O 6 heterojunction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adhikari, Shiba P.; Hood, Zachary D.; Wang, Hui

    In this paper, a new g-C 3N 4/SrTa 2O 6 heterojunction photocatalyst was designed and prepared by chimie douce (soft chemistry) method where carbon nitride (g-C 3N 4) was deposited over the metastable perovskite phase of SrTa 2O 6. The morphological study of the heterojunction using SEM and STEM revealed that g-C 3N 4 nanofibers are dispersed uniformly on the surface of SrTa 2O 6 plates leading to the intimate contact between them. The heterojunction could achieve a high and stable visible light photocatalytic H 2 generation of 137 mmol/h/mole of g-C 3N 4, which is much larger than themore » amount of hydrogen generated by one mole of pristine g-C 3N 4. Finally, a plausible mechanism for the observed enhanced photocatalytic activity for the heterojunction is proposed on the basis of effective charge separation of photogenerated electron-hole pairs, supported by band position calculations and photo-physical properties of g-C 3N 4 and SrTa 2O 6.« less

  3. Dense nanocrystalline yttrium iron garnet films formed at room temperature by aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Scooter D., E-mail: scooter.johnson@nrl.navy.mil; Glaser, Evan R.; Cheng, Shu-Fan

    Highlights: • We deposit yttrium iron garnet films at room temperature using aerosol deposition. • Films are 96% of theoretical density for yttrium iron garnet. • We report magnetic and structural properties post-deposition and post-annealing. • Low-temperature annealing decreases the FMR linewidth. • We discuss features of the FMR spectra at each anneal temperature. - Abstract: We have employed aerosol deposition to form polycrystalline yttrium iron garnet (YIG) films on sapphire at room temperature that are 90–96% dense. We characterize the structural and dynamic magnetic properties of the dense films using scanning electron microscopy, X-ray diffraction, and ferromagnetic resonance techniques.more » We find that the as-deposited films are pure single-phase YIG formed of compact polycrystallites ∼20 nm in size. The ferromagnetic resonance mode occurs at 2829 G with a linewidth of 308 G. We perform a series of successive anneals up to 1000 °C on a film to explore heat treatment on the ferromagnetic resonance linewidth. We find the narrowest linewidth of 98 G occurs after a 750 °C anneal.« less

  4. The Preparation and Microstructure of Nanocrystal 3C-SiC/ZrO2 Bilayer Films

    PubMed Central

    Ye, Chao; Ran, Guang; Zhou, Wei; Qu, Yazhou; Yan, Xin; Cheng, Qijin; Li, Ning

    2017-01-01

    The nanocrystal 3C-SiC/ZrO2 bilayer films that could be used as the protective coatings of zirconium alloy fuel cladding were prepared on a single-crystal Si substrate. The corresponding nanocrystal 3C-SiC film and nanocrystal ZrO2 film were also dividedly synthesized. The microstructure of nanocrystal films was analyzed by grazing incidence X-ray diffraction (GIXRD) and cross-sectional transmission electron microscopy (TEM). The 3C-SiC film with less than 30 nm crystal size was synthesized by Plasma Enhanced Chemical Vapor Deposition (PECVD) and annealing. The corresponding formation mechanism of some impurities in SiC film was analyzed and discussed. An amorphous Zr layer about 600 nm in width was first deposited by magnetron sputtering and then oxidized to form a nanocrystal ZrO2 layer during the annealing process. The interface characteristics of 3C-SiC/ZrO2 bilayer films prepared by two different processes were obviously different. SiZr and SiO2 compounds were formed at the interface of 3C-SiC/ZrO2 bilayer films. A corrosion test of 3C-SiC/ZrO2 bilayer films was conducted to qualitatively analyze the surface corrosion resistance and the binding force of the interface. PMID:29168782

  5. Molecular layer deposition of alucone films using trimethylaluminum and hydroquinone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Devika; Sarkar, Shaibal K., E-mail: shaibal.sarkar@iitb.ac.in; Mahuli, Neha

    2015-01-01

    A hybrid organic–inorganic polymer film grown by molecular layer deposition (MLD) is demonstrated here. Sequential exposures of trimethylaluminum [Al(CH{sub 3}){sub 3}] and hydroquinone [C{sub 6}H{sub 4}(OH){sub 2}] are used to deposit the polymeric films, which is a representative of a class of aluminum oxide polymers known as “alucones.” In-situ quartz crystal microbalance (QCM) studies are employed to determine the growth characteristics. An average growth rate of 4.1 Å per cycle at 150 °C is obtained by QCM and subsequently verified with x-ray reflectivity measurements. Surface chemistry during each MLD-half cycle is studied in depth by in-situ Fourier transform infrared (FTIR) vibrationmore » spectroscopy. Self limiting nature of the reaction is confirmed from both QCM and FTIR measurements. The conformal nature of the deposit, typical for atomic layer deposition and MLD, is verified with transmission electron microscopy imaging. Secondary ion mass spectroscopy measurements confirm the uniform elemental distribution along the depth of the films.« less

  6. Self-limiting atomic layer deposition of conformal nanostructured silver films

    NASA Astrophysics Data System (ADS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-02-01

    The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  7. Thin Films

    NASA Astrophysics Data System (ADS)

    Khorshidi, Zahra; Bahari, Ali; Gholipur, Reza

    2014-11-01

    Effect of annealing temperature on the characteristics of sol-gel-driven Ta ax La(1- a) x O y thin film spin-coated on Si substrate as a high- k gate dielectric was studied. Ta ax La(1- a) x O y thin films with different amounts of a were prepared (as-prepared samples). X-ray diffraction measurements of the as-prepared samples indicated that Ta0.3 x La0.7 x Oy film had an amorphous structure. Therefore, Ta0.3 x La0.7 x O y film was chosen to continue the present studies. The morphology of Ta0.3 x La0.7 x O y films was studied using scanning electron microscopy and atomic force microscopy techniques. The obtained results showed that the size of grain boundaries on Ta0.3 x La0.7 x O y film surfaces was increased with increasing annealing temperature. Electrical and optical characterizations of the as-prepared and annealed films were investigated as a function of annealing temperature using capacitance-voltage ( C- V) and current density-voltage ( J- V) measurements and the Tauc method. The obtained results demonstrated that Ta0.3 x La0.7 x O y films had high dielectric constant (≈27), wide band gap (≈4.5 eV), and low leakage current density (≈10-6 A/cm2 at 1 V).

  8. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  9. Electrolytically deposited Cadmium Selenide Films for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    Dervos, C. T.; Palaiologopoulou, M. D.

    2012-10-01

    CdSe films were electrodeposited on pure nickel substrates. The nickel substrate was polished to a mirror finish by Al2O3 paste, etched in 10% HCl solution for 40 s and rinsed thoroughly by de-ionized water. The deposition bath contained solutions with excessive Cd2+ (0.2M) from CdSO4 and small amounts of SeO2 (1x10-3 M). The pH of the bath was adjusted to a value of 2.2 at RT by adding 10% H2SO4. The bath was first thermostated at the required temperature, which varied from 55°C to 65°C. Plating was accomplished at deposition potential 1000 mV (vs. Hg/Hg2SO4). The films formed had a uniform thickness and it was found to be approximately 2.0 μm thick (for 20 min electrodeposition process. The produced CdSe films were characterized by X-Ray diffraction and SEM. The induced semiconductor doping effect by thermal annealing in pure dry nitrogen gas was also investigated. Gold contacts were placed on top of the CdSe films, either by evaporation, or mechanically. Depending on the deposition parameters the electrical characteristics of the Ni/CdSe/Au structures may exhibit rectification properties. The optical excitation of the structure was investigated for various CdSe thicknesses.

  10. Nanostructuring and texturing of pulsed laser deposited hydroxyapatite thin films

    NASA Astrophysics Data System (ADS)

    Kim, Hyunbin; Catledge, Shane; Vohra, Yogesh; Camata, Renato; Lacefield, William

    2003-03-01

    Hydroxyapatite (HA) [Ca_10(PO_4)_6(OH)_2] is commonly deposited onto orthopedic and dental metallic implants to speed up bone formation around devices, allowing earlier stabilization in a patient. Pulsed laser deposition (PLD) is a suitable means of placing thin HA films on these implants because of its control over stoichiometry, crystallinity, and nanostructure. These characteristics determine the mechanical properties of the films that must be optimized to improve the performance of load-bearing implants and other devices that undergo bone insertion. We have used PLD to produce nanostructured and preferentially oriented HA films and evaluated their mechanical properties. Pure, highly crystalline HA films on Ti-6Al-4V substrates were obtained using a KrF excimer laser (248nm) with energy density of 4-8 J/cm^2 and deposition temperature of 500-700^rcC. Scanning electron and atomic force microscopies reveal that our careful manipulation of energy density and substrate temperature has led to films made up of HA grains in the nanometer scale. Broadening of x-ray diffraction peaks as a function of deposition temperature suggests it may be possible to control the film nanostructure to a great extent. X-ray diffraction also shows that as the laser energy density is increased in the 4-8 J/cm^2 range, the hexagonal HA films become preferentially oriented along the c-axis perpendicular to the substrate. Texture, nanostructure, and phase make-up all significantly influence the mechanical properties. We will discuss how each of these factors affects hardness and Young's modulus of the HA films as measured by nanoindentation.

  11. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P. E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  12. Mirrorlike pulsed laser deposited tungsten thin film.

    PubMed

    Mostako, A T T; Rao, C V S; Khare, Alika

    2011-01-01

    Mirrorlike tungsten thin films on stainless steel substrate deposited via pulsed laser deposition technique in vacuum (10(-5) Torr) is reported, which may find direct application as first mirror in fusion devices. The crystal structure of tungsten film is analyzed using x-ray diffraction pattern, surface morphology of the tungsten films is studied with scanning electron microscope and atomic force microscope. The film composition is identified using energy dispersive x-ray. The specular and diffuse reflectivities with respect to stainless steel substrate of the tungsten films are recorded with FTIR spectra. The thickness and the optical quality of pulsed laser deposition deposited films are tested via interferometric technique. The reflectivity is approaching about that of the bulk for the tungsten film of thickness ∼782 nm.

  13. Deposition and characterization of molybdenum thin films using dc-plasma magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Majid, E-mail: majids@hotmail.com; Islam, Mohammad, E-mail: mohammad.islam@gmail.com

    2013-12-15

    Molebdenum (Mo) thin films were deposited on well-cleaned soda-lime glass substrates using DC-plasma magnetron sputtering. In the design of experiment deposition was optimized for maximum beneficial characteristics by monitoring effect of process variables such as deposition power (100–200 W). Their electrical, structural and morphological properties were analyzed to study the effect of these variables. The electrical resistivity of Mo thin films could be reduced by increasing deposition power. Within the range of analyzed deposition power, Mo thin films showed a mono crystalline nature and the crystallites were found to have an orientation along [110] direction. The surface morphology of thinmore » films showed that a highly dense micro structure has been obtained. The surface roughness of films increased with deposition power. The adhesion of Mo thin films could be improved by increasing the deposition power. Atomic force microscopy was used for the topographical study of the films and to determine the roughness of the films. X-ray diffractrometer and scanning electron microscopy analysis were used to investigate the crystallinity and surface morphology of the films. Hall effect measurement system was used to find resistivity, carrier mobility and carrier density of deposited films. The adhesion test was performed using scotch hatch tape adhesion test. Mo thin films prepared at deposition power of 200 W, substrate temperature of 23°C and Ar pressure of 0.0123 mbar exhibited a mono crystalline structure with an orientation along (110) direction, thickness of ∼550 nm and electrical resistivity value of 0.57 × 10{sup −4} Ω cm.« less

  14. Deposition of SiC x H y O z thin film on epoxy resin by nanosecond pulsed APPJ for improving the surface insulating performance

    NASA Astrophysics Data System (ADS)

    Qing, XIE; Haofan, LIN; Shuai, ZHANG; Ruixue, WANG; Fei, KONG; Tao, SHAO

    2018-02-01

    Non-thermal plasma surface modification for epoxy resin (EP) to improve the insulation properties has wide application prospects in gas insulated switchgear and gas insulated transmission line. In this paper, a pulsed Ar dual dielectrics atmospheric-pressure plasma jet (APPJ) was used for SiC x H y O z thin film deposition on EP samples. The film deposition was optimized by varying the treatment time while other parameters were kept at constants (treatment distance: 10 mm, precursor flow rate: 0.6 l min-1, maximum instantaneous power: 3.08 kW and single pulse energy: 0.18 mJ). It was found that the maximum value of flashover voltages for negative and positive voltage were improved by 18% and 13% when the deposition time was 3 min, respectively. The flashover voltage reduced as treatment time increased. Moreover, all the surface conductivity, surface charge dissipation rate and surface trap level distribution reached an optimal value when thin film deposition time was 3 min. Other measurements, such as atomic force microscopy and scanning electron microscope for EP surface morphology, Fourier transform infrared spectroscopy and x-ray photoelectron spectroscopy for EP surface compositions, optical emission spectra for APPJ deposition process were carried out to better understand the deposition processes and mechanisms. The results indicated that the original organic groups (C-H, C-C, C=O, C=C) were gradually replaced by the Si containing inorganic groups (Si-O-Si and Si-OH). The reduction of C=O in ester group and C=C in p-substituted benzene of the EP samples might be responsible for shallowing the trap level and then enhancing the flashover voltage. However, when the plasma treatment time was longer than 3 min, the significant increase of the surface roughness might increase the trap level depth and then deteriorate the flashover performance.

  15. Electrowetting on plasma-deposited fluorocarbon hydrophobic films for biofluid transport in microfluidics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bayiati, P.; Tserepi, A.; Petrou, P. S.

    2007-05-15

    The present work focuses on the plasma deposition of fluorocarbon (FC) films on surfaces and the electrostatic control of their wettability (electrowetting). Such films can be employed for actuation of fluid transport in microfluidic devices, when deposited over patterned electrodes. Here, the deposition was performed using C{sub 4}F{sub 8} and the plasma parameters that permit the creation of films with optimized properties desirable for electrowetting were established. The wettability of the plasma-deposited surfaces was characterized by means of contact angle measurements (in the static and dynamic mode). The thickness of the deposited films was probed in situ by means ofmore » spectroscopic ellipsometry, while the surface roughness was provided by atomic force microscopy. These plasma-deposited FC films in combination with silicon nitride, a material of high dielectric constant, were used to create a dielectric structure that requires reduced voltages for successful electrowetting. Electrowetting experiments using protein solutions were conducted on such optimized dielectric structures and were compared with similar structures bearing commercial spin-coated Teflon registered amorphous fluoropolymer (AF) film as the hydrophobic top layer. Our results show that plasma-deposited FC films have desirable electrowetting behavior and minimal protein adsorption, a requirement for successful transport of biological solutions in 'digital' microfluidics.« less

  16. Internal Photoemission at Interfaces of ALD TaSiOx Insulating Layers Deposited on Si, InP and In0.53Ga0.47As

    NASA Astrophysics Data System (ADS)

    Y Chou, H.; Afanas'ev, V. V.; Thoan, N. H.; Adelmann, C.; Lin, H. C.; Houssa, M.; Stesmans, A.

    2012-10-01

    Electrical analysis of interfaces of (100)Si, (100)InP, and (100)In0.53Ga0.47As with TaSiOx (Ta/Si≈1) films atomic-layer deposited using SiCl4, TaCl5, and H2O precursors suggests Ta silicate as a good insulating and surface passivating layer on all three semiconductors. However, when a positive voltage is applied to the top metal electrode in a metal/ TaSiOx /semiconductor configuration, considerable hysteresis of the capacitance-voltage curves, both at 300 and 77 K, is universally observed indicating electron injection and trapping in the insulator. To shed some light on the origin of this charge instability, we analyzed interface band alignment of the studied interfaces using the spectroscopies of internal photoemission and photoconductivity measurements. The latter reveals that independently of the semiconductor substrate material, TaSiOx layers exhibit a bandgap of only 4.5±0.1 eV, typical for a Ta2O5 network. The density of electron states associated with this narrow-gap network may account for the enhanced electron injection and trapping. Furthermore, while a sufficiently high energy barrier for electrons between Si and TaSiOx (3.1±0.1 eV) is found, much lower IPE thresholds are encountered at the (100)InP/TaSiOx and (100) In0.53Ga0.47As/TaSiOx interfaces, i.e., 2.4 and 2.0 eV, respectively. The lower barrier may be related by the formation of narrow-gap In-rich interlayers between AIIIBV semiconductors and TaSiOx.

  17. Resistive switching in a few nanometers thick tantalum oxide film formed by a metal oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohno, Takeo, E-mail: t-ohno@wpi-aimr.tohoku.ac.jp; Japan Science and Technology Agency; Samukawa, Seiji, E-mail: samukawa@ifs.tohoku.ac.jp

    2015-04-27

    Resistive switching in a Cu/Ta{sub 2}O{sub 5}/Pt structure that consisted of a few nanometer-thick Ta{sub 2}O{sub 5} film was demonstrated. The Ta{sub 2}O{sub 5} film with thicknesses of 2–5 nm was formed with a combination of Ta metal film deposition and neutral oxygen particle irradiation at room temperature. The device exhibited a bipolar resistive switching with a threshold voltage of 0.2 V and multilevel switching operation.

  18. Field emission from amorphous carbon films grown by electrochemical deposition using methanol liquid

    NASA Astrophysics Data System (ADS)

    Kiyota, H.; Higashi, M.; Kurosu, T.; Iida, M.

    2006-05-01

    The field emission from an amorphous carbon (a-C) film grown by electrochemical deposition has been studied. The deposition of the a-C film was accomplished by applying a direct-current potential to a substrate that was immersed in methanol. Both scanning electron microscopy and Raman results indicate that smooth and homogeneous a-C films are grown on specific substrates such as Ti and Al. Field emission measurements demonstrate excellent emission properties such as threshold fields as low as 5 V/μm. Enhancement factors are estimated to be in the range of 1300-1500; these are attributed to local field enhancements around sp2 carbon clusters that are embedded in the a-C films. Emission properties of a-C films grown on Si exhibit a current saturation under higher applied fields. These saturation characteristics are explained by effects of a potential barrier at the interface between the a-C film and the substrate. The interface barrier is reduced by formation of the Ti interfacial layer, suggesting that the formation of TiC decreases the contact resistance between the substrate and the a-C film. Therefore, an approach to use carbide formation at the interface is verified as useful to improve the emission properties of a-C films.

  19. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} as potential gate dielectrics for GaN/Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Partida-Manzanera, T., E-mail: sgtparti@liv.ac.uk; Institute of Materials Research and Engineering, A*STAR; Roberts, J. W.

    2016-01-14

    This paper describes a method to optimally combine wide band gap Al{sub 2}O{sub 3} with high dielectric constant (high-κ) Ta{sub 2}O{sub 5} for gate dielectric applications. (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta{sub 2}O{sub 5} molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al{sub 2}O{sub 3} to 4.6 eV for pure Ta{sub 2}O{sub 5}. The dielectric constant calculated from capacitance-voltage measurementsmore » also increases linearly from 7.8 for Al{sub 2}O{sub 3} up to 25.6 for Ta{sub 2}O{sub 5}. The effect of post-deposition annealing in N{sub 2} at 600 °C on the interfacial properties of undoped Al{sub 2}O{sub 3} and Ta-doped (Ta{sub 2}O{sub 5}){sub 0.12}(Al{sub 2}O{sub 3}){sub 0.88} films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al{sub 2}O{sub 3}/GaN-HEMT and (Ta{sub 2}O{sub 5}){sub 0.16}(Al{sub 2}O{sub 3}){sub 0.84}/GaN-HEMT samples increased by ∼1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al{sub 2}O{sub 3} can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.« less

  20. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  1. Conducting transition metal nitride thin films with tailored cell sizes: The case of {delta}-Ti{sub x}Ta{sub 1-x}N

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koutsokeras, L. E.; Laboratoire PHYMAT, Universite de Poitiers-CNRS, UMR 6630, SP2MI, Teleport 2, Bd Marie et Pierre Curie, 86962 Chasseneuil-Futuroscope; Abadias, G.

    2008-07-07

    We present results on the stability and tailoring of the cell size of conducting {delta}-Ti{sub x}Ta{sub 1-x}N obtained by film growth and ab initio calculations. Despite the limited solubility of Ta in Ti, we show that TiN and TaN are soluble due to the hybrization of the d and sp electrons of the metal and N, respectively, that stabilizes the ternary system to the rocksalt structure. The stress-free cell sizes follow the Vegard's rule; nevertheless, process-dependent stresses expand the cell size of the as-grown films. The electronic properties of {delta}-Ti{sub x}Ta{sub 1-x}N films ({rho}=180 {omega} cm) are similar to thosemore » of TiN and TaN.« less

  2. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  3. Characterization of a-SiC:H films produced in a standard plasma enhanced chemical vapor deposition system for x-ray mask application

    NASA Astrophysics Data System (ADS)

    Jean, A.; Chaker, M.; Diawara, Y.; Leung, P. K.; Gat, E.; Mercier, P. P.; Pépin, H.; Gujrathi, S.; Ross, G. G.; Kieffer, J. C.

    1992-10-01

    Hydrogenated amorphous a-SixC1-x:H films with various compositions (0.2≤x≤0.8) were prepared by a radio frequency (rf 100 kHz) glow discharge decomposition of a silane and methane mixture diluted in argon. The deposition system used was a commercially available plasma enhanced chemical vapor deposition reactor allowing a high throughput (22 wafers of 4 in. diameter each run). The properties of the films such as thickness, density, and stress were investigated. The composition, including hydrogen content and Si/C ratio, and the structure of the films were systematically examined by means of several diagnostics including electron recoil detection, x-ray photoelectron spectroscopy, and infrared (IR) absorption analysis. Thickness and density of the films were dependent on the film composition, while the stress of the films was highly compressive (3×109-1×1010 dynes/cm2). Density was about 2.4 g/cm3 for nearly stoichiometric SiC films. The hydrogen content of the films was practically constant at 27 at. % over the whole investigated composition range. The IR analyses suggested that the structure of the silicon carbide films is inorganic-like over the whole range of compositions. From stoichiometric to carbon-rich films, the structure mainly consists of a tetrahedral network where silicon atoms are randomly replaced by carbon atoms and one hydrogen atom is bonded to silicon (SiH group). However, the presence of SiH2 groups and microvoids was observed in the structure of Si-rich silicon carbide films. Finally, the development of SiC membranes for x-ray lithography was presented including the control of film stress by means of rapid thermal annealing. Silicon carbide membranes of relatively high surface area (32×32 mm2) and showing high optical transparency (80%) were successfully fabricated.

  4. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  5. Effects of addition of Ta and Y ions to InZnO thin film transistors by sol-gel process.

    PubMed

    Son, Dae-Ho; Kim, Dae-Hwan; Kim, Jung-Hye; Park, Si-Nae; Sung, Shi-Joon; Kang, Jin-Kyu

    2013-06-01

    We have investigated the effects of the addition of tantalum (Ta) and yttrium (Y) ions to InZnO thin film transistors (TFTs) using the sol-gel process. TaInZnO and YInZnO TFTs had significantly lower off current and higher on-to-off current ratio than InZnO TFTs. Ta and Y ions have strong affinity to oxygen and so suppress the formation of free electron carriers in thin films; they play an important role in enhancing the electrical characteristic due to their high oxygen bonding ability. The optimized TaInZnO and YInZnO TFTs showed high on/off ratio and low subthreshold swing.

  6. Vacuum and low oxygen pressure influence on BaFe12O19 film deposited by pulse laser deposition

    NASA Astrophysics Data System (ADS)

    Kumar, Pawan; Gaur, Anurag; Choudhary, R. J.

    2018-05-01

    BaFe12O19 hexaferrite thin films are deposited on Si (111) substrate by the pulse laser deposition (PLD) technique in high vacuum 10-6 Torr and low oxygen pressure (10 mTorr) at 650°C substrate temperature. The effects of high vacuum and low pressure on magnetic and optical properties are studied. These films are characterized by the x-ray diffractometer (XRD), SQUID-VSM magnetometer, and Photo-luminescence spectroscopy. XRD pattern reveals that the BaFe12O19 film well formed in both environments without any impurity pick. High magnetic saturazation 317 emu/cm3 and coercivity 130 Oe are observed for the film deposited in vacuum. Photoluminescence emission spectrum of BaFe12O19 film reveals that the higher intensity emission peak at ˜372 nm under the excitation wavelength of 270 nm is observed for the film grown in vacuum.

  7. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  8. In situ Pulsed Laser Deposition of C-Axis Oriented MgB2 Films and Their Characterization

    NASA Technical Reports Server (NTRS)

    Shinde, Sanjay; Lakew, Brook; Ogale, S. B.; Kulkarni, V. N.; Kale, S. N.; Venkatesan, T.

    2004-01-01

    The recent discovery of an intermetallic superconductor MgB2 has renewed interest in the area of superconductivity not only because of fundamental understanding of superconductivity but also due to its potential applicability in devices such as thermal detectors. Considerable amount of research has been devoted to obtain MgB2 films by an all in situ growth technique. We have grown MgB2 thin films by an all in situ pulsed laser deposition process from pure B and Mg targets. Ultrathin layers of B and Mg were deposited in a multilayer configuration. Hundreds of such Mg-B bilayers with a capping Mg layer on the top were deposited on sapphire substrate. These depositions were done in high vacuum (approx. 10(exp -7) Torr) and at room temperature. After deposition, such a configuration was annealed at high temperature for a short time in a forming gas (4% H2 in Ar). The best films, obtained by this procedure, showed superconducting transition temperature approx. 30 K. These films have been characterized by x-ray diffraction, Rutherford Backscattering Spectrometry, AC susceptibility-, resistivity- (with and without magnetic field) and 1/f noise-measurements. The physical properties of these films will be presented and discussed.

  9. Electrochemical characterization of pulsed layer deposited hydroxyapatite-zirconia layers on Ti-21Nb-15Ta-6Zr alloy for biomedical application

    NASA Astrophysics Data System (ADS)

    Izquierdo, Javier; Bolat, Georgiana; Cimpoesu, Nicanor; Trinca, Lucia Carmen; Mareci, Daniel; Souto, Ricardo Manuel

    2016-11-01

    A new titanium base Ti-21Nb-15Ta-6Zr alloy covered with hydroxyapatite-zirconia (HA-ZrO2) by pulsed laser deposition (PLD) technique was characterized regarding its corrosion resistance in simulated physiological Ringer's solution at 37 °C. For the sake of comparison, Ti-6Al-4V standard implant alloy, with and without hydroxyapatite-zirconia coating, was also characterized. Multiscale electrochemical analysis using both conventional averaging electrochemical techniques, namely electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization, and spatially-resolved microelectrochemical techniques (scanning electrochemical microscopy, SECM) were used to investigate the electrochemical behaviour of the materials. In addition, scanning electron microscopy evidenced that no relevant surface morphology changes occurred on the materials upon immersion in the simulated physiological solution, despite variations in their electrochemical behaviour. Although uncoated metals appear to show better performances during conventional corrosion tests, the response is still quite similar for the HA-ZrO2 coated materials while providing superior resistance towards electron transfer due to the formation of a more dense film on the surface, thus effectively behaving as a passive material. It is believed corrosion of the HA-ZrO2 coated Ti-21Nb-15Ta-6Zr alloy will have negligible effect upon biochemical and cellular events at the bone-implant interface and could facilitate osseointegration.

  10. Mechanism of the reset process in bipolar-resistance-switching Ta/TaOx/Pt capacitors based on observation of the capacitance and resistance

    NASA Astrophysics Data System (ADS)

    Na, Sang-Chul; Kim, Jae-Jun; Chul Chun, Min; Hee Jin, Da; Ahn, Seung-Eon; Soo Kang, Bo

    2014-03-01

    The capacitance (C) and the resistance (R) were measured at various states as the reset process progressed in bipolar-resistance-switching Ta/TaOx/Pt thin film capacitors. The reset process was found to undergo three sequential stages where C and R showed different behavior: increasing C and constant R before an abrupt reset transition, the rapid increase of both C and R upon transition, and saturated C thereafter. These behaviors can be explained in terms of the annihilation of the oxygen vacancies followed by rupture of the conducting channels.

  11. Control of composition and crystallinity in hydroxyapatite films deposited by electron cyclotron resonance plasma sputtering

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei; Ueno, Yuko

    2014-01-01

    Hydroxyapatite (HAp) films were deposited by electron cyclotron resonance plasma sputtering under a simultaneous flow of H2O vapor gas. Crystallization during sputter-deposition at elevated temperatures and solid-phase crystallization of amorphous films were compared in terms of film properties. When HAp films were deposited with Ar sputtering gas at temperatures above 460 °C, CaO byproducts precipitated with HAp crystallites. Using Xe instead of Ar resolved the compositional problem, yielding a single HAp phase. Preferentially c-axis-oriented HAp films were obtained at substrate temperatures between 460 and 500 °C and H2O pressures higher than 1×10-2 Pa. The absorption signal of the asymmetric stretching mode of the PO43- unit (ν3) in the Fourier-transform infrared absorption (FT-IR) spectra was the narrowest for films as-crystallized during deposition with Xe, but widest for solid-phase crystallized films. While the symmetric stretching mode of PO43- (ν1) is theoretically IR-inactive, this signal emerged in the FT-IR spectra of solid-phase crystallized films, but was absent for as-crystallized films, indicating superior crystallinity for the latter. The Raman scattering signal corresponding to ν1 PO43- sensitively reflected this crystallinity. The surface hardness of as-crystallized films evaluated by a pencil hardness test was higher than that of solid-phase crystallized films.

  12. Structural and interfacial defects in c-axis oriented LiNbO3 thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    NASA Astrophysics Data System (ADS)

    Shandilya, Swati; Tomar, Monika; Sreenivas, K.; Gupta, Vinay

    2009-05-01

    Highly c-axis oriented LiNbO3 films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO3 films under the optimized deposition condition. An extra peak at 905 cm-1 was observed in the Raman spectra of LiNbO3 film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO3 films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO3 single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO3 film and the Al : ZnO layer.

  13. Transparent and semitransparent conducting film deposition by reactive-environment, hollow cathode sputtering

    NASA Astrophysics Data System (ADS)

    Delahoy, A. E.; Guo, S. Y.

    2005-07-01

    Highly transparent and conductive In2O3 and ZnO films containing different doping elements such as Ti, Mo, Zr, Nb, Ta, W (for In2O3), and B (for ZnO) have been prepared by reactive-environment, hollow cathode sputtering (RE-HCS). The use of Nb and W as effective dopants is reported for the first time. Metallic targets were used exclusively, and the dopant concentration was easily controlled using a second sputtering power supply. As a result of the cathode and gas flow geometry, the sputtering is conducted in metal mode, and the target and doping materials are free from oxidation during the deposition process. Film resistivities achieved with the various dopants are reported. For In2O3:Mo (IMO), a resistivity of 1.6×10-4Ω cm and a mobility of 80 cm2/Vs were achieved for Mo concentrations in the range 0.5-5.0% as measured by inductively coupled plasma (ICP). X-ray photoelectron spectroscopy (XPS) analysis indicates Mo with a +6 valence state and that the film is stoichiometric. For In2O3:Ti (ITiO), a superior optical transmission is achieved relative to IMO, while carrier mobility and conductivity were similar. Remarkably, semitransparent films of InN:O having sheet resistances of 9.5 Ω/square have also been prepared. ZnO:B films deposited by RE-HCS exhibit superior optical properties relative to ZnO:Al, and when applied as a window layer to CIGS solar cells yield higher quantum efficiencies.

  14. Deposition and characterization of vanadium oxide based thin films for MOS device applications

    NASA Astrophysics Data System (ADS)

    Rakshit, Abhishek; Biswas, Debaleen; Chakraborty, Supratic

    2018-04-01

    Vanadium Oxide films are deposited on Si (100) substrate by reactive RF-sputtering of a pure Vanadium metallic target in an Argon-Oxygen plasma environment. The ratio of partial pressures of Argon to Oxygen in the sputtering-chamber is varied by controlling their respective flow rates and the resultant oxide films are obtained. MOS Capacitor based devices are then fabricated using the deposited oxide films. High frequency Capacitance-Voltage (C-V) and gate current-gate voltage (I-V) measurements reveal a significant dependence of electrical characteristics of the deposited films on their sputtering deposition parameters mainly, the relative content of Argon/Oxygen in the plasma chamber. A noteworthy change in the electrical properties is observed for the films deposited under higher relative oxygen content in the plasma atmosphere. Our results show that reactive sputtering serves as an indispensable deposition-setup for fabricating vanadium oxide based MOS devices tailor-made for Non-Volatile Memory (NVM) applications.

  15. Pulsed laser deposited hexagonal wurzite ZnO thin-film nanostructures/nanotextures for nanophotonics applications

    NASA Astrophysics Data System (ADS)

    John Chelliah, Cyril Robinson Azariah; Swaminathan, Rajesh

    2018-01-01

    The high-quality and transparent thin-film zinc oxide (ZnO) nanostructures/nanotextures deposited on glass and silicon substrates using pulsed laser deposition (PLD) technique are reported. A solid-state, Nd-YAG laser was used for the PLD process. The films were deposited (i) at room temperature of 25°C (as deposited), (ii) at 150°C, (iii) at 300°C, (iv) at 450°C, and (v) at 600°C and annealed in the vacuum chamber. The depositions were also carried out at different laser repetition rates such as 10 and 5 Hz. UV spectroscopy and photoluminescence (PL) spectroscopy were carried out for optical studies. X-ray diffraction studies were carried out for all samples and analyzed the effects of the laser repetition rate, deposition, and annealing temperatures on the structural properties. Field-emission scanning electron microscope images are recorded for the best-structured samples. The electrical parameters were calibrated using the Hall effect measurement system and the IV characterization was performed using a CHI Electrochemical workstation. The deposition temperature has a significant effect on the microstrain and dislocation density of the ZnO thin film and optical phenomena with various electrical parameters, including the electron mobility, conductivity, and magnetoresistance. These promising results are suitable conditions for nanophotonics applications.

  16. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  17. Effect of heat treatment on interface driven magnetic properties of CoFe films

    NASA Astrophysics Data System (ADS)

    Singh, Akhilesh Kr.; Hsu, Jen-Hwa

    2017-06-01

    We report systematic studies on non-magnetic Ta underlayer and cap layer driven microstructural and magnetic properties at a wide temperature range for CoFe films. All the films were grown at room temperature and post annealed at different annealing temperatures (TA = 200 °C, 250 °C, 300 °C, 350 °C, 400 °C and 450 °C). The in-plane magnetic hysteresis (M-H) loops of 10 nm thick CoFe single layer films, grown directly on thermally oxidized Si substrate, exhibit anisotropic nature for TA above 250 °C. However, the CoFe (10 nm) films grown on the 5 nm thick Ta underlayer show reduced anisotropy. Moreover, with underlayer and cap layers (2 nm) the anisotropy is disappeared. The in-plane coercivity (HC) shows a strong variation with TA, underlayer and cap layers. HC increases significantly with Ta underlayer and cap layers. The out of plane M-H loops exhibit increase in the remanence magnetization and squareness with both Ta underlayer and cap layers due to transition of in-plane magnetization component to the out of plane direction. The atomic force microscopic observations revealed that grain/particle size and shape depend strongly on TA and Ta layers. Moreover, a large reduction in the surface roughness is observed with the Ta cap layer. The magnetic domain patterns depend on the TA, and Ta layers. However, for Ta/CoFe/Ta films no clear domains were observed for all the TA. Hence, the Ta cap layers not only protect the CoFe magnetic layer against the heat treatment, but also show a smooth surface at a wide temperature range. These results could be discussed on the basis of random anisotropy model, TA, underlayer and cap layers driven microstructure and magnetization orientation of the CoFe films.

  18. Deposition of BN interphase coatings from B-trichloroborazine and its effects on the mechanical properties of SiC/SiC composites

    NASA Astrophysics Data System (ADS)

    Wu, Haitang; Chen, Mingwei; Wei, Xi; Ge, Min; Zhang, Weigang

    2010-12-01

    Boron nitride thin films were deposited on silicon carbide fibers by chemical vapor deposition at atmospheric pressure from the single source precursor B-trichloroborazine (Cl 3B 3N 3H 3, TCB). The film growth and structure, as a function of deposition temperature, hydrogen gas flow rate, and deposition time, were discussed. The deposition rate reaches a maximum at 1000 °C, then decreases with the increasing of temperature, and the apparent activation energy of the reaction is 127 kJ/mol. Above 1000 °C, gas-phase nucleation determines the deposition process. The deposited BN films were characterized by Raman spectroscopy, X-ray diffraction (XRD) and scanning electron microscopy (SEM). The effect of BN interphase on the mechanical properties of the unidirectional SiC fiber-reinforced SiC matrix (SiC/SiC) composites was also investigated. The results show that the flexural strength of SiC/SiC composites with and without coating is 276 MPa and 70 MPa, respectively, which indicates that BN interphase coating deposited from B-trichloroborazine precursor can effectively adjust the fiber/matrix interface, thus causing a dramatic increase in the mechanical properties of the composites.

  19. Deposition and characterization of aluminum magnesium boride thin film coatings

    NASA Astrophysics Data System (ADS)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (< 3 x 10-6 Torr), accompanied by strong texture formation. Low vacuum level-as deposited AlMgB14 films have low hardness (10 GPa), but high vacuum level-as deposited AlMgB14 films exhibit an extremely high hardness (45 GPa - 51 GPa), and the higher deposition temperature results in still higher hardness

  20. Deposition of Cubic AlN Films on MgO (100) Substrates by Laser Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Mo, Z. K.; Yang, W. J.; Weng, Y.; Fu, Y. C.; He, H.; Shen, X. M.

    2017-12-01

    Cubic AlN (c-AlN) films were deposited on MgO (100) substrates by laser molecular beam epitaxy (LMBE) technique. The crystal structure and surface morphology of deposited films with various laser pulse energy and substrate temperature were investigated. The results indicate that c-AlN films exhibit the (200) preferred orientation, showing a good epitaxial relationship with the substrate. The surface roughness of c-AlN films increases when the laser pulse energy and substrate temperature increase. The film grown at laser pulse energy of 150 mJ and substrate temperature of 700 °C shows the best crystalline quality and relatively smooth surface.

  1. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr; Gumus, Cebrail

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized inmore » the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.« less

  2. Comparison of the properties of Pb thin films deposited on Nb substrate using thermal evaporation and pulsed laser deposition techniques

    NASA Astrophysics Data System (ADS)

    Perrone, A.; Gontad, F.; Lorusso, A.; Di Giulio, M.; Broitman, E.; Ferrario, M.

    2013-11-01

    Pb thin films were prepared at room temperature and in high vacuum by thermal evaporation and pulsed laser deposition techniques. Films deposited by both the techniques were investigated by scanning electron microscopy to determine their surface topology. The structure of the films was studied by X-ray diffraction in θ-2θ geometry. The photoelectron performances in terms of quantum efficiency were deduced by a high vacuum photodiode cell before and after laser cleaning procedures. Relatively high quantum efficiency (>10-5) was obtained for all the deposited films, comparable to that of corresponding bulk. Finally, film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Weak and strong points of these two competitive techniques are illustrated and discussed.

  3. Deposition of ultra thin CuInS₂ absorber layers by ALD for thin film solar cells at low temperature (down to 150 °C).

    PubMed

    Schneider, Nathanaelle; Bouttemy, Muriel; Genevée, Pascal; Lincot, Daniel; Donsanti, Frédérique

    2015-02-06

    Two new processes for the atomic layer deposition of copper indium sulfide (CuInS₂) based on the use of two different sets of precursors are reported. Metal chloride precursors (CuCl, InCl₃) in combination with H2S imply relatively high deposition temperature (Tdep = 380 °C), and due to exchange reactions, CuInS₂ stoechiometry was only achieved by depositing In₂S3 layers on a CuxS film. However, the use of acac- metal precursors (Cu(acac)₂, In(acac)₃) allows the direct deposition of CuInS₂ at temperature as low as 150 °C, involving in situ copper-reduction, exchange reaction and diffusion processes. The morphology, crystallographic structure, chemical composition and optical band gap of thin films were investigated using scanning electronic microscope, x-ray diffraction under grazing incidence conditions, x-ray fluorescence, energy dispersive spectrometry, secondary ion mass spectrometry, x-ray photoelectron spectroscopy and UV-vis spectroscopy. Films were implemented as ultra-thin absorbers in a typical CIS-solar cell architecture and allowed conversion efficiencies up to 2.8%.

  4. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    NASA Astrophysics Data System (ADS)

    Tucker, Mark D.; Czigány, Zsolt; Broitman, Esteban; Näslund, Lars-Åke; Hultman, Lars; Rosen, Johanna

    2014-04-01

    Carbon and carbon nitride films (CNx, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A "fullerene-like" (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CNx films, was observed in films deposited at 175 °C and above, with N2 pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradual transition from majority sp3-hybridized films to sp2 films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CNx films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.

  5. Properties of Nanocomposite Nickel-Carbon Films Deposited by Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Grenadyorov, A. S.; Oskomov, K. V.; Solov'ev, A. A.; Rabotkin, S. V.; Zakharov, A. N.; Semenov, V. A.; Oskirko, V. O.; Yelgin, Yu. I.; Korneva, O. S.

    2017-12-01

    The method of magnetron sputtering was used to produce a-C and a-C:Ni films on substrates of monocrystalline silicon and thermoelectric material of n-type ((Bi2Te3)0.94(Bi2Se3)0.06) and p-type ((Bi2Te3)0.20(Sb2Te3)0.80) conductivity. The authors studied the effect of Ni concentration on specific electric resistance, hardness and adhesion of the produced films. It was demonstrated that specific resistance of a-C films deposited by graphite target sputtering when supplying high bias voltage onto the substrate can be reduced by increasing the share of graphitized carbon. Adding Ni to such films allows additionally reducing their specific resistance. The increase in Ni content is accompanied with the decrease in hardness and adhesion of a-C:Ni films. The acquired values of specific electric resistance and adhesion of a-C:Ni films to thermoelectric materials allow using them as barrier anti-diffusion coatings of thermoelectric modules.

  6. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    PubMed

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  7. Fabrication of micromachined ceramic thin-film-type pressure sensors for overpressure tolerance and its characteristics

    NASA Astrophysics Data System (ADS)

    Chung, Gwiy-Sang; Kim, Jae-Min

    2004-04-01

    This paper describes the fabrication process and characteristics of ceramic thin-film pressure sensors based on Ta-N strain gauges for harsh environment applications. The Ta-N thin-film strain gauges are sputter-deposited on a thermally oxidized micromachined Si diaphragm with buried cavities for overpressure tolerance. The proposed device takes advantage of the good mechanical properties of single-crystalline Si as a diaphragm fabricated by SDB and electrochemical etch-stop technology, and in order to extend the temperature range, it has relatively higher resistance, stability and gauge factor of Ta-N thin-films more than other gauges. The fabricated pressure sensor presents a low temperature coefficient of resistance, high-sensitivity, low nonlinearity and excellent temperature stability. The sensitivity is 1.21-1.097 mV/V×kgf/cm2 in temperature ranges of 25-200°C and a maximum non-linearity is 0.43 %FS.

  8. Processing and characterization of zeta-Ta4C 3-x: A high toughness tantalum carbide

    NASA Astrophysics Data System (ADS)

    Sygnatowicz, Michael M.

    Tantalum carbides are commonly processed by hot-pressing, canned hot-isostatic-pressing, or spark-plasma sintering because of their high melting temperatures and low diffusivities. This study reports processing of dense ζ-Ta4C 3-x by reaction sintering of a Ta and TaC powder mixture (C/Ta atomic ratio = 0.66). ζ-Ta4C3-x is of interest due to its rhombohedral (trigonal) crystal structure that may be characterized as a polytype with both face-centered-cubic (fcc) and hexagonal-close-packed (hcp) Ta stacking sequences interrupted by stacking faults and missing carbon layers. This structure leads to easy cleaving on the basal planes and high fracture toughness. A key step in processing is the hydrogenation of the Ta powder to produce beta-TaH x, a hard and brittle phase that enables efficient comminution during milling and production of small, equiaxed Ta particles that can be packed to high green density with the TaC powder. Studies of phase evolution by quantitative X-ray diffraction during sintering revealed several intermediate reactions: (a) decomposition of beta-TaHx to Ta, (b) diffusion of C from gamma-TaC to Ta leading to the formation of α-Ta2Cy' with the kinetics described by the Johnson-Mehl-Avrami-Kolmogorov (JMAK) equation with an exponent, n = 0.5, and an activation energy of 221 kJ/mole, (c) equilibration of α-Ta2Cy' and gamma-TaC 0.78 phases, and (d) formation of ζ-Ta4C2.56 from the equilibrated α-Ta2C and gamma-TaC0.78 phases with the kinetics characterized by a higher JMAK exponent ( n ≈ 3) and higher activation energy (1089 kJ/mole). The microstructure showed evidence of nucleation and growth of the ζ-Ta4C 2.56 phase in both the α-Ta2C and gamma-TaC0.78 parent phases with distinct difference in the morphology due to the different number of variants of the habit plane. A hot-pressed and hot-isostatic-pressed (HIPed) material (C/Ta atomic ratio = 0.66), having formed 95 w% ζ-phase, attained a fracture toughness of 15.6 +/- 0.5 MPa√m and a

  9. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  10. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  11. Properties of RF sputtered cadmium telluride (CdTe) thin films: Influence of deposition pressure

    NASA Astrophysics Data System (ADS)

    Kulkarni, R. R.; Pawbake, A. S.; Waykar, R. G.; Rondiya, S. R.; Jadhavar, A. A.; Pandharkar, S. M.; Karpe, S. D.; Diwate, K. D.; Jadkar, S. R.

    2016-04-01

    Influence of deposition pressure on structural, morphology, electrical and optical properties of CdTe thin films deposited at low substrate temperature (100°C) by RF magnetron sputtering was investigated. The formation of CdTe was confirmed by low angle XRD and Raman spectroscopy. The low angle XRD analysis revealed that the CdTe films have zinc blende (cubic) structure with crystallites having preferred orientation in (111) direction. Raman spectra show the longitudinal optical (LO) phonon mode peak ˜ 165.4 cm-1 suggesting high quality CdTe film were obtained over the entire range of deposition pressure studied. Scanning electron microscopy analysis showed that films are smooth, homogenous, and crack-free with no evidence of voids. The EDAX data revealed that CdTe films deposited at low deposition pressure are high-quality stoichiometric. However, for all deposition pressures, films are rich in Cd relative to Te. The UV-Visible spectroscopy analysis show the blue shift in absorption edge with increasing the deposition pressure while the band gap show decreasing trend. The highest electrical conductivity was obtained for the film deposited at deposition pressure 1 Pa which indicates that the optimized deposition pressure for our sputtering unit is 1 Pa. Based on the experimental results, these CdTe films can be useful for the application in the flexible solar cells and other opto-electronic devices.

  12. Plasma deposition of amorphous silicon carbide thin films irradiated with neutrons

    NASA Astrophysics Data System (ADS)

    Huran, J.; Bohacek, P.; Kucera, M.; Kleinova, A.; Sasinkova, V.; IEE SAS, Bratislava, Slovakia Team; Polymer Institute, SAS, Bratislava, Slovakia Team; Institute of Chemistry, SAS, Bratislava, Slovakia Team

    2015-09-01

    Amorphous silicon carbide and N-doped silicon carbide thin films were deposited on P-type Si(100) wafer by plasma enhanced chemical vapor deposition (PECVD) technology using silane, methane, ammonium and argon gases. The concentration of elements in the films was determined by RBS and ERDA method. Chemical compositions were analyzed by FTIR spectroscopy. Photoluminescence properties were studied by photoluminescence spectroscopy (PL). Irradiation of samples with various neutron fluencies was performed at room temperature. The films contain silicon, carbon, hydrogen, nitrogen and small amount of oxygen. From the IR spectra, the films contained Si-C, Si-H, C-H, Si-N, N-H and Si-O bonds. No significance effect on the IR spectra after neutron irradiation was observed. PL spectroscopy results of films showed decreasing PL intensity after neutron irradiation and PL intensity decreased with increased neutron fluencies. The measured current of the prepared structures increased after irradiation with neutrons and rise up with neutron fluencies.

  13. Process for obtaining multiple sheet resistances for thin film hybrid microcircuit resistors

    DOEpatents

    Norwood, David P.

    1989-01-01

    A standard thin film circuit containing Ta.sub.2 N (100 ohms/square) resirs is fabricated by depositing on a dielectric substrate successive layers of Ta.sub.2 N, Ti and Pd, with a gold layer to provide conductors. The addition of a few simple photoprocessing steps to the standeard TFN manufacturing process enables the formation of Ta.sub.2 N+Ti (10 ohms/square) and Ta.sub.2 N+Ti+Pd (1 ohm/square) resistors in the same otherwise standard thin film circuit structure.

  14. Process for obtaining multiple sheet resistances for thin film hybrid microcircuit resistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Norwood, D.P.

    1989-01-31

    A standard thin film circuit containing Ta/sub 2/N (100 ohms/square) resistors is fabricated by depositing on a dielectric substrate successive layers of Ta/sub 2/N, Ti and Pd, with a gold layer to provide conductors. The addition of a few simple photoprocessing steps to the standard TFN manufacturing process enables the formation of Ta/sub 2/N + Ti (10 ohms/square) and Ta/sub 2/N + Ti + Pd (1 ohm/square) resistors in the same otherwise standard thin film circuit structure.

  15. Enhancement of as-sputtered silver-tantalum oxide thin film coating on biomaterial stainless steel by surface thermal treatment

    NASA Astrophysics Data System (ADS)

    Alias, Rodianah; Mahmoodian, Reza; Shukor, Mohd Hamdi Abd; Yew, Been Seok; Muhamad, Martini

    2018-04-01

    Stainless steel 316L (SS316L) is extensively used as surgical/clinical tools due to its low carbon content and excellent mechanical characteristic. The fabrication of metal ceramic based on this metallic biomaterial favor its biofunctionality properties. However, instability phase of amorphous thin film lead to degradation, corrosion and oxidation. Thus, thin film coating requires elevated adhesion strength and higher surface hardness to meet clinical tools criteria. In this study, the SS316L was deposited with micron thickness of Ag-TaO thin film by using magnetron sputtering. The microstructure, elemental analysis and phase identification of Ag-TaO thin film were characterized by using FESEM, EDX and XRD, respectively; whereas the micro scratch test and micro hardness test were performed by using Micro Scratch Testing System and Vickers Micro Hardness Tester, respectively. It was found that the coating thin film's adhesion and hardness strength were improved from 672 to 2749 mN and 142 to 158 Hv respectively. It was found that the as-deposited surface were treated at 500 °C of temperatures with 2 °C/min ramping rate enhance 4.1 times of the adhesion strength value. Furthermore, FESEM characterization revealed coarsening structure of the thin film coating which can provide high durability service.

  16. Rutile titanium dioxide films deposited with a vacuum arc at different temperatures

    NASA Astrophysics Data System (ADS)

    Arias, L. Franco; Kleiman, A.; Heredia, E.; Márquez, A.

    2012-06-01

    Rutile crystalline phase of TiO2 has been one of the most investigated materials for medical applications. Its implementation as a surface layer on biomedical implants has shown to improve hemocompatibility and biocompatibility. In this work, titanium dioxide coatings were deposited on glass and steel 316L substrates using cathodic arc deposition. The coatings were obtained at different substrate temperatures; varying from room temperature to 600°C. The crystalline structure of the films was identified by glancing angle X-ray diffraction. Depending on the substrate material and on its temperature during the deposition process, anatase, anatse+rutile and rutile structures were observed. It was determined that rutile films can be obtained below 600 °C with this deposition method.

  17. (Na{sub x}k{sub 1−x}){sub 2}Ta{sub 4}O{sub 11}(x≈0.93) piezoelectric phase from the transformation of Ta{sub 2}O{sub 5} thin films of monoclinic structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le Gallic, M., E-mail: Marie.Le-Gallic@grenoble-inp.fr; Roussel, H.

    2013-04-15

    A phase of trigonal structure has been reported in previous articles to be piezoelectric with a very high longitudinal d{sub 33} coefficient of several thousand pm/V (J. Solid State Chem. 184 (2011) 2023, 2033). It was observed in Ta{sub 2}O{sub 5} thin films and assumed to be the result of a reversible monoclinic–trigonal phase transition. However, new investigations are reported because the cell parameters of this phase are actually very close to those of the natrotantite mineral Na{sub 2}Ta{sub 4}O{sub 11}. From analyses by X-ray energy dispersive spectroscopy (XEDS), the chemical composition of this trigonal phase corresponds to (Na{sub x}K{submore » 1−x}){sub 2}Ta{sub 4}O{sub 11} with x≈0.93. The origin of sodium, potassium, iron and oxygen atoms is found to be due to a pollution coming from alumina crucibles used for thermal treatments. Knowing both atomic structures Ta{sub 2}O{sub 5} and Na{sub 2}Ta{sub 4}O{sub 11} and their structural relationships, observed by high resolution transmission electron microscopy (HRTEM), the mechanism of the reaction of transformation is re-examined and discussed. This mechanism implies that Ta{sup 5+} vacancies likely exist in monoclinic structures of tantalum oxide and that electrical neutrality could be due to incorporated proton H{sup +} instead of O{sup 2−} vacancies or Ta{sup 5+} interstitials. - Graphical abstract: (a, b) TEM images of interfaces between Ta{sub 2}O{sub 5} monoclinic and (Na{sub 0.93}K{sub 0.07}){sub 2}Ta{sub 4}O{sub 11} trigonal phases where it appears that the ratio of periods for the transformation monoclinic-to-trigonal is 3/2 (c, d) corresponding schema of the reaction of transformation, (a, c) viewed along the zone axes [010]{sub o}∥[1{sup ¯}21{sup ¯}]{sub R} and (b, d) along the zone axes [100]{sub o}∥[101{sup ¯}]{sub R}. Highlights: ► The formation of a piezoelectric phase in Ta{sub 2}O{sub 5} thin films, reported in previous articles, is re-examined. ► Its composition is

  18. Deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1984-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or large planar surfaces.

  19. Process for obtaining multiple sheet resistances for thin film hybrid microcircuit resistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Norwood, D P

    1989-01-31

    A standard thin film circuit containing Ta/sub 2/N (100 ohms/square) resistors is fabricated by depositing on a dielectric substrate successive layers of Ta/sub 2/N, Ti and Pd, with a gold layer to provide conductors. The addition of a few simple photoprocessing steps to the standard TFN (thin film network) manufacturing process enables the formation of Ta/sub 2/N + Ti (10 ohms/square) and Ta/sub 2/N + Ti + Pd (1 ohm/square) resistors in the same otherwise standard thin film circuit structure. All three types of resistors are temperature-stable and laser-trimmable for precise definition of resistance values.

  20. Alternative Dielectric Films for rf MEMS Capacitive Switches Deposited using Atomic Layer Deposited Al2O3/ZnO Alloys

    DTIC Science & Technology

    2006-07-02

    A s c c s r t h s l © K 1 b c A a e t s C t o 0 d Sensors and Actuators A 135 (2007) 262–272 Alternative dielectric films for rf MEMS capacitive...Zn concentrations in the alloy films , which was lower than expected. Atomic force microscopy images evealed an average surface roughness of 0.27 nm...that was independent of deposition temperature and film composition. The dielectric constants of he Al2O3/ZnO ALD alloys films were calculated to be

  1. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  2. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  3. Low-Temperature Wafer-Scale Deposition of Continuous 2D SnS2 Films.

    PubMed

    Mattinen, Miika; King, Peter J; Khriachtchev, Leonid; Meinander, Kristoffer; Gibbon, James T; Dhanak, Vin R; Räisänen, Jyrki; Ritala, Mikko; Leskelä, Markku

    2018-04-19

    Semiconducting 2D materials, such as SnS 2 , hold immense potential for many applications ranging from electronics to catalysis. However, deposition of few-layer SnS 2 films has remained a great challenge. Herein, continuous wafer-scale 2D SnS 2 films with accurately controlled thickness (2 to 10 monolayers) are realized by combining a new atomic layer deposition process with low-temperature (250 °C) postdeposition annealing. Uniform coating of large-area and 3D substrates is demonstrated owing to the unique self-limiting growth mechanism of atomic layer deposition. Detailed characterization confirms the 1T-type crystal structure and composition, smoothness, and continuity of the SnS 2 films. A two-stage deposition process is also introduced to improve the texture of the films. Successful deposition of continuous, high-quality SnS 2 films at low temperatures constitutes a crucial step toward various applications of 2D semiconductors. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  5. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  6. Mobility Optimization in LaxBa1-xSnO3 Thin Films Deposited via High Pressure Oxygen Sputtering

    NASA Astrophysics Data System (ADS)

    Postiglione, William Michael

    BaSnO3 (BSO) is one of the most promising semiconducting oxides currently being explored for use in future electronic applications. BSO possesses a unique combination of high room temperature mobility (even at very high carrier concentrations, > 1019 cm-3), wide band gap, and high temperature stability, making it a potentially useful material for myriad applications. Significant challenges remain however in optimizing the properties and processing of epitaxial BSO, a critical step towards industrial applications. In this study we investigate the viability of using high pressure oxygen sputtering to produce high mobility La-doped BSO thin films. In the first part of our investigation we synthesized, using solid state reaction, phase-pure stoichiometric polycrystalline 2% La-doped BaSnO 3 for use as a target material in our sputtering system. We verified the experimental bulk lattice constant, 4.117 A, to be in good agreement with literature values. Next, we set out to optimize the growth conditions for DC sputtering of La doped BaSnO3. We found that mobility for all our films increased monotonically with deposition temperature, suggesting the optimum temperature for deposition is > 900 °C and implicating a likely improvement in transport properties with post-growth thermal anneal. We then preformed systematic studies aimed at probing the effects of varying thickness and deposition rate to optimize the structural and electronic transport properties in unbuffered BSO films. In this report we demonstrate the ability to grow 2% La BSO thin films with an effective dopant activation of essentially 100%. Our films showed fully relaxed (bulk), out-of-plane lattice parameter values when deposited on LaAlO3, MgO, and (LaAlO3)0.3(Sr2 TaAlO6)0.7 substrates, and slightly expanded out-of-plane lattice parameters for films deposited on SrTiO3, GdScO3, and PrScO3 substrates. The surface roughness's of our films were measured via AFM, and determined to be on the nm scale or better

  7. Thin Film Deposition Using Energetic Ions

    PubMed Central

    Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan

    2010-01-01

    One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323

  8. RF sputter deposition of SrS:Eu and ZnS:Mn thin film electroluminescent phosphors

    NASA Astrophysics Data System (ADS)

    Droes, Steven Roy

    1998-09-01

    The radio-frequency (rf) sputter deposition of thin film electroluminescent (TFEL) materials was studied. Thin films of strontium sulfide doped with europium (SrS:Eu) and zinc sulfide doped with manganese (ZnS:Mn) were RF sputter deposited at different conditions. Photoluminescent and electroluminescent behaviors of these films were examined. Photoluminescent active, crystalline films of SrS:Eu were deposited at temperatures from 300o C to 650o C. The best temperature was 400o C, where a PL efficiency of 35% was achieved. Films were deposited at two power levels (90 and 120 watts) and five H2S concentrations (0.6%, 1.3%, 2.4%, 4.0% and 5.3%). The H2S concentration affected the crystallinity of the films and the PL performance. Lower H2S concentrations resulted in films with smaller crystallite sizes and poorer PL performance. Increased H2S concentrations increased the PL intensity and the overall spectra resembled that of an efficient SrS:Eu powder. Although there was a correlation between crystallinity and PL performance other factors such as europium concentration, distribution, and local environment also influence PL performance. Analytical results suggested that, although a film may be crystalline and have the correct europium concentration, unless the europium is in the correct localized environment, optimum PL response will not be achieved. Increased H2S concentrations produced films with europium located in optimum locations. Contrary to vacuum or chemical vapor deposited films, the sputter deposited films showed no trailing edge emission during electroluminescence. A suggested reason for this lack of a trailing edge emission in these films is that the sputter deposition process produces phosphor- insulator interfaces without shallow trap states. A statistical design of experiments approach was implemented for the sputter deposition of ZnS:Mn. The effects of four factors (substrate temperature, chamber pressure, power to the target, and H2S concentration) on

  9. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    NASA Astrophysics Data System (ADS)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  10. Partially Ionized Beam Deposition of Silicon-Dioxide and Aluminum Thin Films - Defects Generation.

    NASA Astrophysics Data System (ADS)

    Wong, Justin Wai-Chow

    1987-09-01

    Detect formation in SiO_2 and Al thin films and interfaces were studied using a partially ionized beam (PIB) deposition technique. The evaporated species (the deposition material) were partially ionized to give an ion/atom ratio of <=q0.1% and the substrate was biased at 0-5kV during the deposition. The results suggest that due to the ion bombardment, stoichiometric SiO_2 films can be deposited at a low substrate temperature (~300 ^circC) and low oxygen pressure (<=q10^{-4} Torr). Such deposition cannot be achieved using conventional evaporation-deposition techniques. However, traps and mobile ions were observed in the oxide and local melt-down was observed when a sufficiently high electric field was applied to the film. For the PIB Al deposition on the Si substrate, stable Al/Si Schottky contact was formed when the substrate bias was <=q1kV. For a substrate bias of 2.5kV, the capacitance of the Al/Si interface increased dramatically. A model of self-ion implantation with a p-n junction created by the Al^+ ion implantation was proposed and tested to explain the increase of the interface capacitance. Several deep level states at the Al/Si interface were observed using Deep Level Transient Spectroscopy (DLTS) technique when the film was deposited at a bias of 3kV. The PIB Al films deposited on the Si substrate showed unusually strong electromigration resistance under high current density operation. This phenomenon was explained by the highly oriented microstructure of the Al films created by the self-ion bombardment during deposition. These findings show that PIB has potential applications in a number of areas, including low temperature thin film deposition, and epitaxial growth of thin films in the microelectronics thin film industry.

  11. High-temperature wear and oxidation behaviors of TiNi/Ti2Ni matrix composite coatings with TaC addition prepared on Ti6Al4V by laser cladding

    NASA Astrophysics Data System (ADS)

    Lv, Y. H.; Li, J.; Tao, Y. F.; Hu, L. F.

    2017-04-01

    TiNi/Ti2Ni matrix composite coatings were produced on Ti6Al4V surfaces by laser cladding the mixed powders of Ni-based alloy and different contents of TaC (0, 5, 10, 15, 20, 30 and 40 wt.%). Microstructures of the coatings were investigated. High-temperature wear tests of the substrate and the coatings were carried out at 600 °C in air for 30 min. High-temperature oxidation tests of the substrate and the coatings were performed at 1000 °C in air for 50 h. Wear and oxidation mechanisms were revealed in detail. The results showed that TiNi/Ti2Ni as the matrix and TiC/TiB2/TiB as the reinforcements are the main phases of the coatings. The friction coefficients of the substrate and the coatings with different contents of TaC were 0.431 (the substrate), 0.554 (0 wt.%), 0.486 (5 wt.%), 0.457 (10 wt.%), 0.458 (15 wt.%), 0.507 (20 wt.%), 0.462 (30 wt.%) and 0.488 (40 wt.%). The wear rates of the coatings were decreased by almost 83%-98% than that of the substrate and presented a decreasing tendency with increasing TaC content. The wear mechanism of the substrate was a combination of serious oxidation, micro-cutting and brittle debonding. For the coatings, oxidation and slight scratching were predominant during wear, accompanied by slight brittle debonding in partial zones. With the increase in content of TaC, the oxidation film better shielded the coatings from destruction due to the effective friction-reducing role of Ta2O5. The oxidation rates of the substrate and the coatings with different contents of TaC at 1000 °C were 12.170 (the substrate), 5.886 (0 wt.%), 4.937 (5 wt.%), 4.517 (10 wt.%), 4.394 (15 wt.%), 3.951 (20 wt.%), 4.239 (30 wt.%) and 3.530 (40 wt.%) mg2 cm-4 h-1, respectively. The oxidation film formed outside the coating without adding TaC was composed of TiO2, NiO, Cr2O3, Al2O3 and SiO2. When TaC was added, Ta2O5 and TaC were also detected, which effectively improved the oxidation resistance of the coatings. The addition of TaC contributed to the

  12. Evolution of sp{sup 2} networks with substrate temperature in amorphous carbon films: Experiment and theory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gago, R.; Centro de Micro-Analisis de Materiales, Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid; Vinnichenko, M.

    2005-07-01

    The evolution of sp{sup 2} hybrids in amorphous carbon (a-C) films deposited at different substrate temperatures was studied experimentally and theoretically. The bonding structure of a-C films prepared by filtered cathodic vacuum arc was assessed by the combination of visible Raman spectroscopy, x-ray absorption, and spectroscopic ellipsometry, while a-C structures were generated by molecular-dynamics deposition simulations with the Brenner interatomic potential to determine theoretical sp{sup 2} site distributions. The experimental results show a transition from tetrahedral a-C (ta-C) to sp{sup 2}-rich structures at {approx}500 K. The sp{sup 2} hybrids are mainly arranged in chains or pairs whereas graphitic structures aremore » only promoted for sp{sup 2} fractions above 80%. The theoretical analysis confirms the preferred pairing of isolated sp{sup 2} sites in ta-C, the coalescence of sp{sup 2} clusters for medium sp{sup 2} fractions, and the pronounced formation of rings for sp{sup 2} fractions >80%. However, the dominance of sixfold rings is not reproduced theoretically, probably related to the functional form of the interatomic potential used.« less

  13. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Iyer, Ajai, E-mail: ajai.iyer@aalto.fi; Etula, Jarkko; Liu, Xuwen

    Single walled carbon nanotube networks (SWCNTNs) were coated by tetrahedral amorphous carbon (ta-C) to improve the mechanical wear properties of the composite film. The ta-C deposition was performed by using pulsed filtered cathodic vacuum arc method resulting in the generation of C+ ions in the energy range of 40–60 eV which coalesce to form a ta-C film. The primary disadvantage of this process is a significant increase in the electrical resistance of the SWCNTN post coating. The increase in the SWCNTN resistance is attributed primarily to the intrinsic stress of the ta-C coating which affects the inter-bundle junction resistance between themore » SWCNTN bundles. E-beam evaporated carbon was deposited on the SWCNTNs prior to the ta-C deposition in order to protect the SWCNTN from the intrinsic stress of the ta-C film. The causes of changes in electrical resistance and the effect of evaporated carbon thickness on the changes in electrical resistance and mechanical wear properties have been studied.« less

  14. Influence of growth temperature on properties of zirconium dioxide films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kukli, Kaupo; Ritala, Mikko; Aarik, Jaan; Uustare, Teet; Leskela, Markku

    2002-08-01

    ZrO2 films were grown by atomic layer deposition from ZrCl4 and H2O or a mixture of H2O and H2O2 on Si(100) substrates in the temperature range of 180-600 degC. The films were evaluated in the as-deposited state, in order to follow the effect of deposition temperature on the film quality. The rate of crystal growth increased and the content of residual impurities decreased with increasing temperature. The zirconium-to-oxygen atomic ratio, determined by ion-beam analysis, corresponded to the stoichiometric dioxide regardless of the growth temperature. The effective permittivity of ZrO2 in Al/ZrO2/Si capacitor structures increased from 13-15 in the films grown at 180 degC to 19 in the films grown at 300-600 degC, measured at 100 kHz. The permittivity was relatively high in the crystallized films, compared to the amorphous ones, but rather insensitive to the crystal structure. The permittivity was higher in the films grown using water. The leakage current density tended to be lower and the breakdown field higher in the films grown using hydrogen peroxide.

  15. Platelet adhesion on phosphorus-incorporated tetrahedral amorphous carbon films

    NASA Astrophysics Data System (ADS)

    Liu, Aiping; Zhu, Jiaqi; Liu, Meng; Dai, Zhifei; Han, Xiao; Han, Jiecai

    2008-11-01

    The haemocompatibility of phosphorus-incorporated tetrahedral amorphous carbon (ta-C:P) films, synthesized by filtered cathodic vacuum arc technique with PH 3 as the dopant source, was assessed by in vitro platelet adhesion tests. Results based on scanning electron microscopy and contact angle measurements reveal that phosphorus incorporation improves the wettability and blood compatibility of ta-C film. Our studies may provide a novel approach for the design and synthesis of doped ta-C films to repel platelet adhesion and reduce thrombosis risk.

  16. The evolution of in-plane magnetic anisotropy in CoFeB/GaAs(001) films annealed at different temperatures

    NASA Astrophysics Data System (ADS)

    Tu, Hongqing; Wang, Ji; Wei, Lujun; Yuan, Yuan; Zhang, W.; You, Biao; Du, Jun

    2018-05-01

    A considerable in-plane uniaxial magnetic anisotropy (UMA) field (Hu ˜ 300 Oe) could be achieved when the amorphous CoFeB film was deposited on the GaAs(001) wafer by magnetron-sputtering after proper etch-annealed procedure. In order to get deep insights into the mechanism of the UMA, the film was annealed at different temperatures and the evolution of the in-plane magnetic anisotropy was investigated carefully. With increasing the annealing temperature (TA), the UMA could be maintained well when TA reached 250°C, but became very weak at 300°C. However, when TA was elevated to 400°C, another UMA (Hu ˜ 130 Oe) was built accompanied with a fourfold magnetic anisotropy with its strength of about 50 Oe. In terms of the magnetic anisotropy evolution along with TA, the anelastic strain, which is thought to be resulted from the interfacial interaction between CoFeB and GaAs, may play a dominant role in producing the enhanced UMA based on the `bond-orientational' anisotropy (BOA) model.

  17. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  18. Pulsed deposition of silicate films

    NASA Astrophysics Data System (ADS)

    He, W.; Solanki, R.; Conley, J. F.; Ono, Y.

    2003-09-01

    A sequential pulsed process is utilized for deposition of nonstoichiometric silicate films without employing an oxidizing agent. The metal precursors were HfCl4, AlCl3, and ZrCl4, as well as Hf(NO3)4 and the silicon source was tris(tert-butoxy)silanol. Unlike atomic layer deposition, the growth per cycle was several monolayers thick, where the enhancement in growth was due to a catalytic reaction. The bulk and electrical properties of these films are similar to those of silicon dioxide. Silicon carbide devices coated with these films show good insulating characteristics.

  19. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  20. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  1. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  2. Effect of dopants on the soft magnetic properties and high frequency characteristics of FeCoBM (M = Ti, Nb, Hf, and Ta) thin films.

    PubMed

    Hsieh, C C; Lin, T H; Chang, H W; Chang, C W; Chang, W C; Yang, C C

    2011-03-01

    Effect of dopants on the soft magnetic properties and high frequency characteristics of FeCoBM thin films (M = Ti, Nb, Hf, and Ta) have been studied. For (Fe0.55Co0.45)(100-x)B(x) (x = 5-15) thin films, with the increase of B content, the resistivity was increased because B could decrease the crystallinity of the films. The (Fe0.55Co0.45)90B10 thin film showed the optimum properties, where 4piM(s) = 16.1 kG, H(ce) = 64.2 Oe, H(ch) = 13.5 Oe, H(k) = 310 Oe and p = 338 microomega-cm. To reduce the coercivity of the film, the elements M, including Ti, Nb, Hf, and Ta, were selected to substitute for B in the FeCoB films. It was found that (Fe0.55Co0.45)90B6Ti2Nb2 thin film after annealing at a temperature of 200 degrees C for 30 min showed the optimal properties, where 4piM(s) = 15.8 kG, H(ce) = 4.8 Oe, H(ch) = 3.6 Oe, H(k) = 224 Oe and p = 290 microomega-cm. The theoretically calculated ferromagnetic resonance frequency of the developed films can be higher than 5 GHz.

  3. Structural and mechanical properties of lanthanide doped La1/3Nb0.8Ta0.2O3 thin films prepared by sol-gel method

    NASA Astrophysics Data System (ADS)

    Brunckova, Helena; Medvecky, Lubomir; Kovalcikova, Alexandra; Fides, Martin; Mudra, Erika; Durisin, Juraj; Skvarla, Jiri; Kanuchova, Maria

    2017-04-01

    Transparent Eu and Nd doped lanthanum niobate tantalate La1/3Nb0.8Ta0.2O3 (LNT) thin films (˜150 nm) were prepared by sol-gel/spin-coating process on Pt/SiO2/Si substrates and annealing at 1100 °C. The x-ray diffraction analysis of films confirmed formation of the perovskite La1/3NbO3 and La1/3TaO3 phases with traces of pyrochlore LaNbO4. Eu and Nd doped LNT films were smoother with roughness 17.1 and 25.4 nm in comparison with LNT (43.3 nm). In all films was observed heterogeneous microstructure with the perovskite spherical and pyrochlore needle-like particles. The mechanical properties of films were characterized for the first time by conventional and continuous stiffness (CSM) nanoindentation. The Eu and Nd doped LNT film modulus (E) and hardness (H) were higher than LNT (˜99.8 and 4.4 GPa) determined by conventional nanoindentation. It was measured the significant effect of substrate on properties of Eu or Nd films (H ˜ 5.9 or 4.9 GPa and E ˜ 107.3 or 104.1 GPa) by CSM nanoindentation.

  4. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    NASA Astrophysics Data System (ADS)

    Grave, Daniel A.

    keeping a large adatom diffusion length on the film surface. Crystallographic texture evolution in the Gd2O3 films was investigated for different substrate types. At high rates, it was shown that films deposited on different substrates (quartz, silicon, sapphire, and GaN) all had similar theta-2theta diffraction patterns, suggesting that films grew similarly on different substrates due to the low adatom mobility. However, significant differences in texture were observed for films deposited at low rates (< 1 A/s) and high temperature (650°C) on different substrates. For evaluation of in-plane texture in the Gd2O 3 films, pole figure analysis was performed. Mixed phase films deposited at high rates and low temperature showed weak out-of-plane texture and random in-plane texture. Mixed phase films deposited at high temperatures possessed a fiber texture (strong out-of-plane texture), but lacked the necessary adatom mobility to develop in-plane texture. For single phase cubic films grown under low rates of deposition, out-of-plane texture was observed on quartz substrates. However, weak and strong in-plane textures were observed for sapphire and GaN substrates, respectively. The use of ion bombardment resulted in the formation of moderate biaxial texture for films grown on quartz. For films grown on sapphire, a very strong biaxial texture was achieved with ion bombardment which adds additional energy to the system. The effects of processing on the structure, composition, and interfacial chemistry of the Gd2O3 films were investigated. The results showed that films primarily adhered to the Structure-Zone models with a few exceptions. The deviation from the Structure-Zone model was explained by the combined effects of columnar growth, shadowing, and adatom mobility. At low deposition temperatures, decreasing oxygen flow resulted in increased film density due to higher adatom mobility. Films deposited at this temperature were characterized by small (10-15 nm) nanocrystalline

  5. Preservation of far-UV aluminum reflectance by means of overcoating with C60 films.

    PubMed

    Méndez, J A; Larruquert, J I; Aznárez, J A

    2000-01-01

    Thin films of C(60) were investigated as protective coatings of Al films to preserve their far-UV (FUV) reflectance by inhibition or retardation of their oxidation. Two methods were used for the overcoating of Al films with approximately one monolayer of C(60): (1) deposition of a multilayer film followed by temperature desorption of all but one monolayer and (2) direct deposition of approximately one-monolayer film. We exposed both types of sample to controlled doses of molecular oxygen and water vapor and measured their FUV reflectance before and after exposure to evaluate the achieved protection on the Al films. The whole process of sample preparation, reflectance measurement, sample heating, and oxidation was made without breaking vacuum. Results show that a C(60) monolayer protected Al from oxidation to some extent, although FUV reflectance of unprotected Al films was never exceeded. FUV optical constants of C(60) films and the FUV reflectance of the C(60) film as deposited and as a function of exposure to O(2) were also measured.

  6. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tucker, Mark D., E-mail: martu@ifm.liu.se; Broitman, Esteban; Näslund, Lars-Åke

    Carbon and carbon nitride films (CN{sub x}, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A “fullerene-like” (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CN{sub x} films, was observed in films deposited at 175 °C and above, with N{sub 2} pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradualmore » transition from majority sp{sup 3}-hybridized films to sp{sup 2} films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CN{sub x} films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.« less

  7. Structural and electrical characterization of annealed Si1-xCx/SiC thin film prepared by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Huang, Shi-Hua; Liu, Jian

    2014-05-01

    Si-rich Si1—xCx /SiC multilayer thin films are prepared using magnetron sputtering, subsequently followed by thermal annealing in the range of 800-1200 °C. The influences of annealing temperature (Ta) on the formation of Si and/or SiC nanocrystals (NCs) and on the electrical characteristics of the multilayer film are investigated by using a variety of analytical techniques, including X-ray diffraction (XRD), Raman spectroscopy and Fourier transform infrared spectrometry (FT-IR), current—voltage (I—V) technique, and capacitance-voltage (C—V) technique. XRD and Raman analyses indicate that Si NCs begin to form in samples for Ta >= 800 °C. At annealing temperatures of 1000 °C or higher, the formation of Si NCs is accompanied by the formation of SiC NCs. With the increase in the annealing temperature, the shift of FT-IR Si—C bond absorption spectra toward a higher wave number along with the change of band shape can be explained by a Si—C transitional phase between the loss of substitutional carbon and the formation of SiC precipitates and a precursor for the growth of SiC crystalline. The C—V and I—V results indicate that the interface quality of Si1—xCx/SiC multilayer film is improved significantly and the leakage current is reduced rapidly for Ta >= 1000 °C, which can be ascribed to the formation of Si and SiC NCs.

  8. Some studies on TiO2 films deposited by sol-gel technique

    NASA Astrophysics Data System (ADS)

    Narasimha Rao, K.; Vishwas, M.; Kumar Sharma, Sudhir; Arjuna Gowda, K. V.

    2008-08-01

    TiO2 films are extensively used in various applications including optical multi-layers, sensors, photo catalysis, environmental purification, and solar cells etc. These are prepared by both vacuum and non-vacuum methods. In this paper, we present the results on TiO2 thin films prepared by a sol-gel spin coating process in non-aqueous solvent. Titanium isopropoxide is used as TiO2 precursor. The films were annealed at different temperatures up to 3000 C for 5 hours in air. The influence of the various deposition parameters like spinning speed, spinning time and annealing temperature on the thickness of the TiO2 films has been studied. The variation of film thickness with time in ambient atmosphere was also studied. The optical, structural and morphological characteristics were investigated by optical transmittance-reflectance measurements, X-ray diffraction (XRD) and scanning electron microscopy (SEM) respectively. The refractive index and extinction coefficient of the films were determined by envelope technique and spectroscopic ellipsometry. TiO2 films exhibited high transparency (92%) in the visible region with a refractive index of 2.04 at 650 nm. The extinction coefficient was found to be negligibly small. The X-ray diffraction analysis showed that the TiO2 film deposited on glass substrate changes from amorphous to crystalline (anatase) phase with annealing temperature above 2500 C. SEM results show that the deposited films are uniform and crack free.

  9. Raman studied of undoped amorphous carbon thin film deposited by bias assisted-CVD

    NASA Astrophysics Data System (ADS)

    Ishak, A.; Fadzilah, A. N.; Dayana, K.; Saurdi, I.; Malek, M. F.; Nurbaya, Z.; Shafura, A. K.; Rusop, M.

    2018-05-01

    The undoped amorphous carbon thin film carbon was deposited at 200°C-350°C by bias assisted-CVD using palm oil as a precursor material. The effect of different substrate deposition temperatures on structural and electrical properties of undoped doped amorphous carbon film was discussed. The structural of undoped amorphous carbon films were correlated with Raman analysis through the evolution of D and G bands, Fourier spectra, and conductivity measurement. The spectral evolution observed showed the increase of upward shift of D and G peaks as substrate deposition temperatures increased. The spectral evolution observed at different substrate deposition temperatures show progressive formation of crystallites. It was predicted that small number of hydrogen is terminated with carbon at surface of thin film as shown by FTIR spectra since palm oil has high number of hydrogen (C67H127O8). These structural changes were further correlated with conductivity and the results obtained are discussed and compared. The conductivity is found in the range of 10-8 Scm-1. The increase of conductivity is correlated by the change of structural properties as correlated with characteristic parameters of Raman spectra including the position of G peak, full width at half maximum of G peak, and ID/IG and FTIR result.

  10. Mechanical and physicochemical properties of AlN thin films obtained by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Cibert, C.; Tétard, F.; Djemia, P.; Champeaux, C.; Catherinot, A.; Tétard, D.

    2004-10-01

    AlN thin films have been deposited on Si(100) substrates by a pulsed laser deposition method. The deposition parameters (pressure, temperature, purity of target) play an important role in the mechanical and physicochemical properties. The films have been characterized using X-ray diffraction, atomic force microscopy, Brillouin light scattering, Fourier transform infrared spectroscopy and wettability testing. With a high purity target of AlN and a temperature deposition of 750 ∘C, the measured Rayleigh wave velocity is close to the one previously determined for AlN films grown at high temperature by metal-organic chemical vapour deposition. Growth of nanocrystalline AlN at low temperature and of AlN film with good crystallinity for samples deposited at higher temperature is confirmed by infrared spectroscopy, as it was by atomic force microscopy, in agreement with X-ray diffraction results. A high hydrophobicity has been measured with zero polar contribution for the surface energy. These results confirm that films made by pulsed laser deposition of pure AlN at relatively low temperature have good prospects for microelectromechanical systems applications.

  11. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  12. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  13. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  14. Tribological properties of amorphous hydrogenated (a-C:H) and hydrogen-free tetrahedral (ta-C) diamond-like carbon coatings under jatropha biodegradable lubricating oil at different temperatures

    NASA Astrophysics Data System (ADS)

    Mobarak, H. M.; Masjuki, H. H.; Mohamad, E. Niza; Kalam, M. A.; Rashedul, H. K.; Rashed, M. M.; Habibullah, M.

    2014-10-01

    The application of diamond-like carbon (DLC) coatings on automotive components is emerging as a favorable strategy to address the recent challenges in the industry. DLC coatings can effectively lower the coefficient of friction (CoF) and wear rate of engine components, thereby improving their fuel efficiency and durability. The lubrication of ferrous materials can be enhanced by a large amount of unsaturated and polar components of oils. Therefore, the interaction between nonferrous coatings (e.g., DLC) and vegetable oil should be investigated. A ball-on-plate tribotester was used to run the experiments. Stainless steel plates coated with amorphous hydrogenated (a-C:H) DLC and hydrogen-free tetrahedral (ta-C) DLC that slide against 440C stainless steel ball were used to create a ball-on-plate tribotester. The wear track was investigated through scanning electron microscopy. Energy dispersive and X-ray photoelectron spectroscopies were used to analyze the tribofilm inside the wear track. Raman analysis was performed to investigate the structural changes in the coatings. At high temperatures, the CoF in both coatings decreased. The wear rate, however, increased in the a-C:H but decreased in the ta-C DLC-coated plates. The CoF and the wear rate (coated layer and counter surface) were primarily influenced by the graphitization of the coating. Tribochemical films, such as polyphosphate glass, were formed in ta-C and acted as protective layers. Therefore, the wear rate of the ta-C DLC was lower than that of the-C:H DLC.

  15. The Pulsed Cylindrical Magnetron for Deposition

    NASA Astrophysics Data System (ADS)

    Korenev, Sergey

    2012-10-01

    The magnetron sputtering deposition of films and coatings broadly uses in microelectronics, material science, environmental applications and etc. The rate of target evaporation and time for deposition of films and coatings depends on magnetic field. These parameters link with efficiency of gas molecules ionization by electrons. The cylindrical magnetrons use for deposition of films and coatings on inside of pipes for different protective films and coatings in oil, chemical, environmental applications. The classical forming of magnetic field by permanent magnets or coils for big and long cylindrical magnetrons is complicated. The new concept of pulsed cylindrical magnetron for high rate deposition of films and coating for big and long pipes is presented in this paper. The proposed cylindrical magnetron has azimuthally pulsed high magnetic field, which allows forming the high ionized plasma and receiving high rate of evaporation material of target (central electrode). The structure of proposed pulsed cylindrical magnetron sputtering system is given. The main requirements to deposition system are presented. The preliminary data for forming of plasma and deposition of Ta films and coatings on the metal pipers are discussed. The comparison of classical and proposed cylindrical magnetrons is given. The analysis of potential applications is considered.

  16. The effects of two thick film deposition methods on tin dioxide gas sensor performance.

    PubMed

    Bakrania, Smitesh D; Wooldridge, Margaret S

    2009-01-01

    This work demonstrates the variability in performance between SnO(2) thick film gas sensors prepared using two types of film deposition methods. SnO(2) powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 - 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis.

  17. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    PubMed Central

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 – 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis. PMID:22399977

  18. Thin-film nano-thermogravimetry applied to praseodymium-cerium oxide films at high temperatures

    NASA Astrophysics Data System (ADS)

    Schröder, Sebastian; Fritze, Holger; Bishop, Sean; Chen, Di; Tuller, Harry L.

    2018-05-01

    High precision measurements of oxygen nonstoichiometry δ in thin film metal oxides MaOb±δ at elevated temperatures and controlled oxygen partial pressures pO2 are reported with the aid of resonant microbalances. The resonant microbalances applied here consisted of y-cut langasite (La3Ga5SiO14) and CTGS (Ca3TaGa3Si2O14) piezoelectric resonators, operated in the thickness shear mode at ˜5 MHz. Measurements of variations in δ of Pr0.1Ce0.9O2-δ (PCO) films are reported for the oxygen partial pressure range from 10-8 bar to 0.2 bar at 700 °C, and these results were found to be in good agreement with previously reported oxygen nonstoichiometry δ data derived from chemical capacitance studies. The PCO thin-films were deposited via pulsed laser deposition on both sides of the resonators, whose series resonance frequency was tracked, converted into mass changes and, finally, into nonstoichiometry. The nonstoichiometry was observed to reach a plateau as the oxygen partial pressure dropped below about 10-5 bar, the behavior being attributed to the full reduction of Pr to the trivalent state. These resonators enable stable operation up to temperatures above 1000 °C, thereby maintaining high mass resolution suitable for determining oxygen nonstoichiometry variations in thin films deposited on such resonators. For the given experimental conditions, a mass resolution of ˜50 ng was achieved at 700 °C with the CTGS resonator.

  19. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  20. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W.; Decker, Ulrich; Rauschenbach, Bernd

    2015-07-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ~21% and 3-4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications.

  1. Studies of Niobium Thin Film Produced by Energetic Vacuum Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genfa Wu; Anne-Marie Valente; H. Phillips

    2004-05-01

    An energetic vacuum deposition system has been used to study deposition energy effects on the properties of niobium thin films on copper and sapphire substrates. The absence of working gas avoids the gaseous inclusions commonly seen with sputtering deposition. A biased substrate holder controls the deposition energy. Transition temperature and residual resistivity ratio of the niobium thin films at several deposition energies are obtained together with surface morphology and crystal orientation measurements by AFM inspection, XRD and TEM analysis. The results show that niobium thin films on sapphire substrate exhibit the best cryogenic properties at deposition energy around 123 eV.more » The TEM analysis revealed that epitaxial growth of film was evident when deposition energy reaches 163 eV for sapphire substrate. Similarly, niobium thin film on copper substrate shows that film grows more oriented with higher deposition energy and grain size reaches the scale of the film thickness at the deposition energy around 153 eV.« less

  2. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    NASA Astrophysics Data System (ADS)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  3. Low-loss deposition of solgel-derived silica films on tapered fibers.

    PubMed

    Kakarantzas, G; Leon-Saval, S G; Birks, T A; Russell, P St J

    2004-04-01

    Films of porous silica are deposited on the uniform waists of tapered fibers in minutes by a modified solgel dip coating method, inducing less than 0.2 dB of loss. The coated tapers are an ideal platform for realizing all-fiber devices that exploit evanescent-field interactions with the deposited porous film. As an example we demonstrate structural long-period gratings in which a periodic index variation in the film arises from the porosity variation produced by spatially varying exposure of the waist to a scanned CO2 laser beam. The long period grating is insensitive to temperature up to 800 degrees C.

  4. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Astrophysics Data System (ADS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-06-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  5. Deposition of vanadium oxide films by direct-current magnetron reactive sputtering

    NASA Technical Reports Server (NTRS)

    Kusano, E.; Theil, J. A.; Thornton, John A.

    1988-01-01

    It is demonstrated here that thin films of vanadium oxide can be deposited at modest substrate temperatures by dc reactive sputtering from a vanadium target in an O2-Ar working gas using a planar magnetron source. Resistivity ratios of about 5000 are found between a semiconductor phase with a resistivity of about 5 Ohm cm and a metallic phase with a resistivity of about 0.001 Ohm cm for films deposited onto borosilicate glass substrates at about 400 C. X-ray diffraction shows the films to be single-phase VO2 with a monoclinic structure. The VO2 films are obtained for a narrow range of O2 injection rates which correspond to conditions where cathode poisoning is just starting to occur.

  6. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  7. Low-Temperature Atomic Layer Deposition of MoS2 Films.

    PubMed

    Jurca, Titel; Moody, Michael J; Henning, Alex; Emery, Jonathan D; Wang, Binghao; Tan, Jeffrey M; Lohr, Tracy L; Lauhon, Lincoln J; Marks, Tobin J

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe 2 ) 4 with H 2 S for the low-temperature synthesis of MoS 2 . This observation motivated an investigation of Mo(NMe 2 ) 4 as a volatile precursor for the atomic layer deposition (ALD) of MoS 2 thin films. Herein we report that Mo(NMe 2 ) 4 enables MoS 2 film growth at record low temperatures-as low as 60 °C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Evaluation of thermal stress in hydroxyapatite film fabricated by powder jet deposition.

    PubMed

    Akatsuka, Ryo; Matsumura, Ken; Noji, Miyoko; Kuriyagawa, Tsunemoto; Sasaki, Keiichi

    2013-10-01

    This study aimed to create a thick hydroxyapatite (HA) film on the surface of a human tooth via a powder jet deposition (PJD) device for dental handpieces, and to examine the microstructural and mechanical properties of the HA film. In particular, the effects of thermal stress on this film were evaluated. The HA film was created by blasting 3.18-μm HA particles, calcinated at 1,200°C, onto the enamel substrate at room temperature and atmospheric pressure. An HA film with an area of 3 mm × 3 mm was prepared and polished. The following HA film parameters were evaluated from the three-dimensional surface profile: surface roughness, Vickers hardness, and bonding strength before and after artificial aging induced by 500 cycles of thermal cycling (5-55°C). The HA particles in the deposited film were densely packed, and the surface of the HA film was unchanged after thermal cycling. There were also no significant differences in the hardness and the bonding strength of the HA film before and after thermal cycling. The HA film created in this study demonstrated excellent microstructural and mechanical properties, even after the application of thermal stress. © 2013 Eur J Oral Sci.

  9. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    NASA Astrophysics Data System (ADS)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-05-01

    The (Ba, Sr) TiO3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 °C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 °C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 °C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 °C. The (Ba, Sr) TiO3 film deposited at higher temperatures (upwards of 400 °C) shows <110> preferred orientation, while the film deposited at 330 °C with the 10 nm initial layer shows a <111> preferred orientation on a <001>-oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO3 film on the ruthenium electrode at low temperatures of less than 400 °C.

  10. Temperature dependence of the biaxial modulus, intrinsic stress and composition of plasma deposited silicon oxynitride films

    NASA Technical Reports Server (NTRS)

    Harding, David R.; Ogbuji, Linus U. T.; Freeman, Mathieu J.

    1995-01-01

    Silicon oxynitride films were deposited by plasma-enhanced chemical-vapor deposition. The elemental composition was varied between silicon nitride and silicon dioxide: SiO(0.3)N(1.0), SiO(0.7)N(1.6), SiO(0.7)N(1.1), and SiO(1.7)N(0.%). These films were annealed in air, at temperatures of 40-240 C above the deposition temperature (260 C), to determine the stability and behavior or each composition. the biaxial modulus, biaxial intrinsic stress, and elemental composition were measured at discrete intervals within the annealing cycle. Films deposited from primarily ammonia possessed considerable hydrogen (up to 38 at.%) and lost nitrogen and hydrogen at anneal temperatures (260-300 C) only marginally higher than the deposition temperature. As the initial oxygen content increased a different mechanism controlled the behavior or the film: The temperature threshold for change rose to approximately equal to 350 C and the loss of nitrogen was compensated by an equivalent rise in the oxygen content. The transformation from silicon oxynitride to silica was completed after 50 h at 400 C. The initial biaxial modulus of all compositions was 21-3- GPa and the intrinsic stress was -30 to 85 MPa. Increasing the oxygen content raised the temperature threshold where cracking first occurred; the two film compositions with the highest initial oxygen content did not crack, even at the highest temperature (450 C) investigated. At 450 C the biaxial modulus increased to approximately equal to 100 GPa and the intrinsic stress was approximately equal to 200 MPa. These increases could be correlated with the observed change in the film's composition. When nitrogen was replaced by oxygen, the induced stress remained lower than the biaxial strength of the material, but, when nitrogen and hydrogen were lost, stress-relieving microcracking occurred.

  11. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  12. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  13. Oxidation of TaSi2-Containing ZrB2-SiC Ultra-High Temperature Materials

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Smith, Jim; Levine, Stanley R.; Lorincz, Jonathan; Reigel, Marissa

    2010-01-01

    Hot pressed coupons of composition ZrB2-20 v% SiC-5 v% TaSi2 and ZrB2-20 v% SiC-20 v% TaSi2 were oxidized in stagnant air at temperatures of 1627 and 1927C for one, five and ten 10-minute cycles. The oxidation reactions were characterized by weight change kinetics, x-ray diffraction, and SEM/EDS. Detailed WDS/microprobe quantitative analyses of the oxidation products were conducted for the ZrB2-20 v% SiC-20 v% TaSi2 sample oxidized for five 10-minute cycles at 1927C. Oxidation kinetics and product formation were compared to ZrB2-20 v% SiC with no TaSi2 additions. It was found that the 20 v% TaSi2 composition exhibited improved oxidation resistance relative to the material with no TaSi2 additions at 1627C. However, for exposures at 1927C less oxidation resistance and extensive liquid phase formation were observed compared to the material with no TaSi2 additions. Attempts to limit the liquid phase formation by reducing the TaSi2 content to 5 v% were unsuccessful. In addition, the enhanced oxidation resistance at 1627C due to 20 v% TaSi2 additions was not achieved at the 5 v% addition level. The observed oxidation product evolution is discussed in terms of thermodynamics and phase equilibria for the TaSi2-containing ZrB2-SiC material system. TaSi2-additions to ZrB2-SiC at any level are not recommended for ultra-high temperature (>1900C) applications due to excessive liquid phase formation.

  14. Microstructural evolutions and stress studies of titania films derived by "spin-deposition" methods

    NASA Astrophysics Data System (ADS)

    Eun, Tai Hee

    Titania (TiO2) films were fabricated by a "spin-deposition" process. Titanium alkoxides react with moisture in the air, leading to the formation of metal hydroxides which subsequently form an oxide network during deposition. The microstructure of film is easily controlled by the selection of titanium alkoxides and solvents. Films from titanium n-butoxide (Ti(OC 4H9n)4) in toluene exhibited a dense microstructure devoid of cracks. In contrast, films produced from titanium isopropoxide (Ti(OC3H7i)4) in the toluene regularly contained micro-cracks. Titanium isopropoxide in either isopropanol or n-propanol produces highly porous films. After annealing at 300°C, the film derived from titanium n-butoxide in toluene possessed 2˜3 nm nanocrystallites of titanium monoxide (TiO, cubic) in amorphous matrices. TEM and FTIR investigations indicate that the intermediates formed from the oligomers of titanium n-butoxide lead to the formation of the TiO. By annealing at 400°C, the TiO nanoparticles transformed to the TiO2 (anatase). At annealing higher than 450°C, the film was completely crystallized into a polycrystalline of ˜5 nm anatase. In water-rich environments, all amorphous titania films crystallized within 24 hours at 100°C. The crystallization of films is confirmed by XRD and FTIR studies. Amorphous titania films have remnant bridging and terminal hydroxy groups. Removal of these hydroxy groups is promoted by water vapor, which induces the crystallization of amorphous titania to anatase. The mechanism of crystallization in a water-rich environment was proposed based on the FTIR study. Stress evolution in titania films spin-deposited on silicon with solutions of titanium n-butoxide in toluene was investigated by an in-situ wafer curvature method. Tensile stresses were induced due to the densification by removal of water molecules attached to Ti-O-Ti linkages from 200°C to 300°C. The effect of crystallization on stress in the film was studied by comparing results

  15. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    NASA Astrophysics Data System (ADS)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  16. Structural and electrical characteristics of CoGe(2) alloy films deposited heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, Kevin Edward

    The partially ionized beam deposition system was utilized to deposit CoGesb2 thin films heteroepitaxially on GaAs(100) substrates in a conventional vacuum. The CoGesb2 films were structurally characterized using conventional 2theta diffraction, reflection X-ray pole figure analysis, and alpha particle channeling techniques. Three distinct crystallographic relationships of the CoGesb2 films to the GaAs(100) substrates were observed, dependent upon the substrate temperature and Gesp+ ion energy used during deposition. The CoGesb2(001) (100)sp°GaAs(100) (001) orientation, which has the smallest lattice mismatch to GaAs(100), was found to occur for depositions performed at a substrate temperature during deposition near 280sp°C with approximately 1160 eV Gesp+ ions. Lowering the substrate temperature or reducing the Gesp+ ion energy results in CoGesb2(100) orientation domination with CoGe2(100) (010)sp°GaAs(100) (001) and CoGesb2(100) (001)sp°GaAs(100) (001). Substrate temperature alone was seen to produce only the CoGesb2(100) orientation. For CoGesb2(001) films, additional energy was required from Gesp+ ions in the evaporant stream. Angular yield profiles for axial Hesp{++} ion channeling yielded values for the minimum yield, Ysb{min}, of 25% for the CoGesb2(001) orientation and 34% for the CoGesb2(100) orientation. The critical angle for channeling, Psisb{c}, was measured to be 1.0sp° for both orientations. Channeling theory was used to predict the minimum yield and critical angle for each orientation. The theoretical values agreed qualitatively with the experimentally measured values, and the theory correctly predicted the lower minimum yield for the CoGesb2(001) orientation. Annealing the films to allow for epitaxial grain growth resulted in orientation selection of CoGesb2(001) at the expense of CoGesb2(100), exposing CoGesb2(100) as a metastable orientation. The CoGesb2(001) films were stable up to 500sp°C, 30 minute anneals, showing no orientation changes

  17. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samal, Nigamananda; Du Hui; Luberoff, Russell

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for themore » DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.« less

  18. Effect of negative bias on the composition and structure of the tungsten oxide thin films deposited by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Meihan; Lei, Hao; Wen, Jiaxing; Long, Haibo; Sawada, Yutaka; Hoshi, Yoichi; Uchida, Takayuki; Hou, Zhaoxia

    2015-12-01

    Tungsten oxide thin films were deposited at room temperature under different negative bias voltages (Vb, 0 to -500 V) by DC reactive magnetron sputtering, and then the as-deposited films were annealed at 500 °C in air atmosphere. The crystal structure, surface morphology, chemical composition and transmittance of the tungsten oxide thin films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and UV-vis spectrophotometer. The XRD analysis reveals that the tungsten oxide films deposited at different negative bias voltages present a partly crystallized amorphous structure. All the films transfer from amorphous to crystalline (monoclinic + hexagonal) after annealing 3 h at 500 °C. Furthermore, the crystallized tungsten oxide films show different preferred orientation. The morphology of the tungsten oxide films deposited at different negative bias voltages is consisted of fine nanoscale grains. The grains grow up and conjunct with each other after annealing. The tungsten oxide films deposited at higher negative bias voltages after annealing show non-uniform special morphology. Substoichiometric tungsten oxide films were formed as evidenced by XPS spectra of W4f and O1s. As a result, semi-transparent films were obtained in the visible range for all films deposited at different negative bias voltages.

  19. C-Axis-Oriented Hydroxyapatite Film Grown Using ZnO Buffer Layer

    NASA Astrophysics Data System (ADS)

    Sakoishi, Yasuhiro; Iguchi, Ryo; Nishikawa, Hiroaki; Hontsu, Shigeki; Hayami, Takashi; Kusunoki, Masanobu

    2013-11-01

    A method of fabricating c-axis-oriented hydroxyapatite film on a quartz crystal microbalance (QCM) sensor was investigated. ZnO was used as a template to obtain a hexagonal hydroxyapatite crystal of uniaxial orientation. The ZnO was grown as a c-axis film on a Au/quartz with the surface structure of a QCM sensor. Under optimized conditions, hydroxyapatite was deposited by pulsed laser deposition. X-ray diffraction showed the hydroxyapatite film to be oriented along the c-axis. Because Au and ZnO are applied to many devices, the anisotropic properties of hydroxyapatite may be incorporated into these devices as well as QCM sensors.

  20. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    PubMed Central

    Wilson, Rachel L.; Blackman, Christopher S.; Carmalt, Claire J.; Stanoiu, Adelina; Di Maggio, Francesco

    2018-01-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated. PMID:29494504

  1. Synthesis and characterization of Ti-Si-C-N films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shtansky, D.V.; Levashov, E.A.; Sheveiko, A.N.

    1999-09-01

    This study represents one of the first attempts to deposit multicomponent (more than three components) thin films by magnetron sputtering of multiphase composite targets (three phases or even more). Films of Ti-Si-C-N were synthesized through dc magnetron sputtering of xTiC + yTi{sub 3}SiC{sub 2} + zA composite targets (A was TiSi{sub 2}, SiC, or a mixture of these phases) in an argon atmosphere or in a gaseous mixture of argon and nitrogen. The as-deposited films were characterized using Auger electron spectroscopy, X-ray diffraction, transmission electron microscopy using selected area electron diffraction and high-resolution techniques, and microhardness. It was shown thatmore » the substrate temperature and the nitrogen concentration in the reactive gas had a strong influence on the structure and the composition of the as-deposited films. Polycrystalline grains contained a high density of dislocations and exhibited a curved appearance of the lattice fringes that is probably due to the presence of the long-range stress fields. The measurements of the lattice parameters using the selected area electron diffraction pattern (SA EDP) method indicated, with a high probability, that the polycrystalline grains consist of clusters of atoms with varying compositions. The grain boundaries in the nanocrystalline Ti-Si-C-N films had both ordered and disordered regions, although some regions close to the interface exhibited neither a fully crystalline nor a homogeneously amorphous structure. The contribution of compressive stress as determined by an increase in the fcc lattice parameter is also discussed.« less

  2. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    NASA Astrophysics Data System (ADS)

    Ma, B.; Li, M.; Koritala, R. E.; Fisher, B. L.; Markowitz, A. R.; Erck, R. A.; Baurceanu, R.; Dorris, S. E.; Miller, D. J.; Balachandran, U.

    2003-04-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx9° was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55°. In-plane texture in the ISD MgO films developed in the first approx0.5 mum from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the substrate normal have a unique orientation relationship with the ISD MgO films. An orientation relationship of YBCOlangle100rangleparallelMgOlangle111rangle and YBCOlangle010rangleparallelMgOlangle110rangle was measured by x-ray pole figure analyses and confirmed by transmission electron microscopy. A Tc of 91 K with a sharp transition and transport Jc of 5.5 × 105 A cm-2 at 77 K in self-field were measured on a YBCO film that was 0.46 mum thick, 4 mm wide and 10 mm long.

  3. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    NASA Astrophysics Data System (ADS)

    Ballinger, Jared

    Diamond thin films have promising applications in numerous fields due to the extreme properties of diamonds in conjunction with the surface enhancement of thin films. Biomedical applications are numerous including temporary implants and various dental and surgical instruments. The unique combination of properties offered by nanostructured diamond films that make it such an attractive surface coating include extreme hardness, low obtainable surface roughness, excellent thermal conductivity, and chemical inertness. Regrettably, numerous problems exist when attempting to coat stainless steel with diamond generating a readily delaminated film: outward diffusion of iron to the surface, inward diffusion of carbon limiting necessary surface carbon precursor, and the mismatch between the coefficients of thermal expansion yielding substantial residual stress. While some exotic methods have been attempted to overcome these hindrances, the most common approach is the use of an intermediate layer between the stainless steel substrate and the diamond thin film. In this research, both 316 stainless steel disks and 440C stainless steel ball bearings were tested with interlayers including discrete coatings and graded, diffusion-based surface enhancements. Titanium nitride and thermochemical diffusion boride interlayers were both examined for their effectiveness at allowing for the growth of continuous and adherent diamond films. Titanium nitride interlayers were deposited by cathodic arc vacuum deposition on 440C bearings. Lower temperature diamond processing resulted in improved surface coverage after cooling, but ultimately, both continuity and adhesion of the nanostructured diamond films were unacceptable. The ability to grow quality diamond films on TiN interlayers is in agreement with previous work on iron and low alloy steel substrates, and the similarly seen inadequate adhesion strength is partially a consequence of the lacking establishment of an interfacial carbide phase

  4. Structure evolution of zinc oxide thin films deposited by unbalance DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aryanto, Didik, E-mail: didi027@lipi.go.id; Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah; Marwoto, Putut

    Zinc oxide (ZnO) thin films are deposited on corning glass substrates using unbalanced DC magnetron sputtering. The effect of growth temperature on surface morphology and crystallographic orientation of ZnO thin film is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The surface morphology and crystallographic orientation of ZnO thin film are transformed against the increasing of growth temperature. The mean grain size of film and the surface roughness are inversely and directly proportional towards the growth temperature from room temperature to 300 °C, respectively. The smaller grain size and finer roughness of ZnO thin film are obtainedmore » at growth temperature of 400 °C. The result of AFM analysis is in good agreement with the result of XRD analysis. ZnO thin films deposited in a series of growth temperatures have hexagonal wurtzite polycrystalline structures and they exhibit transformations in the crystallographic orientation. The results in this study reveal that the growth temperature strongly influences the surface morphology and crystallographic orientation of ZnO thin film.« less

  5. Selective deposition of a crystalline Si film by a chemical sputtering process in a high pressure hydrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohmi, Hiromasa, E-mail: ohmi@prec.eng.osaka-u.ac.jp; Yasutake, Kiyoshi; Research Center for Ultra-Precision Science and Technology, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871

    2015-07-28

    The selective deposition of Si films was demonstrated using a chemical sputtering process induced by a high pressure hydrogen plasma at 52.6 kPa (400 Torr). In this chemical sputtering process, the initial deposition rate (R{sub d}) is dependent upon the substrate type. At the initial stage of Si film formation, R{sub d} on glass substrates increased with elapsed time and reached to a constant value. In contrast, R{sub d} on Si substrates remained constant during the deposition. The selective deposition of Si films can be achieved by adjusting the substrate temperature (T{sub sub}) and hydrogen concentration (C{sub H2}) in the process atmosphere.more » For any given deposition time, it was found that an optimum C{sub H2} exists for a given T{sub sub} to realize the selective deposition of a Si film, and the optimum T{sub sub} value tends to increase with decreasing C{sub H2}. According to electron diffraction patterns obtained from the samples, the selectively prepared Si films showed epitaxial-like growth, although the Si films contained many defects. It was revealed by Raman scattering spectroscopy that some of the defects in the Si films were platelet defects induced by excess hydrogen incorporated during Si film formation. Raman spectrum also suggested that Si related radicals (SiH{sub 2}, SiH, Si) with high reactivity contribute to the Si film formation. Simple model was derived as the guideline for achieving the selective growth.« less

  6. Characterization of ALD grown TixAlyN and TixAlyC thin films

    NASA Astrophysics Data System (ADS)

    Kinnunen, S. A.; Malm, J.; Arstila, K.; Lahtinen, M.; Sajavaara, T.

    2017-09-01

    Atomic layer deposition (ALD) was used to grow TixAlyN and TixAlyC thin films using trimethylaluminum (TMA), titanium tetrachloride and ammonia as precursors. Deposition temperature was varied between 325 °C and 500 °C. Films were also annealed in vacuum and N2-atmosphere at 600-1000 °C. Wide range of characterization methods was used including time-of-flight elastic recoil detection analysis (ToF-ERDA), X-ray diffractometry (XRD), X-ray reflectometry (XRR), Raman spectroscopy, ellipsometry, helium ion microscopy (HIM), atomic force microscopy (AFM) and 4-point probe measurement for resistivity. Deposited films were roughly 100 nm thick and contained mainly desired elements. Carbon, chlorine and hydrogen were found to be the main impurities.

  7. New precursors and chemistry for the growth of transition metal films by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Knisley, Thomas Joseph

    The advancing complexity of advanced microelectronic devices is placing rigorous demands on currently used PVD and CVD deposition techniques. The ALD deposition method is proposed to meet the film thickness and conformality constraints needed by the semiconductor industry in future manufacturing processes. Unfortunately, there is a limited number of chemical precursors available that have high thermal stability, reactivity, and vapor pressure suitable for ALD film growth to occur. These properties collectively contribute to the lack of suitable transition metal precursors available for use in ALD. In this thesis, we report the discovery of a series of novel transition metal diazadienate precursors that promising properties deemed suitable for ALD. The volatility and thermal stability of the new transition metal diazadienyl compounds were studied by preparative sublimation and capillary tube melting point/decomposition experiments. Thermogravimetric analyses (TGA) demonstrate precursor residues of less than 4% at 500 °C. In addition, sublimation data, melting points, and decomposition temperatures for all complexes are presented. The manganese diazadienyl complex has the highest decomposition temperature of the series of complexes produced (325 °C). During preparative sublimations, the product recoveries of all transition metal diazadienyl complexes were greater than 92.0% with nonvolatile residues of less than 7.0%. This is an excellent indication that these complexes may be suitable candidates as metal precursors for ALD. Nickel nitride (NixN) films have been studied as an intermediate material for the formation of both nickel metal and nickel silicide using chemical vapor deposition. Herein, we describe the ALD growth of nickel nitride thin films from bis(1,4-di-tert-butyl-1,3-diazabutadiene) nickel(II) (Ni(tBu2DAD)2) and 1,1-dimethylhydrazine. An ALD window for the deposition of nickel nitride films on 500 nm thermal SiO2 substrates was observed between 225

  8. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    PubMed

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  9. Effect of film thickness on structural and mechanical properties of AlCrN nanocompoite thin films deposited by reactive DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Ravi; Kaur, Davinder, E-mail: dkaurfph@iitr.ac.in

    2016-05-06

    In this study, the influence of film thickness on the structural, surface morphology and mechanical properties of Aluminum chromium nitride (AlCrN) thin films has been successfully investigated. The AlCrN thin films were deposited on silicon (100) substrate using dc magnetron reactive co-sputtering at substrate temperature 400° C. The structural, surface morphology and mechanical properties were studied using X-ray diffraction, field-emission scanning electron microscopy and nanoindentation techniques respectively. The thickness of these thin films was controlled by varying the deposition time therefore increase in deposition time led to increase in film thickness. X-ray diffraction pattern of AlCrN thin films with differentmore » deposition time shows the presence of (100) and (200) orientations. The crystallite size varies in the range from 12.5 nm to 36.3 nm with the film thickness due to surface energy minimization with the higher film thickness. The hardness pattern of these AlCrN thin films follows Hall-Petch relation. The highest hardness 23.08 Gpa and young modulus 215.31 Gpa were achieved at lowest grain size of 12.5 nm.« less

  10. Non-conventional photocathodes based on Cu thin films deposited on Y substrate by sputtering

    NASA Astrophysics Data System (ADS)

    Perrone, A.; D'Elia, M.; Gontad, F.; Di Giulio, M.; Maruccio, G.; Cola, A.; Stankova, N. E.; Kovacheva, D. G.; Broitman, E.

    2014-07-01

    Copper (Cu) thin films were deposited on yttrium (Y) substrate by sputtering. During the deposition, a small central area of the Y substrate was shielded to avoid the film deposition and was successively used to study its photoemissive properties. This configuration has two advantages: the cathode presents (i) the quantum efficiency and the work function of Y and (ii) high electrical compatibility when inserted into the conventional radio-frequency gun built with Cu bulk. The photocathode was investigated by scanning electron microscopy to determine surface morphology. X-ray diffraction and atomic force microscopy studies were performed to compare the structure and surface properties of the deposited film. The measured electrical resistivity value of the Cu film was similar to that of high purity Cu bulk. Film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Finally, the photoelectron performance in terms of quantum efficiency was obtained in a high vacuum photodiode cell before and after laser cleaning procedures. A comparison with the results obtained with a twin sample prepared by pulsed laser deposition is presented and discussed.

  11. SnS2 Thin Film Deposition by Spray Pyrolysis

    NASA Astrophysics Data System (ADS)

    Jaber, Abdallah Yahia; Alamri, Saleh Noaiman; Aida, Mohammed Salah

    2012-06-01

    Tin disulfide (SnS2) thin films have been synthesized using a simplified spray pyrolysis technique using a perfume atomizer. The films were deposited using two different solutions prepared by the dilution of SnCl2 and thiourea in distilled water and in methanol. The obtained films have a microcrystalline structure. The film deposited using methanol as the solvent is nearly stochiometric SnS2 with a spinel phase having a (001) preferential orientation. The film prepared with an aqueous solution is Sn-rich. Scanning electronic microscopy (SEM) images reveal that the film deposited with the aqueous solution is rough and is formed with large wires. However, the film deposited with methanol is dense and smooth. Conductivity measurements indicate that the aqueous solution leads to an n-type semiconductor, while methanol leads to a p-type semiconductor.

  12. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  13. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  14. The Ion-Assisted Deposition of Optical Thin Films

    DTIC Science & Technology

    1988-01-01

    nitrogen ions (Martin et al. 1984b). The substrates were maintained at room temperature or at 300 °C. Films were deposited of the characteristic gold color...band matches that reported by Hass et al. (1959) for CeF3 films, with aborption bands at 210 nm, 220 nm, 235 nm, and 250 nm 03 corresponding to...onset of aborption than LaF 3 . This could be due to the relatively short-wavelength absorption edge of La20 3 (300 nm) in comparison to NdF3 (400 nm

  15. Electrochemical Corrosion Behavior of Ta2N Nanoceramic Coating in Simulated Body Fluid

    PubMed Central

    Cheng, Jian; Xu, Jiang; Liu, Lin Lin; Jiang, Shuyun

    2016-01-01

    In order to improve the corrosion and wear resistance of biomedical Ti-6Al-4V implants, a Ta2N nanoceramic coating was synthesized on a Ti-6Al-4V substrate by the double glow discharge plasma process. The Ta2N coating, composed of fine nanocrystals, with an average grain size of 12.8 nm, improved the surface hardness of Ti-6Al-4V and showed good contact damage tolerance and good adhesion strength to the substrate. The corrosion resistance of the Ta2N coating in Ringer’s physiological solution at 37 °C was evaluated by different electrochemical techniques: potentiodynamic polarization, electrochemical impedance spectroscopy (EIS), potentiostatic polarization and capacitance measurements (Mott-Schottky approach). The evolution of the surface composition of the passive films at different applied potentials was determined by X-ray photoelectron spectroscopy (XPS). The results indicated that the Ta2N coating showed higher corrosion resistance than both commercially pure Ta and uncoated Ti-6Al-4V in this solution, because of the formed oxide film on the Ta2N coating having a smaller carrier density (Nd) and diffusivity (Do) of point defects. The composition of the surface passive film formed on the Ta2N coating changed with the applied potential. At low applied potentials, the oxidation of the Ta2N coating led to the formation of tantalum oxynitride (TaOxNy) but, subsequently, the tantalum oxynitride (TaOxNy) could be chemically converted to Ta2O5 at higher applied potentials. PMID:28773893

  16. Controlling T c of Iridium films using interfacial proximity effects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennings-Yeomans, R; Chang, CL; Ding, J

    High precision calorimetry using superconducting transition edge sensors requires the use of superconducting films with a suitable T c, depending on the application. To advance high-precision macrocalorimetry, we require low-T c films that are easy to fabricate. A simple and effective way to suppress T c of superconducting Iridium through the proximity effect is demonstrated by using Ir/Pt bilayers as well as Au/Ir/Au trilayers. While Ir/Au films fabricated by applying heat to the substrate during Ir deposition have been used in the past for superconducting sensors, we present results of T c suppression on Iridium by deposition at room temperature in Au/Ir/Au trilayers and Ir/Pt bilayers in the range ofmore » $$\\sim$$20-100~mK. Measurements of the relative impedance between the Ir/Pt bilayers and Au/Ir/Au trilayers fabricated show factor of $$\\sim$$10 higher values in the Ir/Pt case. These new films could play a key role in the development of scalable superconducting transition edge sensors that require low-T c films to minimize heat capacity and maximize energy resolution, while keeping high-yield fabrication methods.« less

  17. Research in LPE of Doped LiNbO3 and LiTaO3 Thin Films.

    DTIC Science & Technology

    1981-06-01

    garnet films grown on single crystal garnet substrates by the LPE technique for magnetic bubble applica- tions. The choice of substrate and film are...AD-Al07 686 ROCKWELL INTERNATIONAL THOUSAND OAKS CA ELECTRONICS -EUTC F/G 2RESEARCH IN LPE OF DOPED LINBO3 AND LITA03 THIN FILMS .(U JUN Al R R NEUR...Research in LPE of Doped LiNbO3 and LiTa03 Final Report Thin Films 04/01/77 through 03/31/81 6. PERFORMING ORG. REPORT NUM9ER ERC41004.11FR 7. AUTNOR

  18. Investigation on growth, structural, optical, electrical and X-ray sensing properties of chemically deposited zinc bismuth sulfide (ZnxBi2‑xS3) thin films

    NASA Astrophysics Data System (ADS)

    Sabarish, R.; Suriyanarayanan, N.; Kalita, J. M.; Sarma, M. P.; Wary, G.

    2018-05-01

    In the present work, ZnxBi2‑xS3 films were synthesized (x = 0.2 M) by a chemical bath deposition (CBD) technique at different bath temperatures (60 °C, 70 °C and 80 °C). The role of bath temperature on the formation of the films has been examined. The crystalline nature, structural parameters and surface morphology of the films were ascertained using x-ray diffraction (XRD), Raman spectroscopy and scanning electron microscope (SEM) and energy dispersive x-ray spectroscopy (EDS) respectively. These studies confirmed the formation of crystalline Zn0.2Bi1.8S3 films with uniform distribution of homogenous grains. The characterization results revealed that the film deposited at 70 °C has the good crystalline quality than the films deposited at 60 and 80 °C. Further, the optical absorption spectra showed that the bandgap (E g ) of the film deposited at 70 °C was about 2.39 eV which was found to be less than the same film deposited at 60 and 80 °C. The Current-Voltage (I-V) characteristics of all the films were measured under dark condition. This showed that the electrical conductivity of the film deposited at 70 °C was 1.61 × 10‑5 S cm‑1 which is ten times higher than other films. Further, the I-V characteristics of the film deposited at 70 °C was studied under x-ray radiation. The current under the x-ray radiation was significantly higher compared to the dark current. The x-ray detection sensitivity of the film was found to be maximum at 0.7 V and gradually decreases with increase of bias voltage. This analysis reveals that the film deposited at 70 °C can be used as an x-ray sensor.

  19. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, A.R.; Auciello, O.

    1990-05-08

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams. 10 figs.

  20. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, Alan R.; Auciello, Orlando

    1990-01-01

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams.

  1. Morphology, composition and electrical properties of SnO{sub 2}:Cl thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Hsyi-En, E-mail: sean@mail.stust.edu.tw; Wen, Chia-Hui; Hsu, Ching-Ming

    2016-01-15

    Chlorine doped SnO{sub 2} thin films were prepared using atomic layer deposition at temperatures between 300 and 450 °C using SnCl{sub 4} and H{sub 2}O as the reactants. Composition, structure, surface morphology, and electrical properties of the as-deposited films were examined. Results showed that the as-deposited SnO{sub 2} films all exhibited rutile structure with [O]/[Sn] ratios between 1.35 and 1.40. The electrical conductivity was found independent on [O]/[Sn] ratio but dependent on chlorine doping concentration, grain size, and surface morphology. The 300 °C-deposited film performed a higher electrical conductivity of 315 S/cm due to its higher chlorine doping level, larger grain size, andmore » smoother film surface. The existence of Sn{sup 2+} oxidation state was demonstrated to minimize the effects of chlorine on raising the electrical conductivity of films.« less

  2. Laser damage properties of TiO{sub 2}/Al{sub 2}O{sub 3} thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei Yaowei; Liu Hao; Sheng Ouyang

    2011-08-20

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO{sub 2}/Al{sub 2}O{sub 3} films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the filmsmore » deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm {Phi} samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO{sub 2}/Al{sub 2}O{sub 3} films, the LIDTs were 6.73{+-}0.47 J/cm{sup 2} and 6.5{+-}0.46 J/cm{sup 2} at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.« less

  3. Investigating the highest melting temperature materials: A laser melting study of the TaC-HfC system.

    PubMed

    Cedillos-Barraza, Omar; Manara, Dario; Boboridis, K; Watkins, Tyson; Grasso, Salvatore; Jayaseelan, Daniel D; Konings, Rudy J M; Reece, Michael J; Lee, William E

    2016-12-01

    TaC, HfC and their solid solutions are promising candidate materials for thermal protection structures in hypersonic vehicles because of their very high melting temperatures (>4000 K) among other properties. The melting temperatures of slightly hypostoichiometric TaC, HfC and three solid solution compositions (Ta 1-x Hf x C, with x = 0.8, 0.5 and 0.2) have long been identified as the highest known. In the current research, they were reassessed, for the first time in the last fifty years, using a laser heating technique. They were found to melt in the range of 4041-4232 K, with HfC having the highest and TaC the lowest. Spectral radiance of the hot samples was measured in situ, showing that the optical emissivity of these compounds plays a fundamental role in their heat balance. Independently, the results show that the melting point for HfC 0.98 , (4232 ± 84) K, is the highest recorded for any compound studied until now.

  4. Investigating the highest melting temperature materials: A laser melting study of the TaC-HfC system

    NASA Astrophysics Data System (ADS)

    Cedillos-Barraza, Omar; Manara, Dario; Boboridis, K.; Watkins, Tyson; Grasso, Salvatore; Jayaseelan, Daniel D.; Konings, Rudy J. M.; Reece, Michael J.; Lee, William E.

    2016-12-01

    TaC, HfC and their solid solutions are promising candidate materials for thermal protection structures in hypersonic vehicles because of their very high melting temperatures (>4000 K) among other properties. The melting temperatures of slightly hypostoichiometric TaC, HfC and three solid solution compositions (Ta1-xHfxC, with x = 0.8, 0.5 and 0.2) have long been identified as the highest known. In the current research, they were reassessed, for the first time in the last fifty years, using a laser heating technique. They were found to melt in the range of 4041-4232 K, with HfC having the highest and TaC the lowest. Spectral radiance of the hot samples was measured in situ, showing that the optical emissivity of these compounds plays a fundamental role in their heat balance. Independently, the results show that the melting point for HfC0.98, (4232 ± 84) K, is the highest recorded for any compound studied until now.

  5. Investigating the highest melting temperature materials: A laser melting study of the TaC-HfC system

    PubMed Central

    Cedillos-Barraza, Omar; Manara, Dario; Boboridis, K.; Watkins, Tyson; Grasso, Salvatore; Jayaseelan, Daniel D.; Konings, Rudy J. M.; Reece, Michael J.; Lee, William E.

    2016-01-01

    TaC, HfC and their solid solutions are promising candidate materials for thermal protection structures in hypersonic vehicles because of their very high melting temperatures (>4000 K) among other properties. The melting temperatures of slightly hypostoichiometric TaC, HfC and three solid solution compositions (Ta1−xHfxC, with x = 0.8, 0.5 and 0.2) have long been identified as the highest known. In the current research, they were reassessed, for the first time in the last fifty years, using a laser heating technique. They were found to melt in the range of 4041–4232 K, with HfC having the highest and TaC the lowest. Spectral radiance of the hot samples was measured in situ, showing that the optical emissivity of these compounds plays a fundamental role in their heat balance. Independently, the results show that the melting point for HfC0.98, (4232 ± 84) K, is the highest recorded for any compound studied until now. PMID:27905481

  6. Synthesis and application of ferroelectric poly(vinylidene fluoride-co-trifluoroethylene) films using electrophoretic deposition

    DOE PAGES

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; ...

    2016-11-02

    In this paper, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-raymore » diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (P r) of around 4 μC/cm 2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates.« less

  7. Synthesis and Application of Ferroelectric Poly(Vinylidene Fluoride-co-Trifluoroethylene) Films using Electrophoretic Deposition

    PubMed Central

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; Park, Eugene; Hong, Seungbum

    2016-01-01

    In this study, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (Pr) of around 4 μC/cm2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates. PMID:27805008

  8. Growth of high quality yttrium iron garnet films using standard pulsed laser deposition technique

    NASA Astrophysics Data System (ADS)

    Zaki, Aliaa M.; Blythe, Harry J.; Heald, Steve M.; Fox, A. Mark; Gehring, Gillian A.

    2018-05-01

    Thin films with properties comparable to bulk single crystals were grown by pulsed laser deposition using a substrate temperature of only 500 °C. This was achieved by a careful choice of both the oxygen pressure in the deposition chamber and the temperature of the air anneal. The best films were grown on gadolinium gallium garnet substrates but we also report data for films grown on the diamagnetic substrate yttrium aluminium garnet. The films were analysed using X-ray diffraction, near edge X-ray absorption and magnetometry. Our best films had a magnetisation of 143 emu/cm3 and a coercive field of ∼1 Oe.

  9. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    NASA Astrophysics Data System (ADS)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  10. Structural and mechanical properties of CVD deposited titanium aluminium nitride (TiAlN) thin films

    NASA Astrophysics Data System (ADS)

    Das, Soham; Guha, Spandan; Ghadai, Ranjan; Kumar, Dhruva; Swain, Bibhu P.

    2017-06-01

    Titanium aluminium nitride (TiAlN) thin films were deposited by chemical vapour deposition using TiO2 powder, Al powder and N2 gas. The morphology and mechanical properties of the films were characterized by scanning electron microscopy and nanoindentation technique, respectively. The structural properties were characterized by Raman spectroscopy and X-ray diffraction. The XRD result shows TiAlN films are of NaCl-type metal nitride structure. Micro-Raman peaks of the TiAlN thin film were observed within 450 and 642 cm-1 for acoustic and optic range, respectively. A maximum hardness and Young modulus up to 22 and 272.15 GPa, respectively, were observed in the TiAlN film deposited at 1200 °C.

  11. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  12. Deposition and characterization of ZnSe nanocrystalline thin films

    NASA Astrophysics Data System (ADS)

    Temel, Sinan; Gökmen, F. Özge; Yaman, Elif; Nebi, Murat

    2018-02-01

    ZnSe nanocrystalline thin films were deposited at different deposition times by using the Chemical Bath Deposition (CBD) technique. Effects of deposition time on structural, morphological and optical properties of the obtained thin films were characterized. X-ray diffraction (XRD) analysis was used to study the structural properties of ZnSe nanocrystalline thin films. It was found that ZnSe thin films have a cubic structure with a preferentially orientation of (111). The calculated average grain size value was about 28-30 nm. The surface morphology of these films was studied by the Field Emission Scanning Electron Microscope (FESEM). The surfaces of the thin films were occurred from small stacks and nano-sized particles. The band gap values of the ZnSe nanocrystalline thin films were determined by UV-Visible absorption spectrum and the band gap values were found to be between 2.65-2.86 eV.

  13. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    NASA Astrophysics Data System (ADS)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  14. Optical Thin Film Coating Having High Damage Resistance in Near-Stoichiometric MgO-Doped LiTaO3

    NASA Astrophysics Data System (ADS)

    Tateno, Ryo; Kashiwagi, Kunihiro

    2008-08-01

    Currently, High power and compact red, green, and blue (RGB) lasers are being considered for use in large screen laser televisions and reception-lobby projectors. Among these three laser sources, green semiconductor lasers are expensive and exhibit inferior performance in terms of the semiconductor material used, making it difficult to achieve a high output. In this study, we examined the use of our coating on MgO-doped LiTaO3, using a mirror coated with a multilayer film. Over a substrate, a Ta2O5 film was used to coat a high-refractive-index film layer, and a SiO2 film was used to coat a low-refractive-index film layer. To improve reflectivity, we designed the peak of the electric field intensity to be in the film layer with the low refractive index. As a result, the film endurance of 100 J/cm2 was obtained by one-on-one testing. With the nonlinear crystal material, the mirror without our coating exhibited a damage threshold of 33 J/cm2; however, after coating, this mirror demonstrated a higher damage threshold of 47 J/cm2. Thus, the film we fabricated using this technique is useful for improving the strength and durability of laser mirrors.

  15. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films preparedmore » by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.« less

  16. Residual stress in obliquely deposited MgF2 thin films.

    PubMed

    Jaing, Cheng-Chung; Liu, Ming-Chung; Lee, Cheng-Chung; Cho, Wen-Hao; Shen, Wei-Ting; Tang, Chien-Jen; Liao, Bo-Huei

    2008-05-01

    MgF(2) films with a columnar microstructure are obliquely deposited on glass substrates by resistive heating evaporation. The columnar angles of the films increases with the deposition angle. Anisotropic stress does not develop in the films with tilted columns. The residual stresses in the films depend on the deposition and columnar angles in a columnar microstructure.

  17. High-Performance Spray-Deposited Indium Doped ZnO Thin Film: Structural, Morphological, Electrical, Optical, and Photoluminescence Study

    NASA Astrophysics Data System (ADS)

    Asl, Hassan Zare; Rozati, Seyed Mohammad

    2018-03-01

    In this study, high-quality indium doped zinc oxide thin films were deposited using the spray pyrolysis technique, and the substrate temperature varied from 450°C to 550°C with steps of 25°C with the aim of investigating the effect of substrate temperature. It was found that as the temperature increased, the resistivity of the films decreased to the extent that it was as low as 5.34 × 10-3 Ω cm for the one deposited at 500°C; however, it slightly increased for the resulting film at 550°C. Although the carrier concentration mostly increased with temperature, it appeared that the carrier mobility was the parameter mainly governing the conductivity variation. In addition, the average transparency of the deposited films at 500°C, 525°C and 550°C was around 87% (400-800 nm), which makes them outstanding transparent conductive oxide films. Moreover, the crystallite size and strain of the resulting films were estimated via the Williamson-Hall method. The results revealed a considerable reduction in the crystallite size and strain up to 500°C followed by a rise at higher substrate temperature. Based on both the surface and cross-section field emission scanning electron microscope images, the film resulting at 500°C was highly compacted and crack free, which can explain the enlargement of the carrier mobility (10.9 cm2 V-1 s-1) in this film. Finally, a detailed photoluminescence study revealed several peaks in the spectrum and the variation of the two major peaks appeared to have correlation with the carrier concentration.

  18. Deposition of hard elastic hydrogenated fullerenelike carbon films

    NASA Astrophysics Data System (ADS)

    Wang, Zhou; Zhang, Junyan

    2011-05-01

    Hydrogenated fullerenelike carbon (H-FLC) films, with high hardness of 41.7 ± 1.4 GPa and elastic recovery of ˜75.1%, have been uniformly deposited at low temperature by pulse direct current plasma enhanced chemical vapor deposition (pulse DC PECVD). The superior mechanical properties of the H-FLC films are attributed to the unique curvature and interconnection of graphitic basal planes. We propose the fullerenelike structures are formed in the far nonequilibrium pulse plasma environment and stabilized in the sequential fast quenching process. It is expected that the facile deposition of H-FLC films will promote the large-scale low-temperature preparation of engineering protective films for industrial applications.

  19. Atomic layer deposition of zirconium silicate films using zirconium tetrachloride and tetra-n-butyl orthosilicate

    NASA Astrophysics Data System (ADS)

    Kim, Won-Kyu; Kang, Sang-Woo; Rhee, Shi-Woo; Lee, Nae-In; Lee, Jong-Ho; Kang, Ho-Kyu

    2002-11-01

    Atomic layer chemical vapor deposition of zirconium silicate films with a precursor combination of ZrCl4 and tetra-n-butyl orthosilicate (TBOS) was studied for high dielectric gate insulators. The effect of deposition conditions, such as deposition temperature, pulse time for purge and precursor injection on the deposition rate per cycle, and composition of the film were studied. At 400 °C, the growth rate saturated to 1.35 Å/cycle above 500 sccm of the argon purge flow rate. The growth rate, composition ratio ((Zr/Zr+Si)), and impurity contents (carbon and chlorine) saturated with the increase of the injection time of ZrCl4 and TBOS and decreased with the increased deposition temperature from 300 to 500 °C. The growth rate, composition ratio, carbon, and chlorine contents of the Zr silicate thin films deposited at 500 °C were 1.05 Å/cycle, 0.23, 1.1 at. %, and 2.1 at. %, respectively. It appeared that by using only zirconium chloride and silicon alkoxide sources, the content of carbon and chlorine impurities could not be lowered below 1%. It was also found that the incorporation rate of metal from halide source was lower than alkoxide source.

  20. Dual ion beam deposition of carbon films with diamondlike properties

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Swec, D. M.; Angus, J. C.

    1984-01-01

    A single and dual ion beam system was used to generate amorphous carbon films with diamond like properties. A methane/argon mixture at a molar ratio of 0.28 was ionized in the low pressure discharge chamber of a 30-cm-diameter ion source. A second ion source, 8 cm in diameter was used to direct a beam of 600 eV Argon ions on the substrates (fused silica or silicon) while the deposition from the 30-cm ion source was taking place. Nuclear reaction and combustion analysis indicate H/C ratios for the films to be 1.00. This high value of H/C, it is felt, allowed the films to have good transmittance. The films were impervious to reagents which dissolve graphitic and polymeric carbon structures. Although the measured density of the films was approximately 1.8 gm/cu cm, a value lower than diamond, the films exhibited other properties that were relatively close to diamond. These films were compared with diamondlike films generated by sputtering a graphite target.

  1. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1986-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter deposition are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq cm resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x 10 to the -6th/ohm cm for 300 angstrom film to 2.56 x 10 to the -1/ohm cm for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  2. Magnetism of Amorphous and Nano-Crystallized Dc-Sputter-Deposited MgO Thin Films

    PubMed Central

    Mahadeva, Sreekanth K.; Fan, Jincheng; Biswas, Anis; Sreelatha, K.S.; Belova, Lyubov; Rao, K.V.

    2013-01-01

    We report a systematic study of room-temperature ferromagnetism (RTFM) in pristine MgO thin films in their amorphous and nano-crystalline states. The as deposited dc-sputtered films of pristine MgO on Si substrates using a metallic Mg target in an O2 containing working gas atmosphere of (N2 + O2) are found to be X-ray amorphous. All these films obtained with oxygen partial pressure (PO2) ~10% to 80% while maintaining the same total pressure of the working gas are found to be ferromagnetic at room temperature. The room temperature saturation magnetization (MS) value of 2.68 emu/cm3 obtained for the MgO film deposited in PO2 of 10% increases to 9.62 emu/cm3 for film deposited at PO2 of 40%. However, the MS values decrease steadily for further increase of oxygen partial pressure during deposition. On thermal annealing at temperatures in the range 600 to 800 °C, the films become nanocrystalline and as the crystallite size grows with longer annealing times and higher temperature, MS decreases. Our study clearly points out that it is possible to tailor the magnetic properties of thin films of MgO. The room temperature ferromagnetism in MgO films is attributed to the presence of Mg cation vacancies. PMID:28348346

  3. Fabrication and electrical properties of low temperature-processed thin-film-transistors with chemical-bath deposited ZnO layer.

    PubMed

    Ahn, Joo-Seob; Kwon, Ji-Hye; Yang, Heesun

    2013-06-01

    ZnO film was grown on ZnO quantum dot seed layer-coated substrate by a low-temperature chemical bath deposition, where sodium citrate serves as a complexing agent for Zn2+ ion. The ZnO film deposited under the optimal condition exhibited a highly uniform surface morphology with a thickness of approimately 30 nm. For the fabrication of thin-film-transistor with a bottom-gate structure, ZnO film was chemically deposited on the transparent substrate of a seed layer-coated SiN(x)/ITO (indium tin oxide)/glass. As-deposited ZnO channel was baked at low temperatures of 60-200 degrees C to investigate the effect of baking temperature on electrical performances. Compared to the device with 60 degrees C-baked ZnO channel, the TFT performances of one with 200 degrees C-baked channel were substantially improved, exhibiting an on-off current ratio of 3.6 x 10(6) and a saturated field-effect mobility of 0.27 cm2/V x s.

  4. Effects of substrate temperature on properties of pulsed dc reactively sputtered tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Jain, Pushkar; Juneja, Jasbir S.; Bhagwat, Vinay; Rymaszewski, Eugene J.; Lu, Toh-Ming; Cale, Timothy S.

    2005-05-01

    The effects of substrate heating on the stoichiometry and the electrical properties of pulsed dc reactively sputtered tantalum oxide films over a range of film thickness (0.14 to 5.4 μm) are discussed. The film stoichiometry, and hence the electrical properties, of tantalum oxide films; e.g., breakdown field, leakage current density, dielectric constant, and dielectric loss are compared for two different cases: (a) when no intentional substrate/film cooling is provided, and (b) when the substrate is water cooled during deposition. All other operating conditions are the same, and the film thickness is directly related to deposition time. The tantalum oxide films deposited on the water-cooled substrates are stoichiometric, and exhibit excellent electrical properties over the entire range of film thickness. ``Noncooled'' tantalum oxide films are stoichiometric up to ~1 μm film thickness, beyond that the deposited oxide is increasingly nonstoichiometric. The presence of partially oxidized Ta in thicker (>~1 μm) noncooled tantalum oxide films causes a lower breakdown field, higher leakage current density, higher apparent dielectric constant, and dielectric loss. The growth of nonstoichiometric tantalum oxide in thicker noncooled films is attributed to decreased surface oxygen concentration due to oxygen recombination and desorption at higher film temperatures (>~100 °C). The quantitative results presented reflect experience with a specific piece of equipment; however, the procedures presented can be used to characterize deposition processes in which film stoichiometry can change.

  5. Femtosecond pulsed laser deposition of amorphous, ultrahard boride thin films

    NASA Astrophysics Data System (ADS)

    Stock, Michael; Molian, Pal

    2004-05-01

    Amorphous thin films (300-500 nm) of ultrahard AlMgB10 with oxygen and carbon impurities were grown on Si (100) substrates at 300 K using a solid target of AlMgB14 containing a spinel phase (MgAl2O4) and using a 120 fs pulsed, 800 nm wavelength Ti:sapphire laser. The films were subsequently annealed in argon gas up to 1373 K for 2 h. Scanning electron microscopy (SEM) was used to examine the particulate formation, atomic force microscopy was employed to characterize the film surface topography, x-ray diffraction and transmission electron microscopy were used to determine the microstructure, x-ray photoelectron spectroscopy was performed to examine the film composition, and nanoindentation was employed to study the hardness of thin films. The as-deposited and postannealed films (up to 1273 K) had a stochiometry of AlMgB10 with a significant amount of oxygen and carbon impurities and exhibited amorphous structures for a maximum hardness of 40+/-3 GPa. However, postannealing at higher temperatures led to crystallization and transformation of the film to SiB6 with a substantial loss in hardness. Results are also compared with our previous study on 23 ns, 248 nm wavelength (KrF excimer) pulsed laser deposition of AlMgB14 reported in this journal [Y. Tian, A. Constant, C. C. H. Lo, J. W. Anderegg, A. M. Russell, J. E. Snyder, and P. A. Molian, J. Vac. Sci. Technol. A 21, 1055 (2003)]. .

  6. Fine-grained BaZr0.2Ti0.8O3 thin films for tunable device applications

    NASA Astrophysics Data System (ADS)

    Ying, Z.; Yun, P.; Wang, D. Y.; Zhou, X. Y.; Song, Z. T.; Feng, S. L.; Wang, Y.; Chan, H. L. W.

    2007-04-01

    A study of the structure and in-plane dielectric properties of BaZr0.2Ti0.8O3 thin film epitaxially grown on (LaAlO3)0.3(Sr2AlTaO6)0.35 (001) single-crystal substrates through pulsed-laser deposition has been carried out. X-ray diffraction measurements revealed a good crystallinity and tensile in-plane stress in the film. Fine grains with an average size of ˜20 nm were observed using atomic force microscopy. Curie temperature of the film was found to be ˜120 °C, which is 100 °C higher than that of the ceramic. Butterfly-shaped C-V curve confirmed the in-plane ferroelectric state in the film. A large dielectric tunability of ˜50% was found in the film.

  7. Characteristics of zinc oxide nanorod array/titanium oxide film heterojunction prepared by aqueous solution deposition

    NASA Astrophysics Data System (ADS)

    Lee, Ming-Kwei; Hong, Min-Hsuan; Li, Bo-Wei

    2016-07-01

    The characteristics of a ZnO nanorod array/TiO2 film heterojunction were investigated. A TiO2 film was prepared on glass by aqueous solution deposition with precursors of ammonium hexafluorotitanate and boric acid at 40 °C. Then, a ZnO seed layer was prepared on a TiO2 film/glass substrate by RF sputtering. A vertically oriented ZnO nanorod array was grown on a ZnO seed layer/TiO2 film/glass substrate by aqueous solution deposition with precursors of zinc nitrate and hexamethylenetetramine (HMT) at 70 °C. After thermal annealing in N2O ambient at 300 °C, this heterojunction used as an oxygen gas sensor shows much better rise time, decay time, and on/off current ratio than as-grown and annealed ZnO nanorods.

  8. Pulsed Laser Deposited Ferromagnetic Chromium Dioxide thin Films for Applications in Spintronics

    NASA Astrophysics Data System (ADS)

    Dwivedi, S.; Jadhav, J.; Sharma, H.; Biswas, S.

    Stable rutile type tetragonal chromium dioxide (CrO2) thin films have been deposited on lattice-matched layers of TiO2 by KrF excimer laser based pulsed laser deposition (PLD) technique using Cr2O3 target. The TiO2 seed layer was deposited on oxidized Si substrates by the same PLD process followed by annealing at 1100 °C for 4 h. The lattice-matched interfacial layer is required for the stabilization of Cr (IV) phase in CrO2, since CrO2 behaves as a metastable compound under ambient conditions and readily converts into its stable phase of Cr (III) oxide, Cr2O3. Analyses with X-ray diffraction (XRD), Glancing-angle XRD (GIXRD), Raman spectroscopy and grazing-angle Fourier transform infra-red (FTIR) spectroscopy confirm the presence of tetragonal CrO2 phase in the as-deposited films. Microstructure and surface morphology in the films were studied with field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). Electrical and magnetic characterizations of the films were performed at room temperature. Such type of stable half-metallic CrO2 thin films with low field magnetoresistive switching behaviour are in demand for applications as diverse as spin-FETs, magnetic sensors, and magneto-optical devices.

  9. Complementary ab initio and X-ray nanodiffraction studies of Ta2O5

    PubMed Central

    Hollerweger, R.; Holec, D.; Paulitsch, J.; Bartosik, M.; Daniel, R.; Rachbauer, R.; Polcik, P.; Keckes, J.; Krywka, C.; Euchner, H.; Mayrhofer, P.H.

    2015-01-01

    The complex structure of Ta2O5 led to the development of various structural models. Among them, superstructures represent the most stable configurations. However, their formation requires kinetic activity and long-range ordering processes, which are hardly present during physical vapor deposition. Based on nano-beam X-ray diffraction and concomitant ab initio studies, a new metastable orthorhombic basic structure is introduced for Ta2O5 with lattice parameters a = 6.425 Å, b = 3.769 Å and c = 7.706 Å. The unit cell containing only 14 atoms, i.e. two formula unit blocks in the c direction, is characterized by periodically alternating the occupied oxygen site between two possible positions in succeeding 002-planes. This structure can be described by the space group 53 (Pncm) with four Wyckoff positions, and exhibits an energy of formation of −3.209 eV atom−1. Among all the reported basic structures, its energy of formation is closest to those of superstructures. Furthermore, this model exhibits a 2.5 eV band gap, which is closer to experimental data than the band gap of any other basic-structure model. The sputtered Ta2O5 films develop only a superstructure if annealed at temperatures >800 °C in air or vacuum. Based on these results and the conveniently small unit cell size, it is proposed that the basic-structure model described here is an ideal candidate for both structure and electronic state descriptions of orthorhombic Ta2O5 materials. PMID:25642136

  10. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy L. (Inventor)

    2007-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  11. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy (Inventor)

    2011-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  12. Label-free detection of biomolecules with Ta2O5-based field effect devices

    NASA Astrophysics Data System (ADS)

    Branquinho, Rita Maria Mourao Salazar

    Field-effect-based devices (FEDs) are becoming a basic structural element in a new generation of micro biosensors. Their numerous advantages such as small size, labelfree response and versatility, together with the possibility of on-chip integration of biosensor arrays with a future prospect of low-cost mass production, make their development highly desirable. The present thesis focuses on the study and optimization of tantalum pentoxide (Ta2O5) deposited by rf magnetron sputtering at room temperature, and their application as sensitive layer in biosensors based on field effect devices (BioFEDs). As such, the influence of several deposition parameters and post-processing annealing temperature and surface plasma treatment on the film¡¦s properties was investigated. Electrolyte-insulator-semiconductor (EIS) field-effect-based sensors comprising the optimized Ta2O5 sensitive layer were applied to the development of BioFEDs. Enzyme functionalized sensors (EnFEDs) were produced for penicillin detection. These sensors were also applied to the label free detection of DNA and the monitoring of its amplification via polymerase chain reaction (PCR), real time PCR (RT-PCR) and loop mediated isothermal amplification (LAMP). Ion sensitive field effect transistors (ISFETs) based on semiconductor oxides comprising the optimized Ta2O5 sensitive layer were also fabricated. EIS sensors comprising Ta2O5 films produced with optimized conditions demonstrated near Nernstian pH sensitivity, 58+/-0.3 mV/pH. These sensors were successfully applied to the label-free detection of penicillin and DNA. Penicillinase functionalized sensors showed a 29+/-7 mV/mM sensitivity towards penicillin detection up to 4 mM penicillin concentration. DNA detection was achieved with 30 mV/mugM sensitivity and DNA amplification monitoring with these sensors showed comparable results to those obtained with standard fluorescence based methods. Semiconductor oxides-based ISFETs with Ta2O5 sensitive layer were

  13. Pulsed Laser Deposition of BaCe(sub 0.85)Y(sub 0.15)0(sub 3) FILMS

    NASA Technical Reports Server (NTRS)

    Dynys, F. W.; Sayir, A.

    2006-01-01

    Pulsed laser deposition has been used to grow nanostructured BaCe(sub 0.85)Y(sub 0.15)0(sub 3) films. The objective is to enhance protonic conduction by reduction of membrane thickness. Sintered samples and laser targets were prepared by sintering BaCe(sub 0.85)Y(sub 0.15)O(sub 3) powders derived by solid state synthesis. Films 2 to 6 m thick were deposited by KrF excimer laser on Si and porous Al2O3 substrates. Nanocrystalline films were fabricated at deposition temperatures of 600-800 C deg at O2 pressure of 30 mTorr and laser fluence of 1.2 J/cm square. Films were characterized by x-ray diffraction, scanning electron microscopy and electrical impedance spectroscopy. Dense single phase BaCe(sub 0.85)Y((sub 0.15) 0(sub 3) films with a columnar growth morphology is observed, preferred crystal growth was found to be dependent upon deposition temperature and substrate type. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C deg to 900 C deg in moist argon. Electrical conduction of the fabricated films was 1 to 4 orders of magnitude lower than the sintered bulk samples. With respect to the film growth direction, activation energy for electrical conduction is 3 times higher in the perpendicular direction than the parallel direction.

  14. Synthesis of BiFeO3 thin films by chemical solution deposition - Structural and magnetic studies

    NASA Astrophysics Data System (ADS)

    Angappane, S.; Kambhala, Nagaiah

    2012-06-01

    BiFeO3 thin films were deposited on Si (100) substrates by chemical solution deposition. A precursor solution of bismuth acetate and iron acetylacetonate dissolved in distilled water and acetic acid was spin coated on to silicon substrates at ambient conditions, followed by drying and annealing at 650 °C. The films were characterized by XRD and FESEM to study structural properties and morphology. The magnetic properties studied by SQUID magnetometer shows the ferromagnetic nature of the chemical solution deposited BiFeO3 films which are crucial for low cost device applications.

  15. Texture and microstructure evolution in single-phase Ti{sub x}Ta{sub 1-x}N alloys of rocksalt structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koutsokeras, L. E.; Department of Materials Science and Engineering, University of Ioannina, GR-45100 Ioannina; Abadias, G.

    2011-08-15

    The mechanisms controlling the structural and morphological features (texture and microstructure) of ternary transition metal nitride thin films of the Ti{sub x}Ta{sub 1-x}N system, grown by various physical vapor deposition techniques, are reported. Films deposited by pulsed laser deposition, dual cathode magnetron sputtering, and dual ion beam sputtering have been investigated by means of x-ray diffraction in various geometries and scanning electron microscopy. We studied the effects of composition, energetic, and kinetics in the evolution of the microstructure and texture of the films. We obtain films with single and mixed texture as well as films with columnar ''zone-T'' and globularmore » type morphology. The results have shown that the texture evolution of ternary transition metal nitrides as well as the microstructural features of such films can be well understood in the framework of the kinetic mechanisms proposed for their binary counterparts, thus giving these mechanisms a global application.« less

  16. Surface potential measurement of n-type organic semiconductor thin films by mist deposition via Kelvin probe microscopy

    NASA Astrophysics Data System (ADS)

    Odaka, Akihiro; Satoh, Nobuo; Katori, Shigetaka

    2017-08-01

    We partially deposited fullerene (C60) and phenyl-C61-butyric acid methyl ester thin films that are typical n-type semiconductor materials on indium-tin oxide by mist deposition at various substrate temperatures. The topographic and surface potential images were observed via dynamic force microscopy/Kelvin probe force microscopy with the frequency modulation detection method. We proved that the area where a thin film is deposited depends on the substrate temperature during deposition from the topographic images. It was also found that the surface potential depends on the substrate temperature from the surface potential images.

  17. High quality of IWO films prepared at room temperature by reactive plasma deposition for photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Lu, Zhongdan; Meng, Fanying; Cui, Yanfeng; Shi, Jianhua; Feng, Zhiqiang; Liu, Zhengxin

    2013-02-01

    High-quality tungsten-doped indium oxide (IWO) films are deposited on glass substrates at room temperature by the reactive plasma deposition (RPD) process under different oxygen/argon (O2/Ar) ratios. It is revealed that the O2/Ar ratio plays an important role in obtaining high conductivity without compromising the optical transmission of the films. The effect of the annealing temperature on the structure, electrical and optical properties of IWO thin films is investigated. The as-deposited film is crystalline and then re-crystallizes by postannealing. In this work, the IWO film with the O2/Ar ratio of 14% annealed at 220 °C exhibits the best electrical conductivity, with a lowest resistivity of 3.34 × 10-4 Ω cm and a highest mobility of 77.8 cm2 V-1 s-1, and which has the average transmittance of 85.50% (visible region) and 94.21% (near-infrared region). These optical and electrical characteristics of IWO films make them suitable for a-Si/C-Si heterojunction solar cell applications.

  18. Oxidation of ZrB2 SiC TaSi2 Materials at Ultra High Temperatures

    NASA Technical Reports Server (NTRS)

    Opila, E.; Smith, J.; Levine, S.; Lorincz, J.; Reigel, M.

    2008-01-01

    ZrB2 - 20v% SiC - 20v% TaSi2 was oxidized in stagnant air for ten minute cycles for times up to 100 minutes at 1627 C and 1927 C. The sample oxidized at 1627 C showed oxidation resistance better than that of the standard ZrB2 - 20v% SiC. The sample oxidized at 1927 C, however, showed evidence of liquid phase formation and complex oxidation products. The sample exposed at 1927 C was analyzed in detail by scanning electron microprobe and wavelength dispersive spectroscopy to understand the complex oxidation and melting reactions occurring during exposure. The as hot-pressed material shows the formation of a Zr(Ta)B2 phase in addition to the three phases in the nominal composition already noted. After oxidation, the TaSi2 in the matrix was completely reacted to form Ta(Zr)C. The layered oxidation products included SiO2, ZrO2, Ta2O5, and a complex oxide containing both Zr and Ta. Likely reactions are proposed based on thermodynamic phase stability and phase morphology.

  19. Tuning cationic composition of La:EuTiO{sub 3−δ} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkabko, Andrey, E-mail: shkabko@gmail.com; Empa, Solid State Chemistry and Catalysis, CH-8600 Dübendorf; Xu, Chencheng

    2013-11-01

    Eu{sub 1−x}La{sub x}TiO{sub 3−δ} (x = 0, 0.3, 0.5) films were deposited in a p(Ar(96%)/H{sub 2}(4%)) = 4 × 10{sup −4} mbar atmosphere on (LaAlO{sub 3}){sub 0.3}-(Sr{sub 2}AlTaO{sub 6}){sub 0.7} vicinal substrates (0.1°). Reflection high-energy electron diffraction oscillation characteristics of a layer-by-layer growth mode were observed for stoichiometric and Ti-rich films and the laser fluence suited to deposit stoichiometric films was identified to be 1.25 J/cm{sup 2} independent of the La content. The variety of resulting film compositions follows the general trend of Eu-enrichment for low laser and Ti-enrichment for high laser fluence. X-ray diffraction confirms that all the filmsmore » are compressively strained with a general trend of an increase of c-axis elongation for non-stoichiometric films. The surfaces of non-stoichiometric films have an increased roughness, the highest sheet resistances, exhibit the presence of islands, and are Eu{sup 3+} rich for films deposited at low laser fluence.« less

  20. Nanocrystalline SiC film thermistors for cryogenic applications

    NASA Astrophysics Data System (ADS)

    Mitin, V. F.; Kholevchuk, V. V.; Semenov, A. V.; Kozlovskii, A. A.; Boltovets, N. S.; Krivutsa, V. A.; Slepova, A. S.; Novitskii, S. V.

    2018-02-01

    We developed a heat-sensitive material based on nanocrystalline SiC films obtained by direct deposition of carbon and silicon ions onto sapphire substrates. These SiC films can be used for resistance thermometers operating in the 2 K-300 K temperature range. Having high heat sensitivity, they are relatively low sensitive to the magnetic field. The designs of the sensors are presented together with a discussion of their thermometric characteristics and sensitivity to magnetic fields.

  1. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  2. Continuous Microreactor-Assisted Solution Deposition for Scalable Production of CdS Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramprasad, Sudhir; Su, Yu-Wei; Chang, Chih-Hung

    2013-06-13

    Solution deposition offers an attractive, low temperature option in the cost effective production of thin film solar cells. Continuous microreactor-assisted solution deposition (MASD) was used to produce nanocrystalline cadmium sulfide (CdS) films on fluorine doped tin oxide (FTO) coated glass substrates with excellent uniformity. We report a novel liquid coating technique using a ceramic rod to efficiently and uniformly apply reactive solution to large substrates (152 mm × 152 mm). This technique represents an inexpensive approach to utilize the MASD on the substrate for uniform growth of CdS films. Nano-crystalline CdS films have been produced from liquid phase at ~90°C,more » with average thicknesses of 70 nm to 230 nm and with a 5 to 12% thickness variation. The CdS films produced were characterized by UV-Vis spectroscopy, transmission electron microscopy, and X-Ray diffraction to demonstrate their suitability to thin-film solar technology.« less

  3. Exciton-polariton state in nanocrystalline SiC films

    NASA Astrophysics Data System (ADS)

    Semenov, A. V.; Lopin, A. V.

    2016-05-01

    We studied the features of optical absorption in the films of nanocrystalline SiC (nc-SiC) obtained on the sapphire substrates by the method of direct ion deposition. The optical absorption spectra of the films with a thickness less than ~500 nm contain a maximum which position and intensity depend on the structure and thickness of the nc-SiC films. The most intense peak at 2.36 eV is observed in the nc-SiC film with predominant 3C-SiC polytype structure and a thickness of 392 nm. Proposed is a resonance absorption model based on excitation of exciton polaritons in a microcavity. In the latter, under the conditions of resonance, there occurs strong interaction between photon modes of light with λph=521 nm and exciton of the 3С polytype with an excitation energy of 2.36 eV that results in the formation of polariton. A mismatch of the frequencies of photon modes of the cavity and exciton explains the dependence of the maximum of the optical absorption on the film thickness.

  4. Structural properties of nitrogenated amorphous carbon films: Influence of deposition temperature and radiofrequency discharge power

    NASA Astrophysics Data System (ADS)

    Lazar, G.; Bouchet-Fabre, B.; Zellama, K.; Clin, M.; Ballutaud, D.; Godet, C.

    2008-10-01

    The structural properties of nitrogenated amorphous carbon deposited by radiofrequency magnetron sputtering of graphite in pure N2 plasma are investigated as a function of the substrate temperature and radiofrequency discharge power. The film composition is derived from x-ray photoemission spectroscopy, nuclear reaction analysis and elastic recoil detection measurements and the film microstructure is discussed using infrared, Raman, x-ray photoemission and near edge x-ray absorption fine structure spectroscopic results. At low deposition temperature and low radiofrequency power, the films are soft, porous, and easily contaminated with water vapor and other atmospheric components. The concentration of nitrogen in the films is very large for low deposition temperatures (˜33.6at.% N at 150°C) but decreases strongly when the synthesis temperature increases (˜15at.% N at 450°C). With increasing deposition temperature and discharge power values, the main observed effects in amorphous carbon nitride alloys are a loss of nitrogen atoms, a smaller hydrogen and oxygen contamination related to the film densification, an increased order of the aromatic sp2 phase, and a strong change in the nitrogen distribution within the carbon matrix. Structural changes are well correlated with modifications of the optical and transport properties.

  5. Deposition of highly textured AlN thin films by reactive high power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moreira, Milena A.; Törndahl, Tobias; Katardjiev, Ilia

    2015-03-15

    Aluminum nitride thin films were deposited by reactive high power impulse magnetron sputtering (HiPIMS) and pulsed direct-current on Si (100) and textured Mo substrates, where the same deposition conditions were used for both techniques. The films were characterized by x-ray diffraction and atomic force microscopy. The results show a pronounced improvement in the AlN crystalline texture for all films deposited by HiPIMS on Si. Already at room temperature, the HiPIMS films exhibited a strong preferred (002) orientation and at 400 °C, no contributions from other orientations were detected. Despite the low film thickness of only 200 nm, an ω-scan full width atmore » half maximum value of 5.1° was achieved on Si. The results are attributed to the high ionization of sputtered material achieved in HiPIMS. On textured Mo, there was no significant difference between the deposition techniques.« less

  6. Oblique angle deposition-induced anisotropy in Co2FeAl films

    NASA Astrophysics Data System (ADS)

    Zhou, W.; Brock, J.; Khan, M.; Eid, K. F.

    2018-06-01

    A series of Co2FeAl Heusler alloy films, fabricated on Si/SiO2 substrates by magnetron sputtering-oblique angle deposition technique, have been investigated by magnetization and transport measurements. The morphology and magnetic anisotropy of the films strongly depended on the deposition angle. While the film deposited at zero degree (i.e. normal incidence) did not show any anisotropy, the films deposited at higher angles showed unusually strong in-plane anisotropy that increased with deposition angle. The enhanced anisotropy was well-reflected in the direction-dependent magnetization and the coercivity of the films that increased dramatically from 30 Oe to 490 Oe. In a similar vein, the electrical resistivity of the films also increased drastically, especially for deposition angles larger than 60°. These anisotropic effects and their relation to the morphology of the films are discussed.

  7. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films

    NASA Astrophysics Data System (ADS)

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO2 films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO2 films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO2 films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO2 films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content.

  8. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  9. Physical properties of a non-transparent cadmium oxide thick film deposited at low fluence by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Quiñones-Galván, J.G., E-mail: erk_183@hotmail.com; Lozada-Morales, R.; Jiménez-Sandoval, S.

    Highlights: • A non-transparent cadmium oxide film has been deposited by pulsed laser deposition. • The CdO film is polycrystalline and highly oriented in the (2 0 0) direction. • Thermal treatment was applied in order to see the effect on its physical properties. - Abstract: A stable non-transparent CdO film was grown by pulsed laser deposition. The sample was thermally annealed at 500 °C in air. A (2 0 0) highly oriented polycrystalline film was obtained. The annealed sample has not preferred orientation. Scanning electron micrographs show a grain size reduction for the annealed sample. By Raman spectroscopy, themore » defects related second order vibrational modes of CdO were observed. Chemical composition analysis shows the presence of CdO together with a substoichiometric CdO{sub x} phase for the as-grown sample. For the annealed sample a compensation of oxygen vacancies was observed. Electrical resistivity measurements give a value of 8.602 × 10{sup −4} (Ω cm) for the as-grown film. For the annealed sample the electrical resistivity increased to a value of 9.996 × 10{sup −3} (Ω cm). Zero transmission has never been reported for CdO films. The photoluminescence spectra were measured in order to shed some light on the origin of the zero transmission.« less

  10. Modulation of strain, resistance, and capacitance of tantalum oxide film by converse piezoelectric effect

    NASA Astrophysics Data System (ADS)

    Jia, Yanmin; Tian, Xiangling; Si, Jianxiao; Huang, Shihua; Wu, Zheng; Zhu, Chenchen

    2011-07-01

    We deposited tantalum oxide film on a laminate structure composed of a Si substrate and a piezoelectric 0.72Pb(Mg1/3Nb2/3)O3-0.28PbTiO3 single crystal and achieved in situ modulation of the resistance and capacitance of the Ta2O5 film. The modulation arises from the induced lattice strain in the Ta2O5 film, which is induced by the electric-field-induced strain in the piezoelectric crystal. Under an external electric field of ˜2 kV/cm, the longitudinal gauge factor of the Ta2O5 film is ˜3300. The control of the strain using the converse piezoelectric effect may be further extended to tune the intrinsic strain of other oxide thin films.

  11. Atomic layer deposition of zirconium silicate films using zirconium tetra-tert-butoxide and silicon tetrachloride

    NASA Astrophysics Data System (ADS)

    Kim, Won-Kyu; Kang, Sang-Woo; Rhee, Shi-Woo

    2003-09-01

    A new precursor combination (SiCl4 and Zr(OtC4H9)4) was used to deposit Zr silicate with Zr(OtC4H9)4 as a zirconium source and oxygen source at the same time. SiCl4 and Zr(OtC4H9)4 have higher vapor pressures than their counterpart, ZrCl4 and tetra-n-butyl orthosilicate (TBOS), and it was expected that the cycle time would be shorter. The deposition temperature of the new combination was about 150 °C lower than that of ZrCl4 and TBOS. The film was zirconium rich while it was silicon rich with ZrCl4 and TBOS. Growth rate (nm/cycle), composition ratio [Zr/(Zr+Si)], and chlorine impurity were decreased with increasing deposition temperature from 125 to 225 °C. The composition ratio of the film deposited at 225 °C was 0.53 and the chlorine content was about 0.4 at. %. No carbon was detected by x-ray photoelectron spectroscopy.

  12. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  13. {001} Oriented piezoelectric films prepared by chemical solution deposition on Ni foils

    NASA Astrophysics Data System (ADS)

    Yeo, Hong Goo; Trolier-McKinstry, Susan

    2014-07-01

    Flexible metal foil substrates are useful in some microelectromechanical systems applications including wearable piezoelectric sensors or energy harvesters based on Pb(Zr,Ti)O3 (PZT) thin films. Full utilization of the potential of piezoelectrics on metal foils requires control of the film crystallographic texture. In this study, {001} oriented PZT thin films were grown by chemical solution deposition (CSD) on Ni foil and Si substrates. Ni foils were passivated using HfO2 grown by atomic layer deposition in order to suppress substrate oxidation during subsequent thermal treatment. To obtain the desired orientation of PZT film, strongly (100) oriented LaNiO3 films were integrated by CSD on the HfO2 coated substrates. A high level of {001} LaNiO3 and PZT film orientation were confirmed by X-ray diffraction patterns. Before poling, the low field dielectric permittivity and loss tangents of (001) oriented PZT films on Ni are near 780 and 0.04 at 1 kHz; the permittivity drops significantly on poling due to in-plane to out-of-plane domain switching. (001) oriented PZT film on Ni displayed a well-saturated hysteresis loop with a large remanent polarization ˜36 μC/cm2, while (100) oriented PZT on Si showed slanted P-E hysteresis loops with much lower remanent polarizations. The |e31,f| piezoelectric coefficient was around 10.6 C/m2 for hot-poled (001) oriented PZT film on Ni.

  14. Chemically Deposited Thin-Film Solar Cell Materials

    NASA Technical Reports Server (NTRS)

    Raffaelle, R.; Junek, W.; Gorse, J.; Thompson, T.; Harris, J.; Hehemann, D.; Hepp, A.; Rybicki, G.

    2005-01-01

    We have been working on the development of thin film photovoltaic solar cell materials that can be produced entirely by wet chemical methods on low-cost flexible substrates. P-type copper indium diselenide (CIS) absorber layers have been deposited via electrochemical deposition. Similar techniques have also allowed us to incorporate both Ga and S into the CIS structure, in order to increase its optical bandgap. The ability to deposit similar absorber layers with a variety of bandgaps is essential to our efforts to develop a multi-junction thin-film solar cell. Chemical bath deposition methods were used to deposit a cadmium sulfide (CdS) buffer layers on our CIS-based absorber layers. Window contacts were made to these CdS/CIS junctions by the electrodeposition of zinc oxide (ZnO). Structural and elemental determinations of the individual ZnO, CdS and CIS-based films via transmission spectroscopy, x-ray diffraction, x-ray photoelectron spectroscopy and energy dispersive spectroscopy will be presented. The electrical characterization of the resulting devices will be discussed.

  15. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  16. Pulsed laser deposition of niobium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farha, Ashraf Hassan, E-mail: ahass006@odu.edu; Elsayed-Ali, Hani E., E-mail: helsayed@odu.edu; Applied Research Center, Jefferson National Accelerator Facility, Newport News, VA 23606

    2015-12-04

    Niobium nitride (NbN{sub x}) films were grown on Nb and Si(100) substrates using pulsed laser deposition. NbN{sub x} films were deposited on Nb substrates using PLD with a Q-switched Nd:YAG laser (λ = 1064 nm, ∼40 ns pulse width, and 10 Hz repetition rate) at different laser fluences, nitrogen background pressures and deposition substrate temperatures. When all the fabrication parameters are fixed, except for the laser fluence, the surface roughness, nitrogen content, and grain size increase with increasing laser fluence. Increasing nitrogen background pressure leads to a change in the phase structure of the NbN{sub x} films from mixed β-Nb{sub 2}N and cubicmore » δ-NbN phases to single hexagonal β-Nb{sub 2}N. The substrate temperature affects the preferred orientation of the crystal structure. The structural and electronic, properties of NbN{sub x} deposited on Si(100) were also investigated. The NbN{sub x} films exhibited a cubic δ-NbN with a strong (111) orientation. A correlation between surface morphology, electronic, and superconducting properties was found. The observations establish guidelines for adjusting the deposition parameters to achieve the desired NbN{sub x} film morphology and phase.« less

  17. Dialkyldiselenophosphinato-metal complexes - a new class of single source precursors for deposition of metal selenide thin films and nanoparticles

    NASA Astrophysics Data System (ADS)

    Malik, Sajid N.; Akhtar, Masood; Revaprasadu, Neerish; Qadeer Malik, Abdul; Azad Malik, Mohammad

    2014-08-01

    We report here a new synthetic approach for convenient and high yield synthesis of dialkyldiselenophosphinato-metal complexes. A number of diphenyldiselenophosphinato-metal as well as diisopropyldiselenophosphinato-metal complexes have been synthesized and used as precursors for deposition of semiconductor thin films and nanoparticles. Cubic Cu2-xSe and tetragonal CuInSe2 thin films have been deposited by AACVD at 400, 450 and 500 °C whereas cubic PbSe and tetragonal CZTSe thin films have been deposited through doctor blade method followed by annealing. SEM investigations revealed significant differences in morphology of the films deposited at different temperatures. Preparation of Cu2-xSe and In2Se3 nanoparticles using diisopropyldiselenophosphinato-metal precursors has been carried out by colloidal method in HDA/TOP system. Cu2-xSe nanoparticles (grown at 250 °C) and In2Se3 nanoparticles (grown at 270 °C) have a mean diameter of 5.0 ± 1.2 nm and 13 ± 2.5 nm, respectively.

  18. Effect of deposition temperature & oxygen pressure on mechanical properties of (0.5) BZT-(0.5)BCT ceramic thin films

    NASA Astrophysics Data System (ADS)

    Sailaja, P.; Kumar, N. Pavan; Rajalakshmi, R.; Kumar, R. Arockia; Ponpandian, N.; Prabahar, K.; Srinivas, A.

    2018-05-01

    Lead free ferroelectric thin films of {(0.5) BZT-(0.5) BCT} (termed as BCZT) were deposited on Pt/TiO2/SiO2/Si substrates by pulsed laser deposition at four deposition temperatures 600, 650, 700, 750°C and at two oxygen pressures viz. 75mtorr and 100 mtorr using BCZT ceramic target (prepared by solid state sintering method). The effect of deposition temperature and oxygen pressure on the structure, microstructure and mechanical properties of BCZT films were studied. X-ray diffraction patterns of deposited films confirm tetragonal crystal symmetry and the crystallinity of the films increases with increasing deposition temperature. Variation in BCZT grain growth was observed when the films are deposited at different temperatures andoxygen pressures respectively. The mechanical properties viz. hardness and elastic modulus were also found to be high with increase in the deposition temperature and oxygen pressure. The results will be discussed.

  19. Dependence of Interfacial Dzyaloshinskii-Moriya Interaction on Layer Thicknesses in Ta /Co -Fe -B /TaOx Heterostructures from Brillouin Light Scattering

    NASA Astrophysics Data System (ADS)

    Chaurasiya, Avinash Kumar; Choudhury, Samiran; Sinha, Jaivardhan; Barman, Anjan

    2018-01-01

    The interfacial Dzyaloshinskii-Moriya interaction (IDMI) has recently drawn extensive research interest due to its fundamental role in stabilizing chiral spin textures in ultrathin ferromagnets, which are suitable candidates for future magnetic-memory devices. Here, we explore the ferromagnetic and heavy-metal layer-thickness dependence of IDMI in technologically important Ta /Co20Fe60B20/TaOx heterostructures by measuring nonreciprocity in spin-wave frequency using the Brillouin light-scattering technique. The observed value of the IDMI constant agrees with that obtained from a separate measurement of in-plane angular dependence of frequency nonreciprocity, which is also in good agreement with the theory predicted by Cortes-Ortuno and Landeros. Linear scaling behavior of IDMI with the inverse of Co-Fe-B thicknesses suggests that IDMI originates primarily from the interface in these heterostructures, whereas we observe a weak dependence of Ta thickness on the strength of IDMI. Importantly, the observed value of the IDMI constant is reasonably large by a factor of 3 compared to annealed Ta /Co -Fe -B /MgO heterostructures. We propose that the observation of large IDMI is likely due to the absence of boron diffusion towards the Ta /Co -Fe -B interface as the heterostructures are as deposited. Our detailed investigation opens up a route to designing thin-film heterostructures with the tailored IDMI constant for controlling Skyrmion-based magnetic-memory devices.

  20. A broadband permeability measurement of FeTaN lamination stack by the shorted microstrip line method

    NASA Astrophysics Data System (ADS)

    Chen, Xin; Ma, Yungui; Xu, Feng; Wang, Peng; Ong, C. K.

    2009-01-01

    In this paper, the microwave characteristics of a FeTaN lamination stack are studied with a shorted microstrip line method. The FeTaN lamination stack was fabricated by gluing 54 layers of FeTaN units with epoxy together. The FeTaN units were deposited on both sides of an 8 μm polyethylene terephthate (Mylar) film as the substrate by rf magnetron sputtering. On each side of the Mylar substrate, three 100-nm FeTaN layers are laminated with two 8 nm Al2O3 layers. The complex permeability of FeTaN lamination stack is calculated by the scattering parameters using the shorted load transmission line model based on the quasi-transverse-electromagnetic approximation. A full wave analysis combined with an optimization process is employed to determine the accurate effective permeability values. The optimized complex permeability data can be used for the microwave filter design.

  1. Zirconium doped TiO2 thin films deposited by chemical spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Juma, A.; Oja Acik, I.; Oluwabi, A. T.; Mere, A.; Mikli, V.; Danilson, M.; Krunks, M.

    2016-11-01

    Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO2 thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO2 thin films were uniform and homogeneous showing much smaller grains than the undoped TiO2 films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO2 film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO4 phase started forming after annealing at 800 °C. The optical band gap for TiO2 decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO2:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  2. Optimization of the deposition conditions and structural characterization of Y1Ba2Cu3O(7-x) thin superconducting films

    NASA Technical Reports Server (NTRS)

    Chrzanowski, J.; Meng-Burany, S.; Xing, W. B.; Curzon, A. E.; Heinrich, B.; Irwin, J. C.; Cragg, R. A.; Zhou, H.; Habib, F.; Angus, V.

    1995-01-01

    Two series of Y1Ba2Cu3O(z) thin films deposited on (001) LaAl03 single crystals by excimer laser ablation under two different protocols have been investigated. The research has yielded well defined deposition conditions in terms of oxygen partial pressure p(O2) and substrate temperature of the deposition process Th, for the growth of high quality epitaxial films of YBCO. The films grown under conditions close to optimal for both j(sub c) and T(sub c) exhibited T(sub c) greater than or equal to 91 K and j(sub c) greater than or equal to 4 x 106 A/sq cm, at 77 K. Close correlations between the structural quality of the film, the growth parameters (p(O2), T(sub h)) and j(sub c) and T(sub c) have been found.

  3. Substrate spacing and thin-film yield in chemical bath deposition of semiconductor thin films

    NASA Astrophysics Data System (ADS)

    Arias-Carbajal Reádigos, A.; García, V. M.; Gomezdaza, O.; Campos, J.; Nair, M. T. S.; Nair, P. K.

    2000-11-01

    Thin-film yield in the chemical bath deposition technique is studied as a function of separation between substrates in batch production. Based on a mathematical model, it is proposed and experimentally verified in the case of CdS thin films that the film thickness reaches an asymptotic maximum with increase in substrate separation. It is shown that at a separation less than 1 mm between substrates the yield, i.e. percentage in moles of a soluble cadmium salt deposited as a thin film of CdS, can exceed 50%. This behaviour is explained on the basis of the existence of a critical layer of solution near the substrate, within which the relevant ionic species have a higher probability of interacting with the thin-film layer than of contributing to precipitate formation. The critical layer depends on the solution composition and the temperature of the bath as well as the duration of deposition. An effective value for the critical layer thickness has been defined as half the substrate separation at which 90% of the maximum film thickness for the particular bath composition, bath temperature and duration of deposition is obtained. In the case of CdS thin films studied as an example, the critical layer is found to extend from 0.5 to 2.5 mm from the substrate surface, depending on the deposition conditions.

  4. Photovoltaic properties of ferroelectric BaTiO3 thin films RF sputter deposited on silicon

    NASA Technical Reports Server (NTRS)

    Dharmadhikari, V. S.; Grannemann, W. W.

    1982-01-01

    Ferroelectric thin films of BaTiO3 have been successfully deposited on n-type silicon substrates at temperatures above 500 C by RF sputtering in an O2/Ar atmosphere. Analysis by X-ray diffraction patterns show that films deposited at room temperature are amorphous. At temperatures above 500 C, crystalline BaTiO3 films with a tetragonal structure are obtained. The polarization-electric field (P-E) hysteresis loops and a broad peak in the dielectric constant versus temperature curve at Curie point indicate that the RF sputtered BaTiO3 films are ferroelectric. An anomalous photovoltaic effect is observed in these thin films which is related to the remanent polarization of the material. The results on open-circuit and short-circuit measurements provide an important basis for a better understanding of the role of photovoltaic field, photovoltaic current, and the pyroelectric properties in photoferroelectric domain switching.

  5. As-Deposited (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 Crystallized Thin Films Prepared by Pulsed Laser Deposition for Application to Solid Oxide Fuel Cell Electrolyte

    NASA Astrophysics Data System (ADS)

    Mitsugi, Fumiaki; Kanazawa, Seiji; Ohkubo, Toshikazu; Nomoto, Yukiharu; Ishihara, Tatsumi; Takita, Yusaku

    2004-01-01

    Doped lanthanum gallate (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 (LSGMCO) perovskite oxide films were deposited on a quartz glass, LaAlO3 single-crystal substrate and porous anode electrode of a solid oxide fuel cell (SOFC) by pulsed laser deposition. It was necessary to increase the substrate temperature up to 800°C for a crystallization of the LSGMCO films. The film deposited on the LaAlO3 single-crystal substrate grew along the c-axis. The as-deposited LSGMCO thick film fabricated on the porous substrate at 800°C and at an oxygen pressure of 20Pa was formed from polycrystal columns and showed a high conductivity of 0.7S/cm at a measurement temperature of 800°C. The activation energies were 0.72 eV at 600-800°C and 1.05 eV at 400-600°C.

  6. Substrate-insensitive atomic layer deposition of plasmonic titanium nitride films

    DOE PAGES

    Yu, Ing-Song; Cheng, Hsyi-En; Chang, Chun-Chieh; ...

    2017-02-06

    The plasmonic properties of titanium nitride (TiN) films depend on the type of substrate when using typical deposition methods such as sputtering. We show atomic layer deposition (ALD) of TiN films with very weak dependence of plasmonic properties on the substrate, which also suggests the prediction and evaluation of plasmonic performance of TiN nanostructures on arbitrary substrates under a given deposition condition. Our results also observe that substrates with more nitrogen-terminated (N-terminated) surfaces will have significant impact on the deposition rate as well as the film plasmonic properties. Furthermore, we illustrate that the plasmonic properties of ALD TiN films canmore » be tailored by simply adjusting the deposition and/or post-deposition annealing temperatures. These characteristics and the capability of conformal coating make ALD TiN films on templates ideal for applications that require the fabrication of complex 3D plasmonic nanostructures.« less

  7. UV laser deposition of metal films by photogenerated free radicals

    NASA Technical Reports Server (NTRS)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  8. Soap-film coating: High-speed deposition of multilayer nanofilms

    PubMed Central

    Zhang, Renyun; Andersson, Henrik A.; Andersson, Mattias; Andres, Britta; Edlund, Håkan; Edström, Per; Edvardsson, Sverker; Forsberg, Sven; Hummelgård, Magnus; Johansson, Niklas; Karlsson, Kristoffer; Nilsson, Hans-Erik; Norgren, Magnus; Olsen, Martin; Uesaka, Tetsu; Öhlund, Thomas; Olin, Håkan

    2013-01-01

    The coating of thin films is applied in numerous fields and many methods are employed for the deposition of these films. Some coating techniques may deposit films at high speed; for example, ordinary printing paper is coated with micrometre-thick layers of clay at a speed of tens of meters per second. However, to coat nanometre thin films at high speed, vacuum techniques are typically required, which increases the complexity of the process. Here, we report a simple wet chemical method for the high-speed coating of films with thicknesses at the nanometre level. This soap-film coating technique is based on forcing a substrate through a soap film that contains nanomaterials. Molecules and nanomaterials can be deposited at a thickness ranging from less than a monolayer to several layers at speeds up to meters per second. We believe that the soap-film coating method is potentially important for industrial-scale nanotechnology. PMID:23503102

  9. Synthesis of ZrO 2 thin films by atomic layer deposition: growth kinetics, structural and electrical properties

    NASA Astrophysics Data System (ADS)

    Cassir, Michel; Goubin, Fabrice; Bernay, Cécile; Vernoux, Philippe; Lincot, Daniel

    2002-06-01

    Ultra thin films of ZrO 2 were synthesized on soda lime glass and SnO 2-coated glass, using ZrCl 4 and H 2O precursors by atomic layer deposition (ALD), a sequential CVD technique allowing the formation of dense and homogeneous films. The effect of temperature on the film growth kinetics shows a first temperature window for ALD processing between 280 and 350 °C and a second regime or "pseudo-window" between 380 and 400 °C, with a growth speed of about one monolayer per cycle. The structure and morphology of films of less than 1 μm were characterized by XRD and SEM. From 275 °C, the ZrO 2 film is crystallized in a tetragonal form while a mixture of tetragonal and monoclinic phases appears at 375 °C. Impedance spectroscopy measurements confirmed the electrical properties of ZrO 2 and the very low porosity of the deposited layer.

  10. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  11. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  12. Precursors for the polymer-assisted deposition of films

    DOEpatents

    McCleskey, Thomas M.; Burrell, Anthony K.; Jia, Quanxi; Lin, Yuan

    2013-09-10

    A polymer assisted deposition process for deposition of metal oxide films is presented. The process includes solutions of one or more metal precursor and soluble polymers having binding properties for the one or more metal precursor. After a coating operation, the resultant coating is heated at high temperatures to yield metal oxide films. Such films can be epitaxial in structure and can be of optical quality. The process can be organic solvent-free.

  13. Characteristics of Iron-Palladium alloy thin films deposited by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Chiu, Y.-J.; Shen, C.-Y.; Chang, H.-W.; Jian, S.-R.

    2018-06-01

    The microstructural features, magnetic, nanomechanical properties and wettability behaviors of Iron-Palladium (FePd) alloy thin films are investigated by using X-ray diffraction (XRD), atomic force microscopy (AFM), vibrating sample magnetometer (VSM), nanoindentation and water contact angle (CA) techniques, respectively. The FePd alloy thin films were deposited on glass substrates using a magnetron sputtering system. The post-annealing processes of FePd alloy thin films were carried out at 400 °C and 750 °C and resulted in a significant increase of both the average grain size and surface roughness. The XRD analysis showed that FePd alloy thin films exhibited a predominant (1 1 1) orientation. The magnetic field dependence of magnetization of all FePd thin films are measured at room temperature showed the ferromagnetic characteristics. The nanoindentation with continuous stiffness measurement (CSM) is used to measure the hardness and Young's modulus of present films. The contact angle (θCA) increased with increasing surface roughness. The maximum θCA of 75° was achieved for the FePd alloy thin film after annealing at 750 °C and a surface roughness of 4.2 nm.

  14. Dielectric and ferroelectric properties of strain-relieved epitaxial lead-free KNN-LT-LS ferroelectric thin films on SrTiO3 substrates

    NASA Astrophysics Data System (ADS)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-05-01

    We report the growth of single-phase (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated ⟨001⟩ oriented SrTiO3 substrates by using pulsed laser deposition. Films grown at 600°C under low laser fluence exhibit a ⟨001⟩ textured columnar grained nanostructure, which coalesce with increasing deposition temperature, leading to a uniform fully epitaxial highly stoichiometric film at 750°C. However, films deposited at lower temperatures exhibit compositional fluctuations as verified by Rutherford backscattering spectroscopy. The epitaxial films of 400-600nm thickness have a room temperature relative permittivity of ˜750 and a loss tangent of ˜6% at 1kHz. The room temperature remnant polarization of the films is 4μC /cm2, while the saturation polarization is 7.1μC/cm2 at 24kV/cm and the coercive field is ˜7.3kV/cm. The results indicate that approximately 50% of the bulk permittivity and 20% of bulk spontaneous polarization can be retained in submicron epitaxial KNN-LT-LS thin film, respectively. The conductivity of the films remains to be a challenge as evidenced by the high loss tangent, leakage currents, and broad hysteresis loops.

  15. Dual-ion-beam deposition of carbon films with diamond-like properties

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Swec, D. M.; Angus, J. C.

    1985-01-01

    A single and dual ion beam system was used to generate amorphous carbon films with diamond like properties. A methane/argon mixture at a molar ratio of 0.28 was ionized in the low pressure discharge chamber of a 30-cm-diameter ion source. A second ion source, 8 cm in diameter was used to direct a beam of 600 eV Argon ions on the substrates (fused silica or silicon) while the deposition from the 30-cm ion source was taking place. Nuclear reaction and combustion analysis indicate H/C ratios for the films to be 1.00. This high value of H/C, it is felt, allowed the films to have good transmittance. The films were impervious to reagents which dissolve graphitic and polymeric carbon structures. Although the measured density of the films was approximately 1.8 gm/cu cm, a value lower than diamond, the films exhibited other properties that were relatively close to diamond. These films were compared with diamond like films generated by sputtering a graphite target.

  16. Substrate temperature effects on the structure and properties of ZnMnO films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Riascos, H.; Duque, J. S.; Orozco, S.

    2017-01-01

    ZnMnO thin films were grown on silicon substrates by pulsed laser deposition (PLD). Pulsed Nd:YAG laser was operated at a wavelength of 1064 nm and 100 mJ. ZnMnO thin films were deposited at the vacuum pressure of 10-5 Torr and with substrate temperature from room temperature to 600 °C. The effects of substrate temperature on the structural and Optical properties of ZnMnO thin films have been investigated by X-ray diffraction (XRD), Raman spectroscopy and Uv-vis spectroscopy. From XRD data of the samples, it can be showed that temperature substrate does not change the orientation of ZnMnO thin films. All the films prepared have a hexagonal wurtzite structure, with a dominant (002) peak around 2θ=34.44° and grow mainly along the c-axis orientation. The substrate temperature improved the crystallinity of the deposited films. Uv-vis analysis showed that, the thin films exhibit high transmittance and low absorbance in the visible region. It was found that the energy band to 300 ° C is 3.2 eV, whereas for other temperatures the values were lower. Raman reveals the crystal quality of ZnMnO thin films.

  17. Structural and optical studied of nano structured lead sulfide thin films prepared by the chemical bath deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al Din, Nasser Saad, E-mail: nsaadaldin@yahoo.com; Hussain, Nabiha, E-mail: nabihahssin@yahoo.com; Jandow, Nidhal, E-mail: nidhaljandow@yahoo.com

    2016-07-25

    Lead (II) Sulfide PbS thin films were deposited on glass substrates at 25°C by chemical bath deposition (CBD) method. The structural properties of the films were studied as a function of the concentration of Thiourea (CS (NH{sub 2}){sub 2}) as Source of Sulfide and deposition time. The surface morphology of the films was characterized by X-ray diffraction and SEM. The obtained results showed that the as-deposited films Polycrystalline had cubic crystalline phase that belong to S.G: Fm3m. We found that they have preferred orientation [200]. Also the thickness of thin films decrease with deposition time after certain value and, itmore » observed free sulfide had orthorhombic phase. Optical properties showed that the thin films have high transmission at visible range and low transmission at UV, IR range. The films of PbS have direct band gap (I.68 - 2.32 ev) at 300 K the values of band energy decreases with increases thickness of the Lead (II) Sulfide films.« less

  18. Pulsed laser deposition of piezoelectric lead zirconate titanate thin films maintaining a post-CMOS compatible thermal budget

    NASA Astrophysics Data System (ADS)

    Schatz, A.; Pantel, D.; Hanemann, T.

    2017-09-01

    Integration of lead zirconate titanate (Pb[Zrx,Ti1-x]O3 - PZT) thin films on complementary metal-oxide semiconductor substrates (CMOS) is difficult due to the usually high crystallization temperature of the piezoelectric perovskite PZT phase, which harms the CMOS circuits. In this work, a wafer-scale pulsed laser deposition tool was used to grow 1 μm thick PZT thin films on 150 mm diameter silicon wafers. Three different routes towards a post-CMOS compatible deposition process were investigated, maintaining a post-CMOS compatible thermal budget limit of 445 °C for 1 h (or 420 °C for 6 h). By crystallizing the perovskite LaNiO3 seed layer at 445 °C, the PZT deposition temperature can be lowered to below 400 °C, yielding a transverse piezoelectric coefficient e31,f of -9.3 C/m2. With the same procedure, applying a slightly higher PZT deposition temperature of 420 °C, an e31,f of -10.3 C/m2 can be reached. The low leakage current density of below 3 × 10-6 A/cm2 at 200 kV/cm allows for application of the post-CMOS compatible PZT thin films in low power micro-electro-mechanical-systems actuators.

  19. Substrate-dependent structural and CO sensing properties of LaCoO3 epitaxial films

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Sun, Hongjuan; Xie, Ruishi; Zhang, Xingquan; Zheng, Kui; Peng, Tongjiang; Wu, Xiaoyu; Zhang, Yanping

    2018-06-01

    LaCoO3 thin films were grown on different (0 0 1) oriented LaAlO3, SrTiO3 and (LaAlO3)0.3(Sr2AlTaO6)0.7 by the polymer assisted deposition method, respectively. All the LaCoO3 thin films are in epitaxial growth on these substrates, with tetragonal distortion of CoO6 octahedrons. Due to different in-plane lattice mismatch, the LaCoO3 film on LaAlO3 has the largest tetragonal distortion of CoO6 octahedrons while the film grown on (LaAlO3)0.3(Sr2AlTaO6)0.7 has the smallest tetragonal distortion. The relative contents of the surface absorbed oxygen species are found to increase for the LaCoO3 epitaxial films grown on (0 0 1) oriented (LaAlO3)0.3(Sr2AlTaO6)0.7, SrTiO3 and LaAlO3 substrates, sequentially. The film sensors exhibit good CO sensing properties at 150 °C, and the LaCoO3 film on LaAlO3 shows the highest response but the film on (LaAlO3)0.3(Sr2AlTaO6)0.7 shows the lowest. It reveals that the larger degree of Jahn-Teller-like tetragonal distortion of CoO6 octahedrons may greatly improve the surface absorbing and catalytic abilities, corresponding to more excellent CO sensing performance. The present study suggests that the formation of epitaxial films is an efficient methodology for controlling the octahedral distortion and thereby improving the gas sensing performance of perovskite transition metal oxides.

  20. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films.

    PubMed

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO(2) films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO(2) films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO(2) films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO(2) films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content. Copyright © 2012 Elsevier B.V. All rights reserved.

  1. Large pinning forces and matching effects in YBa2Cu3O7-δ thin films with Ba2Y(Nb/Ta)O6 nano-precipitates

    PubMed Central

    Opherden, Lars; Sieger, Max; Pahlke, Patrick; Hühne, Ruben; Schultz, Ludwig; Meledin, Alexander; Van Tendeloo, Gustaaf; Nast, Rainer; Holzapfel, Bernhard; Bianchetti, Marco; MacManus-Driscoll, Judith L.; Hänisch, Jens

    2016-01-01

    The addition of mixed double perovskite Ba2Y(Nb/Ta)O6 (BYNTO) to YBa2Cu3O7−δ (YBCO) thin films leads to a large improvement of the in-field current carrying capability. For low deposition rates, BYNTO grows as well-oriented, densely distributed nanocolumns. We achieved a pinning force density of 25 GN/m3 at 77 K at a matching field of 2.3 T, which is among the highest values reported for YBCO. The anisotropy of the critical current density shows a complex behavior whereby additional maxima are developed at field dependent angles. This is caused by a matching effect of the magnetic fields c-axis component. The exponent N of the current-voltage characteristics (inversely proportional to the creep rate S) allows the depinning mechanism to be determined. It changes from a double-kink excitation below the matching field to pinning-potential-determined creep above it. PMID:26887291

  2. Large pinning forces and matching effects in YBa2Cu3O7-δ thin films with Ba2Y(Nb/Ta)O6 nano-precipitates

    NASA Astrophysics Data System (ADS)

    Opherden, Lars; Sieger, Max; Pahlke, Patrick; Hühne, Ruben; Schultz, Ludwig; Meledin, Alexander; van Tendeloo, Gustaaf; Nast, Rainer; Holzapfel, Bernhard; Bianchetti, Marco; MacManus-Driscoll, Judith L.; Hänisch, Jens

    2016-02-01

    The addition of mixed double perovskite Ba2Y(Nb/Ta)O6 (BYNTO) to YBa2Cu3O7-δ (YBCO) thin films leads to a large improvement of the in-field current carrying capability. For low deposition rates, BYNTO grows as well-oriented, densely distributed nanocolumns. We achieved a pinning force density of 25 GN/m3 at 77 K at a matching field of 2.3 T, which is among the highest values reported for YBCO. The anisotropy of the critical current density shows a complex behavior whereby additional maxima are developed at field dependent angles. This is caused by a matching effect of the magnetic fields c-axis component. The exponent N of the current-voltage characteristics (inversely proportional to the creep rate S) allows the depinning mechanism to be determined. It changes from a double-kink excitation below the matching field to pinning-potential-determined creep above it.

  3. Processing And Patterning Of Thin Film Superconductors Formed By Metallo-Organic Deposition

    NASA Astrophysics Data System (ADS)

    Micheli, Adolph L.; Mantese, Joseph V.; Hamdi, Aboud H.

    1990-04-01

    Thin film superconductors of Y-Ba-Cu and Yb-Ba-Cu were formed by the pyrolysis of neodecanoate solutions of Y, Yb, Ba and Cu which had been deposited onto <100> SrTiO3 substrates [1]. Rapid thermal annealing, in oxygen, of the as-deposited films produced high T films having superconducting onset temperatures above 90 K and zero resistance at 8g K. Scanning Electron Microscopy (SEM) revealed enhancements in grain growth, compared to furnace annealed films, by a factor of 4. X-ray diffraction analysis showed preferred epitaxial grain growth with the c-axis of the films oriented both perpendicular and parallel to the substrate surface. Separate Rutherford Backscattering Spectrometry (RBS) channeling experiments confirmed the formation of preferred epitaxial grain growth. Film composition was determined by RBS and Inductively Coupled Plasma Emission Spectrometry (ICPES). Selective patterning was accomplished by focused beam exposure of the metal neodecanoate films [2-4]. The exposure rendered the neodecanoate film locally insoluble in xylene, thus permitting selective area patterning prior to pyrolysis. Electron, ion and laser beams were used to pattern films on <100> SrTiO3. The finest lines, approximately 5 #m in width and 26 nm thick, were patterned using electron beams whose lines had superconducting onsets above 90 K and zero resistance at 69 K after rapid thermal annealing. Both ion beam and laser patterning had similar superconducting onsets and zero resistance. Neodecanoates of Y, Yb, Ba, and Cu were formed, as previously described [5], by reacting the metal acetates of these materials with either ammonium neodecanoate or tetramethyl ammonium neodecanoate. The carboxylates formed from these reactions were then dissolved in a solution of xylene and pyridine. The individual chemical constituents were combined to produce solutions, Ln:Ba:Cu, in the ratio 1:2:4. Here, Ln is a rare-earth element. Details of the preparation of the metal carboxylates may be found

  4. Deposition of adherent Ag-Ti duplex films on ceramics in a multiple-cathode sputter deposition system

    NASA Technical Reports Server (NTRS)

    Honecy, Frank S.

    1992-01-01

    The adhesion of Ag films deposited on oxide ceramics can be increased by first depositing intermediate films of active metals such as Ti. Such duplex coatings can be fabricated in a widely used three target sputter deposition system. It is shown here that the beneficial effect of the intermediate Ti film can be defeated by commonly used in situ target and substrate sputter cleaning procedures which result in Ag under the Ti. Auger electron spectroscopy and wear testing of the coatings are used to develop a cleaning strategy resulting in an adherent film system.

  5. Structural and Electromagnetic Properties of Ni-Mn-Ga Thin Films Deposited on Si Substrates

    NASA Astrophysics Data System (ADS)

    Pereira, M. J.; Lourenço, A. A. C. S.; Amaral, V. S.

    2014-07-01

    Ni2MnGa thin films raise great interest due to their properties, which provide them with strong potential for technological applications. Ni2MnGa thin films were prepared by r.f. sputtering deposition on Si substrates at low temperature (400 ºC). Film thicknesses in the range 10-120 nm were obtained. A study of the structural, magnetic and electrical properties of the films is presented. We find that the deposited films show some degree of crystallinity, with coexisting cubic and tetragonal structural phases, the first one being preponderant over the latter, particularly in the thinner films. The films possess soft magnetic properties and their coercivity is thickness dependent in the range 15-200 Oe at 300K. Electrical resistivity measurements signal the structural transition and suggest the occurrence of avalanche and return-point memory effects, in temperature cycling through the magnetic/structural transition range.

  6. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  7. Ultra-Smooth As-Deposited Optical Films

    DTIC Science & Technology

    2004-03-31

    reduction. • Conformal Coatings . Unlike other PVD processes which are strictly line-of- sight, PLASMION’s NMIBD has demonstrated the ability to create...a few days (for in-line coating machine, or roll-to-roll machine). In our project we use the box chamber and deposition materials: SiO2 and Ta2O5 ...are widely used for optical applications; these may be roughly divided into low and high technology categories. Low technology coatings (a relative

  8. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  9. Nucleation and growth of dielectric films on III-V semiconductors during atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Granados Alpizar, Bernal

    In order to continue with metal-oxide-semiconductors (CMOS) transistor scaling and to reduce the power density, the channel should be replaced with a material having a higher electron mobility, such as a III-V semiconductor. However, the integration of III-V's is a challenge because these materials oxidize rapidly when exposed to air and the native oxide produced is characterized by a high density of defects. Deposition of high-k materials on III-V semiconductors using Atomic Layer Deposition (ALD) reduces the thickness of these oxides, improving the semiconductor/oxide interface quality and the transistor electrical characteristics. In this work, ALD is used to deposit two dielectrics, Al 2O3 and TiO2, on two III-V materials, GaAs and InGaAs, and in-situ X-ray photoelectron spectroscopy (XPS) and in-situ thermal programmed desorption (TPD) are used for interface characterization. Hydrofluoric acid (HF) etching of GaAs(100) and brief reoxidation in air produces a 9.0 ±1.6 Å-thick oxide overlayer containing 86% As oxides. The oxides are removed by 1 s pulses of trimethylaluminum (TMA) or TiCl4. TMA removes the oxide overlayer while depositing a 7.5 ± 1.6 Å thick aluminum oxide. The reaction follows a ligand exchange mechanism producing nonvolatile Al-O species that remain on the surface. TiCl4 exposure removes the oxide overlayer in the temperature range 89°C to 300°C, depositing approximately 0.04 monolayer of titanium oxide for deposition temperatures from 89°C to 135°C, but no titanium oxide is present from 170 °C to 230 °C. TiCl4 forms a volatile oxychloride product and removes O from the surface while leaving Cl atoms adsorbed to an elemental As layer, chemically passivating the surface. The native oxide of In0.53Ga0.47As(100) is removed using liquid HF and gas phase HF before deposition of Al2O3 using TMA and H2O at 170 °C. An aluminium oxide film with a thickness of 7.2 ± 1.2 Å and 7.3 ± 1.2 Å is deposited during the first pulse of TMA on

  10. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  11. Studies of mist deposition for the formation of quantum dot CdSe films

    NASA Astrophysics Data System (ADS)

    Price, S. C.; Shanmugasundaram, K.; Ramani, S.; Zhu, T.; Zhang, F.; Xu, J.; Mohney, S. E.; Zhang, Q.; Kshirsagar, A.; Ruzyllo, J.

    2009-10-01

    Films of CdSe(ZnS) colloidal nanocrystalline quantum dots (NQDs) were deposited on bare silicon, glass and polymer coated silicon using mist deposition. This effort is a part of an exploratory investigation in which this deposition technique is studied for the first time as a method to form semiconductor NQD films. The process parameters, including deposition time, solution concentration and electric field, were varied to change the thickness of the deposited film. Blanket films and films deposited through a shadow mask were created to investigate the method's ability to pattern films during the deposition process. The differences between these deposition modes in terms of film morphology were observed. Overall, the results show that mist deposition of quantum dots is a viable method for creating thin, patterned quantum dot films using colloidal solution as the precursor. It is concluded that this technique shows very good promise for quantum dot (light emitting diode, LED) fabrication.

  12. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  13. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1985-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter depoairion are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq. cm. resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x to to the -6/ohm. cm. for 300 angstrom film to 2.56 x 10 to the -1/ohm. cm. for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  14. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  15. Homogeneous crystalline FeSi2 films of c (4 × 8) phase grown on Si (111) by reactive deposition epitaxy.

    PubMed

    Zou, Zhi-Qiang; Sun, Li-Min; Shi, Gao-Ming; Liu, Xiao-Yong; Li, Xu

    2013-12-05

    The growth of iron silicides on Si (111) using reactive deposition epitaxy method was studied by scanning tunneling microscopy and X-ray photoelectron spectroscopy (XPS). Instead of the mixture of different silicide phases, a homogeneous crystalline film of c (4 × 8) phase was formed on the Si (111) surface at approximately 750°C. Scanning tunneling spectra show that the film exhibits a semiconducting character with a band gap of approximately 0.85 eV. Compared with elemental Fe, the Fe 2p peaks of the film exhibit a lower spin-orbit splitting (-0.3 eV) and the Fe 2p3/2 level has a smaller full-width at half maximum (-0.6 eV) and a higher binding energy (+0.3 eV). Quantitative XPS analysis shows that the c (4 × 8) phase is in the FeSi2 stoichiometry regime. The c (4 × 8) pattern could result from the ordered arrangement of defects of Fe vacancies in the buried Fe layers.

  16. Homogeneous crystalline FeSi2 films of c (4 × 8) phase grown on Si (111) by reactive deposition epitaxy

    PubMed Central

    2013-01-01

    The growth of iron silicides on Si (111) using reactive deposition epitaxy method was studied by scanning tunneling microscopy and X-ray photoelectron spectroscopy (XPS). Instead of the mixture of different silicide phases, a homogeneous crystalline film of c (4 × 8) phase was formed on the Si (111) surface at approximately 750°C. Scanning tunneling spectra show that the film exhibits a semiconducting character with a band gap of approximately 0.85 eV. Compared with elemental Fe, the Fe 2p peaks of the film exhibit a lower spin-orbit splitting (−0.3 eV) and the Fe 2p3/2 level has a smaller full-width at half maximum (−0.6 eV) and a higher binding energy (+0.3 eV). Quantitative XPS analysis shows that the c (4 × 8) phase is in the FeSi2 stoichiometry regime. The c (4 × 8) pattern could result from the ordered arrangement of defects of Fe vacancies in the buried Fe layers. PMID:24305438

  17. Decomposed Fragment Identification in C_8F_18 RF Plasma for a-C:F Film Production

    NASA Astrophysics Data System (ADS)

    Sakai, Yosuke; Tazawa, Shota; Bratescu, Maria; Suda, Yoshiyuki; Sugawara, Hirotake

    2004-09-01

    Amorphous fluorocarbon polymer (a-C:F) film shows excellent insulation properties such as low dielectric constant (<2.5), high dielectric strength (>2 MV/cm), low surface energy, and chemical inertness. Therefore, we have studied this film for a purpose of an additional insulator to enhance the breakdown voltage in an alternative to a SF6 gas insulation system. The films are prepared using a C_8F_18 vapor RF plasma. When per-fluorocarbon, such as C_8F_18 as source gases, then the deposition rate becomes roughly two orders of magnitude higher than that obtained from conventional low molecular-weight source monomers (CF_4, C_2F_6, C_3F_6, and C_4F_8) [1]. The breakdown voltage (V_s) of N_2, Ar and He gases between the a-C:F film coated Al sphere-sphere electrodes for a gas pressure (p) times gap length (d), pd=0.1-100 Torr¥cm, was studied as well. Then, Vs between the a-C:F film coated electrodes was a several times higher than that between the Al electrodes in the present pd range[2]. In this work, the decomposed species of C_8F_18 in the plasma were identified using emission spectra from the plasma and Quadra-pole mass spectrograph, and the reason why the high deposition rate was obtained was discussed. The physical and chemical properties of a-C:F film was analyzed. [1] C.P.Lungu, et.al., Jpn. J. Appl. Phys. 38 (12B) L1544 - L1546 (1999) [2] C.Biloiu, et.al., Jpn. J. Appl. Phys. 42 (2B) L 201- L203 (2003) Work supported by Grant-in-Aid for Scientific Research (B), JSPS.

  18. Structural, morphological and optical properties of pulsed laser deposited ZnSe/ZnSeO3 thin films

    NASA Astrophysics Data System (ADS)

    Hassan, Syed Ali; Bashir, Shazia; Zehra, Khushboo; Salman Ahmed, Qazi

    2018-04-01

    The effect of varying laser pulses on structural, morphological and optical behavior of Pulsed Laser Deposited (PLD) ZnSe/ZnSeO3 thin films has been investigated. The films were grown by employing Excimer laser (100 mJ, 248 nm, 18 ns, 30 Hz) at various number of laser pulses i.e. 3000, 4000, 5000 and 6000 with elevated substrate temperature of 300 °C. One film was grown at Room Temperature (RT) by employing 3000 number of laser pulses. In order to investigate the structural analysis of deposited films, XRD analysis was performed. It was observed that the room temperature is not favorable for the growth of crystalline film. However, elevated substrate temperature to 300°C, two phases with preferred orientation of ZnSeO3 (2 1 2) and ZnSe (3 3 1) were identified. AFM and SEM analysis were performed to explore the surface morphology of grown films. Morphological analysis also confirmed the non-uniform film growth at room temperature. At elevated substrate temperature (300 °C), the growth of dendritic rods and cubical crystalline structures are observed for lower number of laser pulses i.e. 3000 and 4000 respectively. With increased number of pulses i.e. 5000 and 6000, the films surface morphology becomes smooth which is confirmed by measurement of surface RMS roughness. Number of grains, skewness, kurtosis and other parameters have been evaluated by statistical analysis. In order to investigate the thickness, and optical properties of deposited films, ellipsometery and UV–Vis spectroscopy techniques were employed. The estimated band gap energy is 2.67 eV for the film grown at RT, whereas band gap values varies from 2.80 eV to 3.01 eV for the films grown at 300 °C with increasing number of laser pulses.

  19. Effect of non-vacuum thermal annealing on high indium content InGaN films deposited by pulsed laser deposition.

    PubMed

    Wang, Tzu-Yu; Ou, Sin-Liang; Shen, Kun-Ching; Wuu, Dong-Sing

    2013-03-25

    InGaN films with 33% and 60% indium contents were deposited by pulsed laser deposition (PLD) at a low growth temperature of 300 °C. The films were then annealed at 500-800 °C in the non-vacuum furnace for 15 min with an addition of N(2) atmosphere. X-ray diffraction results indicate that the indium contents in these two films were raised to 41% and 63%, respectively, after annealing in furnace. In(2)O(3) phase was formed on InGaN surface during the annealing process, which can be clearly observed by the measurements of auger electron spectroscopy, transmission electron microscopy and x-ray photoelectron spectroscopy. Due to the obstruction of indium out-diffusion by forming In(2)O(3) on surface, it leads to the efficient increment in indium content of InGaN layer. In addition, the surface roughness was greatly improved by removing In(2)O(3) with the etching treatment in HCl solution. Micro-photoluminescence measurement was performed to analyze the emission property of InGaN layer. For the as-grown InGaN with 33% indium content, the emission wavelength was gradually shifted from 552 to 618 nm with increasing the annealing temperature to 800 °C. It reveals the InGaN films have high potential in optoelectronic applications.

  20. 90° switching of polarization in La3+-doped SrBi2Ta2O9 thin films

    NASA Astrophysics Data System (ADS)

    Liu, J. S.; Zhang, S. R.; Zeng, H. Z.; Fei, W. D.; Du, S. Y.

    2006-05-01

    The crystal structure and polarization switching behavior of SrBi1.4La0.6Ta2O9 (SBLT) thin films have been studied by x-ray diffraction and piezoresponse force microscopy (PFM), respectively. Compared with SrBi2Ta2O9 (SBT), SBLT thin films show a reduced orthorhombic distortion. The polarization rotation of SBLT thin film, which is driven by negative and positive direct current (dc) biases, has been investigated by a combination of vertical and lateral PFM (VPFM and LPFM, respectively). After dc bias applications, the VPFM image is hardly changed, whereas the LPFM image experiences an obvious variation. It is believed that such difference is caused by 90° polarization switching. However, this kind of switching can be only realized by the exchange of a axis and b axis. By virtue of the reduced orthorhombic distortion, the a-b exchange in SBLT is easier than that in SBT. Unfortunately, stress is created due to the 90° polarization switching in SBLT thin films. The internal stress is found to increase with the repeated switching cycles, and so the polarization reorientation in SBLT is constrained. Thus, the fatigue resistance of SBLT thin films is not thought to be as good as that of SBT.

  1. Deposition of Nanostructured CdS Thin Films by Thermal Evaporation Method: Effect of Substrate Temperature

    PubMed Central

    Memarian, Nafiseh; Rozati, Seyeed Mohammad; Concina, Isabella

    2017-01-01

    Nanocrystalline CdS thin films were grown on glass substrates by a thermal evaporation method in a vacuum of about 2 × 10−5 Torr at substrate temperatures ranging between 25 °C and 250 °C. The physical properties of the layers were analyzed by transmittance spectra, XRD, SEM, and four-point probe measurements, and exhibited strong dependence on substrate temperature. The XRD patterns of the films indicated the presence of single-phase hexagonal CdS with (002) orientation. The structural parameters of CdS thin films (namely crystallite size, number of grains per unit area, dislocation density and the strain of the deposited films) were also calculated. The resistivity of the as-deposited films were found to vary in the range 3.11–2.2 × 104 Ω·cm, depending on the substrate temperature. The low resistivity with reasonable transmittance suggest that this is a reliable way to fine-tune the functional properties of CdS films according to the specific application. PMID:28773133

  2. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  3. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  4. Ultrashort pulse laser deposition of thin films

    DOEpatents

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  5. Multifunctional Parylene-C Microfibrous Thin Films

    NASA Astrophysics Data System (ADS)

    Chindam, Chandraprakash

    Towards sustainable development, multifunctional products have many advantageous over single-function products: reduction in number of parts, raw material, assembly time, and cost involved in a product's life cycle. My goal for this thesis was to demonstrate the multifunctionalities of Parylene-C microfibrous thin films. To achieve this goal, I chose Parylene C, a polymer, because the fabrication of periodic mediums of Parylene C in the form of microfibrous thin films (muFTFs) was already established. A muFTFs is a parallel arrangement of identical micrometer-sized fibers of shapes cylindrical, chevronic, or helical. Furthermore, Parylene C had three existing functions: in medical-device industries as corrosion-resistive coatings, in electronic industries as electrically insulating coatings, and in biomedical research for tissue-culture substrates. As the functionalities of a material are dependent on the microstructure and physical properties, the investigation made for this thesis was two-fold: (1) Experimentally, I determined the wetting, mechanical, and dielectric properties of columnar muFTFs and examined the microstructural and molecular differences between bulk films and muFTFs. (2) Using physical properties of bulk film, I computationally determined the elastodynamic and determined the electromagnetic filtering capabilities of Parylene-C muFTFs. Several columnar muFTFs of Parylene C were fabricated by varying the monomer deposition angle. Following are the significant experimental findings: 1. Molecular and microstructural characteristics: The dependence of the microfiber inclination angle on the monomer deposition angle was classified into four regimes of two different types. X-ray diffraction experiments indicated that the columnar muFTFs contain three crystal planes not evident in bulk Parylene-C films and that the columnar muFTFs are less crystalline than bulk films. Infrared absorbance spectra revealed that the atomic bonding is the same in all

  6. Recrystallization behavior and thermal shock resistance of the W-1.0 wt% TaC alloy

    NASA Astrophysics Data System (ADS)

    Xie, Z. M.; Miao, S.; Zhang, T.; Liu, R.; Wang, X. P.; Fang, Q. F.; Hao, T.; Zhuang, Z.; Liu, C. S.; Lian, Y. Y.; Liu, X.; Cai, L. H.

    2018-04-01

    The high-temperature stability and good mechanical strength of tungsten (W) alloys are highly desirable for a wide range of fusion applications, which can be achieved by dispersion strengthening. In this paper, TaC dispersion effects on the thermal stabilities, tensile properties and thermal shock resistances have been investigated. A hot-rolled W-1.0 wt% TaC plate has been fabricated which contains the high tensile strength and elongation. Nanosized particles in the W matrix improve the recrystallization temperature to about 1400 °C and the ultimate tensile strength to 571 MPa at 500 °C through hindering grain boundary migration, pinning dislocations and refining grains. The effects of edge-localized mode like transient heat events on the rolled and recrystallized W-1.0 wt% TaC alloys were investigated systematically. The cracking threshold (100 shots) at room temperature is in the range of 0.33-0.44 GW/m2 for the rolled W-1.0 wt% TaC. Recrystallization degrades mechanical strength and makes the material more prone to thermal shock damages. Coarse Ta2O5 and Ta-Cx-Oy particles are easy to fracture and introduce a preferential crack initiation in W matrix during cyclic heat loads.

  7. FAST TRACK COMMUNICATION Understanding adhesion at as-deposited interfaces from ab initio thermodynamics of deposition growth: thin-film alumina on titanium carbide

    NASA Astrophysics Data System (ADS)

    Rohrer, Jochen; Hyldgaard, Per

    2010-12-01

    We investigate the chemical composition and adhesion of chemical vapour deposited thin-film alumina on TiC using and extending a recently proposed nonequilibrium method of ab initio thermodynamics of deposition growth (AIT-DG) (Rohrer and Hyldgaard 2010 Phys. Rev. B 82 045415). A previous study of this system (Rohrer et al 2010 J. Phys.: Condens. Matter 22 015004) found that use of equilibrium thermodynamics leads to predictions of a non-binding TiC/alumina interface, despite its industrial use as a wear-resistant coating. This discrepancy between equilibrium theory and experiment is resolved by the AIT-DG method which predicts interfaces with strong adhesion. The AIT-DG method combines density functional theory calculations, rate-equation modelling of the pressure evolution of the deposition environment and thermochemical data. The AIT-DG method was previously used to predict prevalent terminations of growing or as-deposited surfaces of binary materials. Here we extend the method to predict surface and interface compositions of growing or as-deposited thin films on a substrate and find that inclusion of the nonequilibrium deposition environment has important implications for the nature of buried interfaces.

  8. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  9. Influence of temperature on the CuIn1-xGaxSe2films deposited by picosecond laser ablation

    NASA Astrophysics Data System (ADS)

    Sima, Cornelia; Toma, Ovidiu

    2017-12-01

    The goal of this study is to investigate the influence of the deposition temperature on the CuIn1-xGaxSe2 (CIGS-copper indium gallium diselenide) film characteristics deposited by picosecond laser ablation method using a Nd:YVO4 laser (8 ps, 0.2 W, 50 kHz, 532 nm; 5.7 mJ/cm2; 36 × 107 pulses). The films were deposited starting from a CuIn0.7Ga0.3Se2 target, in vacuum at 3 × 10-5 Torr for 2 h, at room temperature (RT) and 100/200/300/400 °C substrate temperature; as substrate, optical glass was used. Structure, film morphology, composition and optical properties were investigated by X ray diffraction, scanning electron microscopy (energy dispersive X ray spectroscopy), spectroscopic ellipsometry and optical spectrophotometry. CIGS crystalline films have the dominant peak corresponding to (112) direction more pronounced starting with 200 °C deposition temperature. The thickness gradually decreased with temperature increasing, being 1.44 μm at RT and 0.72 μm at 400 °C; atomic composition in the case of In, Ga, Se increased after annealing, while in the case of Cu it decreased comparing with RT; refractive indices exhibited a short decreasing tendency by increasing the deposition temperature, while the optical band gap values for CuIn0.7Ga0.3Se2 laser ablated thin films increased.

  10. Recent progress of obliquely deposited thin films for industrial applications

    NASA Astrophysics Data System (ADS)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  11. Formation of nanocrystalline diamond in polymer like carbon films deposited by plasma CVD.

    PubMed

    Bhaduri, A; Chaudhuri, P

    2009-09-01

    Conventional plasma enhanced chemical vapour deposition (PECVD) method is generally not suitable for the growth of nanocrystalline diamond (NCD) films. However, our study shows that conditions favourable for powder formation help to grow large amount of nanocrystallites in conventional PECVD. With CH4 as the carbon source gas, dilution with Ar and moderate (50 W) rf power enhances formations of powders (nanoparticles) and C2 dimers within the plasma. On the other hand, with pure CH4 or with hydrogen diluted CH4, powder formation as also NCD growth is hindered. It is proposed that the nanoparticles formed in the plasma act as the "islands" while the C2 dimers are the "seeds" for the NCD growth. The structure of the films deposited on the grounded anode under different conditions of dilution has been studied. It is observed that with high Ar dilution the films contain NCD embedded in polymer like carbon (PLC) matrix.

  12. The Mechanical Properties of Energetically Deposited Non-Crystalline Carbon Thin Films

    DOE PAGES

    Kracica, M.; Kocer, C.; Lau, D.; ...

    2015-11-05

    The mechanical behaviour of carbon films prepared with a variety of densities and microstructures was investigated using nanoindentation. Deposition energies between 25 and 600 eV and temperatures in the range 25-600 °C were used. Films prepared at low temperatures and moderate energy were amorphous with a high density. Finite element methods were used to model the stress fields, reproduce the indentation behaviour and evaluate elastic properties. Young s moduli up to 670 GPa and a low Poisson s ratio of ~ 0.17 were found, comparable to polycrystalline cubic boron nitride, one of the hardest materials known. Films with the samemore » density did not always show the same behaviour, emphasising the role of microstructure in determining mechanical response. Extended graphite- like regions within the films grown at high energy and high temperature observed in transmission electron microscopy caused plastic deformation and failure to recover after a complete indentation cycle. At low deposition energies, the graphite-like regions were smaller in size causing plastic deformation but with complete recovery after indentation.« less

  13. Characterization of Cu2ZnSnS4 thin films prepared by photo-chemical deposition

    NASA Astrophysics Data System (ADS)

    Moriya, Katsuhiko; Watabe, Jyunichi; Tanaka, Kunihiko; Uchiki, Hisao

    2006-09-01

    Cu2ZnSnS4 (CZTS) thin films were prepared by post-annealing films of metal sulfides of Cu2S, ZnS and SnS2 precursors deposited on soda-lime glass substrates by photo-chemical deposition (PCD) from aqueous solution containing CuSO4, ZnSO4, SnSO4 and Na2S2O3. In this study, sulfurization was employed to prepare high quality CZTS thin films. Deposited films of metal sulfides were annealed in a furnace in an atmosphere of N2 or N2+H2S(5%) at the temperature of 300°, 400° or 500 °C. The sulfured films showed X-ray diffraction peaks from (112), (220), and (312) planes of CZTS and the peaks became sharp by an increase in the sulfurization temperature. CZTS thin film annealed in atmosphere of N2 was S-poor. After annealing atmosphere was changed from N2 into N2+H2S(5%), the decrease of a composi- tional ratio of sulfur could be suppressed.

  14. D.C. Arcjet Diamond Deposition

    NASA Astrophysics Data System (ADS)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  15. Tiered deposition of sub-5 nm ferroelectric Hf1-xZrxO2 films on metal and semiconductor substrates

    NASA Astrophysics Data System (ADS)

    Walters, Glen; Shekhawat, Aniruddh; Rudawski, Nicholas G.; Moghaddam, Saeed; Nishida, Toshikazu

    2018-05-01

    Using a tiered deposition approach, Hf1-xZrxO2 (HZO) films with varying atomic layer deposition (ALD) cycles from 36 to 52 cycles were grown on Ge, Ir, and TiN substrates in single runs and annealed at 500 °C. 40 ALD cycle films grown on Ir exhibit a switched polarization (Psw) of 13 μC/cm2, while those grown on Ge and TiN did not exhibit measurable Psw values until 44 and 52 ALD cycles, respectively. High-resolution cross-sectional transmission electron microscopy confirmed these results; the ferroelectric films are crystalline with defined lattice fringes, while non-ferroelectric films remain amorphous. 52 ALD cycle 1:1 HZO grown on Ge had the highest Psw of all the films fabricated at 39 μC/cm2, while the 1:1 HZO grown on TiN displayed continuous wake-up and no fatigue up to 1010 cycles with the Psw increasing from <1 μC/cm2 to 21 μC/cm2.

  16. Phase Composition of Samarium Niobate and Tantalate Thin Films Prepared by Sol-Gel Method

    NASA Astrophysics Data System (ADS)

    Bruncková, H.; Medvecký, Ľ.; Múdra, E.; Kovalčiková, A.; Ďurišin, J.; Šebek, M.; Girman, V.

    2017-12-01

    Samarium niobate SmNbO4 (SNO) and tantalate SmTaO4 (STO) thin films ( 100 nm) were prepared by sol-gel/spin-coating process on alumina substrates with PZT interlayer and annealing at 1000°C. The precursors of films were synthesized using Nb or Ta tartrate complexes. The improvement of the crystallinity of monoclinic M'-SmTaO4 phase via heating was observed through the coexistence of small amounts of tetragonal T-SmTa7O19 phase in STO precursor at 1000°C. The XRD results of SNO and STO films confirmed monoclinic M-SmNbO4 and M'-SmTaO4 phases, respectively, with traces of orthorhombic O-SmNbO4 (in SNO). In STO film, the single monoclinic M'-SmTaO4 phase was revealed. The surface morphology and topography of thin films were investigated by SEM and AFM analysis. STO film was smoother with roughness 3.2 nm in comparison with SNO (6.3 nm). In the microstructure of SNO film, small spherical ( 50 nm) and larger cuboidal particles ( 100 nm) of the SmNbO4 phase were observed. In STO, compact clusters composed of fine spherical SmTaO4 particles ( 20-50 nm) were found. Effect of samarium can contribute to the formation different polymorphs of these films for the application to environmental electrolytic thin film devices.

  17. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  18. Perpendicularly oriented barium ferrite thin films with low microwave loss, prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Da-Ming, Chen; Yuan-Xun, Li; Li-Kun, Han; Chao, Long; Huai-Wu, Zhang

    2016-06-01

    Barium ferrite (BaM) thin films are deposited on platinum coated silicon wafers by pulsed laser deposition (PLD). The effects of deposition substrate temperature on the microstructure, magnetic and microwave properties of BaM thin films are investigated in detail. It is found that microstructure, magnetic and microwave properties of BaM thin film are very sensitive to deposition substrate temperature, and excellent BaM thin film is obtained when deposition temperature is 910 °C and oxygen pressure is 300 mTorr (1 Torr = 1.3332 × 102 Pa). X-ray diffraction patterns and atomic force microscopy images show that the best thin film has perpendicular orientation and hexagonal morphology, and the crystallographic alignment degree can be calculated to be 0.94. Hysteresis loops reveal that the squareness ratio (M r/M s) is as high as 0.93, the saturated magnetization is 4004 Gs (1 Gs = 104 T), and the anisotropy field is 16.5 kOe (1 Oe = 79.5775 A·m-1). Ferromagnetic resonance measurements reveal that the gyromagnetic ratio is 2.8 GHz/kOe, and the ferromagnetic resonance linewith is 108 Oe at 50 GHz, which means that this thin film has low microwave loss. These properties make the BaM thin films have potential applications in microwave devices. Project supported by the Open Foundation of State Key Laboratory of Electronic Thin Films and Integrated Devices (Grant No. KFJJ201506), the Scientific Research Starting Foundation of Hainan University (Grant No. kyqd1539), and the Natural Science Foundation of Hainan Province (Grant No. 20165187).

  19. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  20. Thermoelectric prospects of chemically deposited PbSe and SnSe thin films

    NASA Astrophysics Data System (ADS)

    Nair, P. K.; Martínez, Ana Karen; Rosa García Angelmo, Ana; Barrios Salgado, Enue; Nair, M. T. S.

    2018-03-01

    Thin films of PbSe of 400-600 nm in thickness, were obtained via chemical deposition from a solution containing lead nitrate, thiourea and selenosufate. SnSe thin films of 90-180 nm in thickness, were also obtained by chemical deposition from a solution containing selenosulfate. Optical and electrical properties of these thin films were significantly altered by heating them in selenium vapor at 300 °C. Thin film PbSe has a bandgap (Eg) of 1.17 eV (direct gap, forbidden transitions), which decreases to 0.77 eV when it has been heated. Its electrical conductivity (σ) is p-type: 0.18 Ω-1 cm-1 (as-prepared), and 6.4 Ω-1 cm-1 when heated. Thin film SnSe is of orthorhombic crystalline structure which remains stable when heated at 300 °C, but its Eg increases from 1.12 eV (indirect) in as-prepared film to 1.5 eV (direct, forbidden transitions) upon heating. Its electrical conductivity is p-type, which increases from 0.3 Ω-1 cm-1 (as-prepared) to 1 Ω-1 cm-1 when heated (without Se-vapor). When SnSe film is heated at 300 °C in the presence of Se-vapor, they transform to SnSe2, with Eg of 1.5 eV (direct, forbidden) with n-type electrical conductivity, 11 Ω-1 cm-1. The Seebeck coefficient for the PbSe films is: +0.55 mV K-1 (as prepared) and +0.275 mV K-1 (heated); for SnSe films it is: +0.3 mV K-1 (as prepared) and +0.20 mV K-1 (heated); and for SnSe2 film, - 0.35 mV K-1. A five-element PbSe-SnSe2-PbSe-SnSe2-PbSe thermoelectric device demonstrated 50 mV for a temperature difference ΔT = 20 °C (2.5 mV K-1). For SnSe-SnSe2-SnSe-SnSe2-SnSe device, the value is 15 mV for ΔT = 20 °C (0.75 mV K-1). Prospect of these thin films in thermoelectric devices of hybrid materials, in which the coatings may be applied on distinct substrate and geometries is attractive.

  1. Methods for making deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1982-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or larger planar surfaces.

  2. Iron films deposited on porous alumina substrates

    NASA Astrophysics Data System (ADS)

    Yamada, Yasuhiro; Tanabe, Kenichi; Nishida, Naoki; Kobayashi, Yoshio

    2016-12-01

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 - 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  3. Electro deposition of cuprous oxide for thin film solar cell applications

    NASA Astrophysics Data System (ADS)

    Shahrestani, Seyed Mohammad

    p and n type copper oxide semiconductor layers were fabricated by electrochemistry using new approaches for photovoltaic applications. Thin films were electroplated by cathodic polarization on a copper foil or indium tin oxide (ITO) substrates. The optimum deposition conditions (composition, pH and temperature of the electrolyte and applied potential) of the layers as thin films have been identified; in particular the conditions that allow getting the n-type layers have been well identified for the first time. The configuration of a photo - electrochemical cell was used to characterize the spectral response of the layers. It was shown that the p type layers exhibit a photocurrent in the cathode potential region and n layers exhibit photo current in the anode potential region. Measurements of electrical resistivity of electro chemically deposited layers of p and n type Cu2O, showed that the resistivity of p-type Cu2O varies from 3.2 x 105 to 2.0 x 108 Ocm. These values depend the electrodepositing conditions such as the pH of the solution, the deposition potential and temperature. The influence of several plating parameters of the p type layers of Cu2O, such as applied potential, pH and temperature of the bath on the chemical composition, degree of crystallinity, grain size and orientation parameters of the sample was systematically studied using X-ray diffraction and scanning electron microscopy. Depending of the electro-deposition potential, two different surface morphologies with various preferential crystal orientations were obtained for the temperatures of the electro-deposition of 30 °C and pH 9. For the same temperature, the layers of p type Cu2O of highly crystalline p type are obtained at pH 12, indicating that the crystallinity depends on the pH of the bath. Also, it has been shown that the morphology of Cu2O layers was changed by varying the potential and the duration of deposition, as well as the temperature of the solution. The conditions for the

  4. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    NASA Astrophysics Data System (ADS)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  5. Atomic layer deposition of Nb-doped ZnO for thin film transistors

    NASA Astrophysics Data System (ADS)

    Shaw, A.; Wrench, J. S.; Jin, J. D.; Whittles, T. J.; Mitrovic, I. Z.; Raja, M.; Dhanak, V. R.; Chalker, P. R.; Hall, S.

    2016-11-01

    We present physical and electrical characterization of niobium-doped zinc oxide (NbZnO) for thin film transistor (TFT) applications. The NbZnO films were deposited using atomic layer deposition. X-ray diffraction measurements indicate that the crystallinity of the NbZnO films reduces with an increase in the Nb content and lower deposition temperature. It was confirmed using X-ray photoelectron spectroscopy that Nb5+ is present within the NbZnO matrix. Furthermore, photoluminescence indicates that the band gap of the ZnO increases with a higher Nb content, which is explained by the Burstein-Moss effect. For TFT applications, a growth temperature of 175 °C for 3.8% NbZnO provided the best TFT characteristics with a saturation mobility of 7.9 cm2/Vs, the current On/Off ratio of 1 × 108, and the subthreshold swing of 0.34 V/decade. The transport is seen to follow a multiple-trap and release mechanism at lower gate voltages and percolation thereafter.

  6. Low temperature sputter-deposited ZnO films with enhanced Hall mobility using excimer laser post-processing

    NASA Astrophysics Data System (ADS)

    Tsakonas, C.; Kuznetsov, V. L.; Cranton, W. M.; Kalfagiannis, N.; Abusabee, K. M.; Koutsogeorgis, D. C.; Abeywickrama, N.; Edwards, P. P.

    2017-12-01

    We report the low temperature (T  <  70 °C) fabrication of ZnO thin films (~140 nm) with Hall mobility of up to 17.3 cm2 V-1 s-1 making them suitable for thin film transistor (TFT) applications. The films were deposited by rf magnetron sputtering at T  <  70 °C and subsequently laser processed in ambient temperature in order to modify the Hall mobility and carrier concentration. Medium-to-low energy laser radiation densities and a high number of pulses were used to avoid damaging the films. Laser annealing of the films after aging in the lab under 25%-35% relative humidity and at an average illuminance of 120 lux resulted in an overall higher mobility and relatively low carrier concentration in comparison to the non-aged films that were laser processed immediately after deposition. A maximum overall measured Hall mobility of 17.3 cm2 V-1 s-1 at a carrier density of 2.3  ×  1018 cm-3 was measured from a 1 GΩ as deposited and aged film after the laser treatment. We suggest that the aging of non-processed films reduces structural defects mainly at grain boundaries by air species chemisorption, with concomitant increase in thermal conductivity so that laser processing can have an enhancing effect. Such a processing combination can act synergistically and produce suitable active layers for TFT applications with low temperature processing requirements.

  7. Plasma-deposited amorphous silicon carbide films for micromachined fluidic channels

    NASA Astrophysics Data System (ADS)

    Wuu, Dong-Sing; Horng, Ray-Hua; Chan, Chia-Chi; Lee, Yih-Shing

    1999-04-01

    The stress properties of the a-SiC:H films on Si by plasma-enhanced chemical vapor deposition (PECVD) are investigated. It is found that the stability of the a-SiC:H films relates to Si-H bonds breaking and changes the stress toward tensile. No evident reduction in the content of Si-H bonds after thermal cycles was found in the carbon-rich samples. Moreover, a new method to fabricate microchannels by through-hole etching with subsequent planarization is proposed. The process is based on etching out the deep grooves through a perforated a-SiC:H membrane, where poly-Si is used as a sacrificial layer to define the channel structure, followed by PECVD sealing the SiC:H membrane. In order to improve the etching performance, the agitated KOH etch is performed at low temperatures (<50°C). The process technology is demonstrated on the fabrication of microfluidic channels with the low-stress (<0.1 GPa) a-SiC:H membranes.

  8. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    PubMed Central

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667

  9. Studies on morphology, electrical and optical characteristics of Al-doped ZnO thin films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Li; Chen, Xinliang; Zhou, Zhongxin; Guo, Sheng; Zhao, Ying; Zhang, Xiaodan

    2018-03-01

    Al doped ZnO (AZO) films deposited on glass substrates through the atomic layer deposition (ALD) technique are investigated with various temperatures from 100 to 250 °C and different Zn : Al cycle ratios from 20 : 0 to 20 : 3. Surface morphology, structure, optical and electrical properties of obtained AZO films are studied in detail. The Al composition of the AZO films is varied by controlling the ratio of Zn : Al. We achieve an excellent AZO thin film with a resistivity of 2.14 × 10‑3 Ω·cm and high optical transmittance deposited at 150 °C with 20 : 2 Zn : Al cycle ratio. This kind of AZO thin films exhibit great potential for optoelectronics device application. Project supported by the State Key Development Program for Basic Research of China (Nos. 2011CBA00706, 2011CBA00707) and the Tianjin Applied Basic Research Project and Cutting-Edge Technology Research Plan (No. 13JCZDJC26900).

  10. Anomalous bias-stress-induced unstable phenomena of InZnO thin-film transistors using Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Xu, Wangying; Dai, Mingzhi; Liang, Lingyan; Liu, Zhimin; Sun, Xilian; Wan, Qing; Cao, Hongtao

    2012-05-01

    InZnO thin-film transistors using high-κ Ta2O5 gate dielectric are presented and analysed. The large capacitance coupling effect of amorphous Ta2O5 results in fabricated devices with good electrical properties. However, an anomalous negative threshold voltage (Vth) shift under positive bias stress is observed. It is suggested that electron detrapping from the high-κ Ta2O5 dielectric to the gate electrode is responsible for this Vth shift, which is supported both by the logarithmical dependence of the Vth change on the duration of the bias stress and device simulation extracted trapped charges involved.

  11. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    NASA Astrophysics Data System (ADS)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  12. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    NASA Astrophysics Data System (ADS)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  13. Perovskite Oxide Thin Film Growth, Characterization, and Stability

    NASA Astrophysics Data System (ADS)

    Izumi, Andrew

    Studies into a class of materials known as complex oxides have evoked a great deal of interest due to their unique magnetic, ferroelectric, and superconducting properties. In particular, materials with the ABO3 perovskite structure have highly tunable properties because of the high stability of the structure, which allows for large scale doping and strain. This also allows for a large selection of A and B cations and valences, which can further modify the material's electronic structure. Additionally, deposition of these materials as thin films and superlattices through techniques such as pulsed laser deposition (PLD) results in novel properties due to the reduced dimensionality of the material. The novel properties of perovskite oxide heterostructures can be traced to a several sources, including chemical intermixing, strain and defect formation, and electronic reconstruction. The correlations between microstructure and physical properties must be investigated by examining the physical and electronic structure of perovskites in order to understand this class of materials. Some perovskites can undergo phase changes due to temperature, electrical fields, and magnetic fields. In this work we investigated Nd0.5Sr 0.5MnO3 (NSMO), which undergoes a first order magnetic and electronic transition at T=158K in bulk form. Above this temperature NSMO is a ferromagnetic metal, but transitions into an antiferromagnetic insulator as the temperature is decreased. This rapid transition has interesting potential in memory devices. However, when NSMO is deposited on (001)-oriented SrTiO 3 (STO) or (001)-oriented (LaAlO3)0.3-(Sr 2AlTaO6)0.7 (LSAT) substrates, this transition is lost. It has been reported in the literature that depositing NSMO on (110)-oriented STO allows for the transition to reemerge due to the partial epitaxial growth, where the NSMO film is strained along the [001] surface axis and partially relaxed along the [11¯0] surface axis. This allows the NSMO film enough

  14. Morphological evolution of nanocrystal metal-on-insulator films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Warrender, Jeffrey Michael

    Pulsed laser deposition (PLD) film growth differs from conventional thermal deposition in two essential ways: the depositing species arrive in short bursts of 10--100mus, and with 10--100 eV of kinetic energy. This thesis presents a comprehensive study of the influence of these separate characteristics of the PLD flux on film growth, with the goal of understanding what mechanisms and processes govern PLD morphology evolution. A theoretical description of the early stages of pulsed, non-energetic growth is presented, with the principal results being a discussion of the dimensionless parameters that must be controlled to achieve data collapse for a variety of conditions; the identification of at least four different island size distribution shapes, which characterize the growth mode being observed; and a rate equation formalism for pulsed deposition that gives excellent agreement with results from kinetic Monte Carlo (KMC) simulations. The model system of metal-on-insulator film growth has been studied extensively for thermal deposition, and is known to exhibit a characteristic morphological progression beginning with isolated three-dimensional islands and ending with a percolating, continuous film that conducts electrically. Two separate experimental investigations are reported for PLD growth of this system. In the fast, the details of the PLD pulse are held constant and the pulse frequency is varied; this amounts to varying the time-averaged deposition flux. Non-energetic KMC simulations, which take into account only the pulsed nature of the flux, predicted that, for the case where surface diffusion is very fast compared to the pulse frequency and the deposition rate, percolation thickness would scale with pulse frequency with an exponent of -0.34. Experiments performed at 93°C and 135°C gave scaling exponents of -0.31 and -0.34 respectively, in good agreement with the KMC prediction. The experiments also showed good data collapse when maintaining a constant

  15. Deposition of TiOxNy Thin Films with Various Nitrogen Flow Rate:. Growth Behavior and Structural Properties

    NASA Astrophysics Data System (ADS)

    Cho, S.-J.; Jung, C.-K.; Bae, I.-S.; Song, Y.-H.; Boo, J.-H.

    2011-06-01

    We have deposited TiOxNy thin films on Si(100) substrates at 500 °C using RF PECVD system. Titanium iso-propoxide was used as precursor with different nitrogen flow rate to control oxygen and nitrogen contents in the films. Changes of chemical states of constituent elements in the deposited films were examined by XPS analysis. The data showed that with increasing nitrogen flow rate, the total amounts of nitrogen and titanium were increased while that of oxygen was decreased, resulting in a binding energy shift toward high energy side. The characteristics of film growth orientation and structure as well as morphology change behavior were also analyzed by XRD, TED, FT-IR, TEM, and SEM. Deposition at higher nitrogen flow rate results in finer clusters with a nanograin size and more effective photocatalytic TiOxNy thin films with hydrophilic surface.

  16. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated thatmore » a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.« less

  17. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp; Micro System Integration Center; Isobe, Shigehito

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  18. Short review on chemical bath deposition of thin film and characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  19. Nanoscale deformation mechanism of TiC/a-C nanocomposite thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, C. Q.; Pei, Y. T.; Shaha, K. P.

    2009-06-01

    This paper concentrates on the deformation behavior of amorphous diamondlike carbon composite materials. Combined nanoindentation and ex situ cross-sectional transmission electron microscopy investigations are carried out on TiC/a-C nanocomposite films, with and without multilayered structures deposited by pulse dc magnetron sputtering. It is shown that by controlling the distribution of nanocrystallites forming nanoscale multilayers, the system can be used as a 'microstructural ruler' that is able to distinguish various deformation patterns, which can be hardly detected otherwise in a homogeneous structure. It is shown that rearrangement of nanocrystallites and displacement of a-C matrix occur at length scales from tens ofmore » nanometer down to 1 nm. At submicrometer scale homogeneous nucleation of multiple shear bands has been observed within the nanocomposites. The multilayered structure in the TiC/a-C nanocomposite film contributes to an enhanced toughness.« less

  20. Enhancement of Ti-containing hydrogenated carbon (Tisbnd C:H) films by high-power plasma-sputtering

    NASA Astrophysics Data System (ADS)

    Gwo, Jyh; Chu, Chun-Lin; Tsai, Ming-Jui; Lee, Shyong

    2012-02-01

    Ti-containing amorphous hydrogenated carbon (Tisbnd C:H) thin films were deposited on stainless steel SS304 substrates by high-power pulsed magnetron sputtering (HPPMS) in an atmosphere of mixed Ar and C2H2 gases using titanium metal as the cathodic material. The multilayer structure of the deposited film had a Tisbnd TiCsbnd DLC gradient to improve adhesion and reduce residual stress. This study investigates the effects of substrate bias and target-to-substrate distance on the mechanical properties of Tisbnd C:H films. Film properties, including composition, morphology, microstructure, mechanical, and tribology, were examined by glow discharge spectroscopy (GDS), scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, and a nanoindenter and a pin-on-disk tribometer. Experiments revealed impressive results.

  1. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1993-01-01

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures.

  2. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1993-10-26

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures. 5 figures.

  3. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    NASA Astrophysics Data System (ADS)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  4. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  5. Evidence for Kinetic Limitations as a Controlling Factor of Ge Pyramid Formation: a Study of Structural Features of Ge/Si(001) Wetting Layer Formed by Ge Deposition at Room Temperature Followed by Annealing at 600 °C

    NASA Astrophysics Data System (ADS)

    Storozhevykh, Mikhail S.; Arapkina, Larisa V.; Yuryev, Vladimir A.

    2015-07-01

    The article presents an experimental study of an issue of whether the formation of arrays of Ge quantum dots on the Si(001) surface is an equilibrium process or it is kinetically controlled. We deposited Ge on Si(001) at the room temperature and explored crystallization of the disordered Ge film as a result of annealing at 600 °C. The experiment has demonstrated that the Ge/Si(001) film formed in the conditions of an isolated system consists of the standard patched wetting layer and large droplike clusters of Ge rather than of huts or domes which appear when a film is grown in a flux of Ge atoms arriving on its surface. We conclude that the growth of the pyramids appearing at temperatures greater than 600 °C is controlled by kinetics rather than thermodynamic equilibrium whereas the wetting layer is an equilibrium structure. PACS: Primary 68.37.Ef; 68.55.Ac; 68.65.Hb; 81.07.Ta; 81.16.Dn

  6. Evidence for Kinetic Limitations as a Controlling Factor of Ge Pyramid Formation: a Study of Structural Features of Ge/Si(001) Wetting Layer Formed by Ge Deposition at Room Temperature Followed by Annealing at 600 °C.

    PubMed

    Storozhevykh, Mikhail S; Arapkina, Larisa V; Yuryev, Vladimir A

    2015-12-01

    The article presents an experimental study of an issue of whether the formation of arrays of Ge quantum dots on the Si(001) surface is an equilibrium process or it is kinetically controlled. We deposited Ge on Si(001) at the room temperature and explored crystallization of the disordered Ge film as a result of annealing at 600 °C. The experiment has demonstrated that the Ge/Si(001) film formed in the conditions of an isolated system consists of the standard patched wetting layer and large droplike clusters of Ge rather than of huts or domes which appear when a film is grown in a flux of Ge atoms arriving on its surface. We conclude that the growth of the pyramids appearing at temperatures greater than 600 °C is controlled by kinetics rather than thermodynamic equilibrium whereas the wetting layer is an equilibrium structure. Primary 68.37.Ef; 68.55.Ac; 68.65.Hb; 81.07.Ta; 81.16.Dn.

  7. Cadmium sulphide (CdS) thin films deposited by chemical bath deposition (CBD) and dip coating techniques—a comparative study

    NASA Astrophysics Data System (ADS)

    Khimani, Ankurkumar J.; Chaki, Sunil H.; Malek, Tasmira J.; Tailor, Jiten P.; Chauhan, Sanjaysinh M.; Deshpande, M. P.

    2018-03-01

    The CdS thin films were deposited on glass slide substrates by Chemical Bath Deposition and dip coating techniques. The films thickness variation with deposition time showed maximum films deposition at 35 min for both the films. The energy dispersive analysis of x-ray showed both the films to be stoichiometric. The x-ray diffraction analysis confirmed the films possess hexagonal crystal structure. The transmission electron, scanning electron and optical microscopy study showed the films deposition to be uniform. The selected area electron diffraction exhibited ring patterns stating the films to be polycrystalline in nature. The atomic force microscopy images showed surface formed of spherical grains, hills and valleys. The recorded optical absorbance spectra analysis revealed the films possess direct optical bandgap having values of 2.25 eV for CBD and 2.40 eV for dip coating. The refractive index (η), extinction coefficient (k), complex dielectric constant (ε) and optical conductivity (σ 0) variation with wavelength showed maximum photon absorption till the respective wavelengths corresponding to the optical bandgap energy values. The recorded photoluminescence spectra showed two emission peaks. All the obtained results have been discussed in details.

  8. Optimization of pulsed laser deposited ZnO thin-film growth parameters for thin-film transistors (TFT) application

    NASA Astrophysics Data System (ADS)

    Gupta, Manisha; Chowdhury, Fatema Rezwana; Barlage, Douglas; Tsui, Ying Yin

    2013-03-01

    In this work we present the optimization of zinc oxide (ZnO) film properties for a thin-film transistor (TFT) application. Thin films, 50±10 nm, of ZnO were deposited by Pulsed Laser Deposition (PLD) under a variety of growth conditions. The oxygen pressure, laser fluence, substrate temperature and annealing conditions were varied as a part of this study. Mobility and carrier concentration were the focus of the optimization. While room-temperature ZnO growths followed by air and oxygen annealing showed improvement in the (002) phase formation with a carrier concentration in the order of 1017-1018/cm3 with low mobility in the range of 0.01-0.1 cm2/V s, a Hall mobility of 8 cm2/V s and a carrier concentration of 5×1014/cm3 have been achieved on a relatively low temperature growth (250 °C) of ZnO. The low carrier concentration indicates that the number of defects have been reduced by a magnitude of nearly a 1000 as compared to the room-temperature annealed growths. Also, it was very clearly seen that for the (002) oriented films of ZnO a high mobility film is achieved.

  9. The Effects of Ion-Assisted Deposition on the Mechanical, Physical, Chemical and Optical Properties of Magnesium Fluoride Thin Films.

    NASA Astrophysics Data System (ADS)

    Kennemore, Charles Milton, III

    1992-01-01

    This dissertation investigates the results of ion assisted deposition (IAD) on various properties of magnesium fluoride thin films deposited on room temperature substrates. MgF_2 films deposited in this manner have increased abrasion resistance and increased adhesion comparable to that found in films deposited at the usual substrate temperature of approximately 300 ^circC. IAD tends to drive the normal high tensile stress of non-IAD films to a more compressive state thereby reducing the overall stress. The IAD MgF _2 films have a higher index of refraction than non-IAD films, as high as 1.41, and the ultraviolet absorption edge in shifted to longer wavelengths beginning about 350 nm but no detectable absorption at visible wavelengths is seen in the films deposited with less than 250 eV bombardment energies. However, at higher IAD energies beginning at approximately 600 eV an absorption band is present in the red end of the visible spectrum making low energy bombardment the parameter of choice. Transmission electron microscopy and X-ray diffraction studies show that the IAD films have a more amorphous-like structure with fewer and smaller crystallites than non-IAD films deposited on either heated or unheated substrates. Rutherford backscattering spectroscopy (RBS) shows the bombarded films have fluorine depletion that roughly scales with the energy of bombardment with F:Mg ratios as low as 1.69 being found. Bombardment by fluorinated compounds, specifically C_2 F_6 and SF_6 , limit this depletion and in some instances super fluorinate the resulting compound. Additionally, RBS shows that IAD introduces a significant amount of oxygen throughout the film that is unaccountable as water take-up. X-ray photoelectron spectroscopy (XPS) indicates the presence of two compounds of oxygen that are attributed to MgO and Mg(OH)_2 or some oxy-fluoride complex similar to them and it is the introduction of these compounds which provide for the changes in the properties of IAD MgF_2

  10. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    NASA Astrophysics Data System (ADS)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  11. Properties of large area ErBa2Cu3O(7-x) thin films deposited by ionized cluster beams

    NASA Technical Reports Server (NTRS)

    Levenson, L. L.; Stan, Mark A.; Bhasin, Kul B.

    1991-01-01

    ErBa2Cu3O(7-x) films have been produced by simultaneous deposition of Er, Ba, and Cu from three ionized cluster beam (ICB) sources at acceleration voltages of 0.3 to 0.5 kV. Combining ozone oxidation with ICB deposition at 650 C eliminated any need of post annealing processing. The substrates were rotated at 10 rotations per minute during the deposition which took place at a rate of about 3 to 4 nm. Films with areas up to 70 mm in diameter have been made by ICB deposition. These films, 100 nm thick, were deposited on SrTiO3 (100) substrates at 650 C in a mixture of six percent O3 in O2 at a total pressure of 4 x 10(exp -4) Torr. They had T(sub c) ranging from 84.3 K to 86.8 K over a 70 mm diameter and J(sub c) above 10(exp 6) A/sq cm at 77 K. X ray diffraction measurements of the three samples showed preferential c-axis orientation normal to the substrate surface. Scanning electron micrographs (SEM) of the three samples also show some texture dependence on sample position. For the three samples, there is a correlation between SEM texture, full width at half-maximum of rocking curves and J(sub c) versus temperature curves.

  12. Nanosecond laser-induced damage at different initial temperatures of Ta{sub 2}O{sub 5} films prepared by dual ion beam sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Cheng, E-mail: xucheng@cumt.edu.cn; Jia, Jiaojiao; Fan, Heliang

    2014-08-07

    Ta{sub 2}O{sub 5} films were deposited by dual ion beam sputtering method. The nanosecond laser-induced damage threshold (LIDT) at different initial temperatures and time of the films was investigated by an in situ high temperature laser-induced damage testing platform. It was shown that, when the initial temperature increased from 298 K to 383 K, the LIDT at 1064 nm and 12 ns significantly decreased by nearly 14%. Then the LIDT at 1064 nm and 12 ns decreased slower with the same temperature increment. Different damage morphologies were found at different initial temperatures. At low initial temperatures, it was the defects-isolated damage while at high initial temperaturesmore » it was the defects-combined damage. The theoretical calculations based on the defect-induced damage model revealed that both the significant increase of the highest temperature and the duration contributed to the different damage morphologies. With the initial temperature being increased, the thermal-stress coupling damage mechanism transformed gradually to the thermal dominant damage mechanism.« less

  13. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  14. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    NASA Astrophysics Data System (ADS)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  15. Corrosion and wear behaviours of a reactive-sputter-deposited Ta2O5 nanoceramic coating

    NASA Astrophysics Data System (ADS)

    Hu, Wei; Xu, Jiang; Lu, Xiaolin; Hu, Dongsheng; Tao, Hongliang; Munroe, Paul; Xie, Zong-Han

    2016-04-01

    In order to improve the wear and corrosion resistance of Ti-6Al-4V, a novel β-Ta2O5 nanoceramic coating was synthesised using reactive sputter deposition enabled by double glow discharge plasma technique. The surface topography, chemical composition, and microstructure of the newly developed coating were characterised by a variety of surface analytical techniques. The coating microstructure was found to exhibit a compact striated pattern extending in a direction perpendicular to coating surface, which is composed of equiaxed β-Ta2O5 grains with an average grain size of ∼20 nm, well adhered to the Ti-6A1-4V substrate. The hardness and the Young's modulus of the as-deposited coating were obtained by nanoindentation, and the adhesion strength between the coating and substrate was determined by a scratch tester. The dry sliding wear behaviours of the coating were investigated at room temperature against Si3N4 ceramic balls at room temperature under applied loads ranging from 2.3 N to 5.3 N using a ball-on-disc tribometer. The specific wear rates of the coating exhibited only a slight increase with applied normal load, and were shown to be two orders of magnitude lower than that for Ti-6Al-4V under the same loading condition. Furthermore, the electrochemical behaviour of the coating immersed in 3.5 wt.% NaCl solution was systematically examined by using a range of complementary electrochemical techniques including potentiodynamic polarisation, electrochemical impedance spectroscopy (EIS), Mott-Schottky analysis as well as potential of zero charge (PZC). The results showed that the corrosion resistance of the β-Ta2O5 nanoceramic coating was better than that of Ti-6Al-4V alloy in 3.5 wt.% NaCl solution. Hence, by possessing higher mechanical properties and good wear and corrosion resistance, the β-Ta2O5 nanoceramic coating is considered to be a promising candidate for protection of engineering components operating under harsh conditions.

  16. Spectroscopic ellipsometry studies on ZnCdO thin films with different Cd concentrations grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Chen, Shuai; Li, Qingxuan; Ferguson, Ian; Lin, Tao; Wan, Lingyu; Feng, Zhe Chuan; Zhu, Liping; Ye, Zhizhen

    2017-11-01

    A set of Zn1-xCdxO thin films with different Cd concentrations was deposited on quartz substrates by Pulsed Laser Deposition (PLD). The properties of these films were investigated by variable angle and temperature dependent spectroscopic ellipsometry (SE). The experimental Zn1-xCdxO thin films showed a red shift in the absorption edge with increasing Cd contents at room temperature. For ZnCdO films with the similar Cd concentration, it has been found that the film thickness has important effects on the optical constants (n, k). The variations of optical constants (n, k) and the band gap, E0, with temperature (T) in 25 °C-600 °C for a typical Zn0.95Cd0.05O sample were obtained. The E0 vs T relationship is described by a T- quadratic equation.

  17. Epitaxial growth of ReS2(001) thin film via deposited-Re sulfurization

    NASA Astrophysics Data System (ADS)

    Urakami, Noriyuki; Okuda, Tetsuya; Hashimoto, Yoshio

    2018-02-01

    In this paper, we present the formation of large-size rhenium disulfide (ReS2) films via the sulfurization of Re films deposited on sapphire substrates. The effects of sulfurization temperature and pressure on the crystal quality were investigated. A [001]-oriented single crystal of ReS2 films with 6 × 10 mm2 area was realized. By sulfurizing Re films at 1100 °C, ReS2 films with well-defined sharp interfaces to c-plane sapphire substrates could be formed. Below and above the sulfurization temperature of 1100 °C, incomplete sulfurization and film degradation were observed. The twofold symmetry of the monocrystalline in-plane structure composed of Re-Re bonds along with Re-S bonds pointed to a distorted 1T structure, indicating that this structure is the most stable atomic arrangement for ReS2. For a S/Re compositional ratio equal to or slightly lower than 2.0, characteristic Raman vibrational modes with the narrowest line widths were observed. The typical absorption peak of ReS2 can be detected at 1.5 eV.

  18. Formation of diamond nanoparticle thin films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Goto, Yosuke; Ohishi, Fujio; Tanaka, Kuniaki; Usui, Hiroaki

    2016-03-01

    Thin films of diamond nanoparticles were prepared by electrophoretic deposition (EPD) using 0.5 wt % dispersions in water, ethanol, and 2-propanol. The film growth rate increased with increasing voltage applied to the electrodes. However, an excessive increase in voltage caused the degradation of film morphology. The optimum voltage was 4 V with an electrode separation of 5 mm. The film growth rate was higher in organic solvents than in water. The deposited film had a smooth surface with an average surface roughness comparable to the size of primary particles of the source material. It is notable that the EPD films had a considerably higher physical stability than spin-coated and cast films. The stability was further improved by thermally annealing the films. IR analysis revealed that the diamond nanoparticles have carboxy and amino groups on their surfaces. It is considered that the stability of the EPD films originate from a chemical reaction between these functional groups.

  19. Structure and Electric Conduction in Pulsed Laser-Deposited ZnO Thin Films Individually Doped with N, P, or Na

    NASA Astrophysics Data System (ADS)

    Jiao, D. L.; Zhong, X. C.; Qiu, W. Q.; Zhang, H.; Liu, Z. W.; Zhang, G. Q.

    2018-03-01

    N-, P-, and Na-doped ZnO films with c-axis orientation were produced by pulsed laser deposition using N2O or O2 as the reaction gas. The effects of deposition temperature and deposition pressure on the lattice structure, morphology, and electric conduction have been investigated. High gas pressure leads to large-sized grains with large grain barriers, which cause a reduced mobility. P acts as an acceptor and the number of compensating defects in the P-doped film is reduced under high O2 pressure. Na also acts as an acceptor, and the effects of high temperature on Na-doped films are encouraging as the solubility of the dopant is high. However, high temperature may cause less incorporation of N and P in the film. In the present work, p-type conduction has not been obtained in N- and P-doped films despite a wide range of processing parameters employed. Na-doped films display an increasing trend towards p-type films at high temperatures and high O2 pressures. These results provide an insight on how these dopants behave in ZnO films and indicate that the careful selection of the deposition conditions is necessary in order to obtain p-type films by pulsed laser deposition.

  20. Effect of Mg interlayer on perpendicular magnetic anisotropy of CoFeB films in MgO/Mg/CoFeB/Ta structure

    NASA Astrophysics Data System (ADS)

    Ma, Q. L.; Iihama, S.; Kubota, T.; Zhang, X. M.; Mizukami, S.; Ando, Y.; Miyazaki, T.

    2012-09-01

    The effects of Mg metallic interlayer on the magnetic properties of thin CoFeB films in MgO/Mg (tMg)/CoFeB (1.2 nm)/Ta structures were studied in this letter. Our experimental result shows that the CoFeB film exhibits perpendicular magnetic anisotropy (PMA) when the CoFeB and MgO layers are separated by a metallic Mg layer with a maximum thickness of 0.8 nm. The origin of PMA was discussed by considering the preferential transmission of the Δ1 symmetry preserved by the Mg interlayer in crystallized MgO/Mg/CoFeB/Ta. In addition, the thin Mg interlayer also contributes to enhancing the thermal stability and reducing the effective damping constant and coercivity of the CoFeB film.