Sample records for ta2o5 gate dielectric

  1. Pentacene-based low voltage organic field-effect transistors with anodized Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Jeong, Yeon Taek; Dodabalapur, Ananth

    2007-11-01

    Pentacene-based low voltage organic field-effect transistors were realized using an anodized Ta2O5 gate dielectric. The Ta2O5 gate dielectric layer with a surface roughness of 1.3Å was obtained by anodizing an e-beam evaporated Ta film. The device exhibited values of saturation mobility, threshold voltage, and Ion/Ioff ratio of 0.45cm2/Vs, 0.56V, and 7.5×101, respectively. The gate leakage current was reduced by more than 70% with a hexamethyldisilazane (HMDS) treatment on the Ta2O5 layer. The HMDS treatment also resulted in enhanced mobility values and a larger pentacene grain size.

  2. Diamond field effect transistors with a high-dielectric constant Ta2O5 as gate material

    NASA Astrophysics Data System (ADS)

    Liu, J.-W.; Liao, M.-Y.; Imura, M.; Watanabe, E.; Oosato, H.; Koide, Y.

    2014-06-01

    A Ta2O5/Al2O3 bilayer gate oxide with a high-dielectric constant (high-k) has been successfully applied to a hydrogenated-diamond (H-diamond) metal-insulator-semiconductor field effect transistor (MISFET). The Ta2O5 layer is prepared by a sputtering-deposition (SD) technique on the Al2O3 buffer layer fabricated by an atomic layer deposition (ALD) technique. The ALD-Al2O3 plays an important role to eliminate plasma damage for the H-diamond surface during SD-Ta2O5 deposition. The dielectric constants of the SD-Ta2O5/ALD-Al2O3 bilayer and single SD-Ta2O5 are as large as 12.7 and 16.5, respectively. The k value of the single SD-Ta2O5 in this study is in good agreement with that of the SD-Ta2O5 on oxygen-terminated diamond. The capacitance-voltage characteristic suggests low interfacial trapped charge density for the SD-Ta2O5/ALD-Al2O3/H-diamond MIS diode. The MISFET with a gate length of 4 µm has a drain current maximum and an extrinsic transconductance of -97.7 mA mm-1 (normalized by gate width) and 31.0 ± 0.1 mS mm-1, respectively. The effective mobility in the H-diamond channel layer is found to be 70.1 ± 0.5 cm2 V-1 s-1.

  3. Anomalous bias-stress-induced unstable phenomena of InZnO thin-film transistors using Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Xu, Wangying; Dai, Mingzhi; Liang, Lingyan; Liu, Zhimin; Sun, Xilian; Wan, Qing; Cao, Hongtao

    2012-05-01

    InZnO thin-film transistors using high-κ Ta2O5 gate dielectric are presented and analysed. The large capacitance coupling effect of amorphous Ta2O5 results in fabricated devices with good electrical properties. However, an anomalous negative threshold voltage (Vth) shift under positive bias stress is observed. It is suggested that electron detrapping from the high-κ Ta2O5 dielectric to the gate electrode is responsible for this Vth shift, which is supported both by the logarithmical dependence of the Vth change on the duration of the bias stress and device simulation extracted trapped charges involved.

  4. HIGH-k GATE DIELECTRIC: AMORPHOUS Ta/La2O3 FILMS GROWN ON Si AT LOW PRESSURE

    NASA Astrophysics Data System (ADS)

    Bahari, Ali; Khorshidi, Zahra

    2014-09-01

    In the present study, Ta/La2O3 films (La2O3 doped with Ta2O5) as a gate dielectric were prepared using a sol-gel method at low pressure. Ta/La2O3 film has some hopeful properties as a gate dielectric of logic device. The structure and morphology of Ta/La2O3 films were studied using X-ray diffraction (XRD), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Electrical properties of films were performed using capacitance-voltage (C-V) and current density-voltage (J-V) measurements. The optical bandgap of samples was studied by UV-visible optical absorbance measurement. The optical bandgap, Eopt, is determined from the absorbance spectra. The obtained results show that Ta/La2O3 film as a good gate dielectric has amorphous structure, good thermal stability, high dielectric constant (≈ 25), low leakage current and wide bandgap (≈ 4.7 eV).

  5. Time-dependent dielectric breakdown in pure and lightly Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Atanassova, E.; Stojadinović, N.; Spassov, D.; Manić, I.; Paskaleva, A.

    2013-05-01

    The time-dependent dielectric breakdown (TDDB) characteristics of 7 nm pure and lightly Al-doped Ta2O5 (equivalent oxide thickness of 2.2 and 1.5 nm, respectively) with W gate electrodes in MOS capacitor configuration are studied using gate injection and constant voltage stress. The effect of both the process-induced defects and the dopant on the breakdown distribution, and on the extracted Weibull slope values, are discussed. The pre-existing traps which provoke weak spots dictate early breakdowns. Their effect is compounded of both the stress-induced new traps generation (percolation model is valid) and the inevitable lower-k interface layer in the region with long time-to-breakdown. The domination of one of these competitive effects defines the mechanism of degradation: the trapping at pre-existing traps appears to dominate in Ta2O5; Al doping reduces defects in Ta2O5, the generation of new traps prevails over the charge trapping in the doped samples, and the mechanism of breakdown is more adequate to the percolation concept. The doping of high-k Ta2O5 even with small amount (5 at.%) may serve as an engineering solution for improving its TDDB characteristics and reliability.

  6. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  7. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics.

    PubMed

    Alshammari, Fwzah H; Nayak, Pradipta K; Wang, Zhenwei; Alshareef, Husam N

    2016-09-07

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm(2) V(-1) s(-1), but increased to 13.3 cm(2) V(-1) s(-1) using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance.

  8. Improved interface properties of Ge metal-oxide-semiconductor capacitor with TaTiO gate dielectric by using in situ TaON passivation interlayer

    NASA Astrophysics Data System (ADS)

    Ji, F.; Xu, J. P.; Liu, J. G.; Li, C. X.; Lai, P. T.

    2011-05-01

    TaON is in situ formed as a passivating interlayer in Ge metal-oxide-semiconductor (MOS) capacitors with high-k TaTiO gate dielectric fabricated simply by alternate sputtering of Ta and Ti. Also, postdeposition annealing is performed in wet N2 to suppress the growth of unstable GeOx at the Ge surface. As a result, excellent electrical properties of the Ge MOS devices are demonstrated, such as high equivalent dielectric constant (22.1), low interface-state density (7.3×1011 cm-2 eV), small gate leakage current (8.6×10-4 A cm-2 at Vg-Vfb=1 V), and high device reliability. Transmission electron microscopy and x-ray photoelectron spectroscopy support that all these should be attributed to the fact that the nitrogen barrier in the TaON interlayer can effectively block the interdiffusions of Ge and Ta, and the wet-N2 anneal can significantly suppress the growth of unstable low-k GeOx.

  9. Effect of Al gate on the electrical behaviour of Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Skeparovski, A.; Novkovski, N.; Atanassova, E.; Paskaleva, A.; Lazarov, V. K.

    2011-06-01

    The electrical behaviour of Al-doped Ta2O5 films on nitrided silicon and implemented in Al-gated MIS capacitors has been studied. The dopant was introduced into the Ta2O5 through its surface by deposing a thin Al layer on the top of Ta2O5 followed by an annealing process. The HRTEM images reveal that the initial double-layer structure of the stacks composed of doped Ta2O5 and interfacial SiON layer undergoes changes during the formation of the Al gate and transforms into a three-layer structure with an additional layer between the Al electrode and the doped Ta2O5. This layer, being a result of reaction between the Al gate and the Al-doped Ta2O5, affects the overall electrical properties of the stacks. Strong charge trapping/detrapping processes have been established in the vicinity of the doped Ta2O5/SiON interface resulting in a large C-V hysteresis effect. The charge trapping also influences the current conduction in the layers keeping the current density level rather low even at high electric fields (J < 10-6 A cm-2 at 7 MV cm-1). By employing a three-layer model of the stack, the permittivity of both, the Al-doped Ta2O5 and the additional layer, has been estimated and the corresponding conduction mechanisms identified.

  10. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  11. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} as potential gate dielectrics for GaN/Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Partida-Manzanera, T., E-mail: sgtparti@liv.ac.uk; Institute of Materials Research and Engineering, A*STAR; Roberts, J. W.

    2016-01-14

    This paper describes a method to optimally combine wide band gap Al{sub 2}O{sub 3} with high dielectric constant (high-κ) Ta{sub 2}O{sub 5} for gate dielectric applications. (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta{sub 2}O{sub 5} molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al{sub 2}O{sub 3} to 4.6 eV for pure Ta{sub 2}O{sub 5}. The dielectric constant calculated from capacitance-voltage measurementsmore » also increases linearly from 7.8 for Al{sub 2}O{sub 3} up to 25.6 for Ta{sub 2}O{sub 5}. The effect of post-deposition annealing in N{sub 2} at 600 °C on the interfacial properties of undoped Al{sub 2}O{sub 3} and Ta-doped (Ta{sub 2}O{sub 5}){sub 0.12}(Al{sub 2}O{sub 3}){sub 0.88} films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al{sub 2}O{sub 3}/GaN-HEMT and (Ta{sub 2}O{sub 5}){sub 0.16}(Al{sub 2}O{sub 3}){sub 0.84}/GaN-HEMT samples increased by ∼1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al{sub 2}O{sub 3} can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.« less

  12. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  13. Ta2O5 Polycrystalline Silicon Capacitors with CF4 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kao, Chyuan-Haur; Chen, Hsiang

    2012-04-01

    In this research, the effects of CF4 plasma treatment with post annealing on the electrical characteristics and material properties of Ta2O5 dielectrics were determined. The dielectric performance characteristics of samples under different treatment conditions were measured using equivalent oxide thickness (EOT), current density-electric field (J-E) characteristics, gate voltage shift versus time, and Weibull plots. In addition, X-ray diffraction (XRD) analysis provided insight into the changes in crystalline structure, atomic force microscopy (AFM) measurements visualized the surface roughness, and secondary ion mass spectroscopy (SIMS) revealed the distribution of fluorine ions inside the dielectric samples. Findings indicate that dielectric performance can be significantly improved by CF4 plasma treatment for 1 min with post annealing at 800 °C. The improvements in electrical characteristics were caused by the appropriate incorporation of the fluorine atoms and the removal of the dangling bonds and traps. The Ta2O5 dielectric incorporated with appropriate CF4 plasma and annealing treatments shows great promise for future generation of nonvolatile memory applications.

  14. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    NASA Astrophysics Data System (ADS)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  15. Study on electrical defects level in single layer two-dimensional Ta2O5

    NASA Astrophysics Data System (ADS)

    Dahai, Li; Xiongfei, Song; Linfeng, Hu; Ziyi, Wang; Rongjun, Zhang; Liangyao, Chen; David, Wei Zhang; Peng, Zhou

    2016-04-01

    Two-dimensional atomic-layered material is a recent research focus, and single layer Ta2O5 used as gate dielectric in field-effect transistors is obtained via assemblies of Ta2O5 nanosheets. However, the electrical performance is seriously affected by electronic defects existing in Ta2O5. Therefore, spectroscopic ellipsometry is used to calculate the transition energies and corresponding probabilities for two different charged oxygen vacancies, whose existence is revealed by x-ray photoelectron spectroscopy analysis. Spectroscopic ellipsometry fitting also calculates the thickness of single layer Ta2O5, exhibiting good agreement with atomic force microscopy measurement. Nondestructive and noncontact spectroscopic ellipsometry is appropriate for detecting the electrical defects level of single layer Ta2O5. Project supported by the National Natural Science Foundation of China (Grant Nos. 11174058 and 61376093), the Fund from Shanghai Municipal Science and Technology Commission (Grant No. 13QA1400400), the National Science and Technology Major Project, China (Grant No. 2011ZX02707), and the Innovation Program of Shanghai Municipal Education Commission (Grant No. 12ZZ010).

  16. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  17. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors

    PubMed Central

    2013-01-01

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric. PMID:23294730

  18. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    PubMed

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  19. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  20. Interfacial and electrical properties of InGaAs metal-oxide-semiconductor capacitor with TiON/TaON multilayer composite gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Liu, L.; Lu, H. H.; Lai, P. T.; Tang, W. M.

    2015-03-01

    InGaAs metal-oxide-semiconductor (MOS) capacitors with composite gate dielectric consisting of Ti-based oxynitride (TiON)/Ta-based oxynitride (TaON) multilayer are fabricated by RF sputtering. The interfacial and electrical properties of the TiON/TaON/InGaAs and TaON/TiON/InGaAs MOS structures are investigated and compared. Experimental results show that the former exhibits lower interface-state density (1.0 × 1012 cm-2 eV-1 at midgap), smaller gate leakage current (9.5 × 10-5 A/cm2 at a gate voltage of 2 V), larger equivalent dielectric constant (19.8), and higher reliability under electrical stress than the latter. The involved mechanism lies in the fact that the ultrathin TaON interlayer deposited on the sulfur-passivated InGaAs surface can effectively reduce the defective states and thus unpin the Femi level at the TaON/InGaAs interface, improving the electrical properties of the device.

  1. Gate oxide thickness dependence of the leakage current mechanism in Ru/Ta2O5/SiON/Si structures

    NASA Astrophysics Data System (ADS)

    Ťapajna, M.; Paskaleva, A.; Atanassova, E.; Dobročka, E.; Hušeková, K.; Fröhlich, K.

    2010-07-01

    Leakage conduction mechanisms in Ru/Ta2O5/SiON/Si structures with rf-sputtered Ta2O5 with thicknesses ranging from 13.5 to 1.8 nm were systematically studied. Notable reaction at the Ru/Ta2O5 interface was revealed by capacitance-voltage measurements. Temperature-dependent current-voltage characteristics suggest the bulk-limited conduction mechanism in all metal-oxide-semiconductor structures. Under gate injection, Poole-Frenkel emission was identified as a dominant mechanism for 13.5 nm thick Ta2O5. With an oxide thickness decreasing down to 3.5 nm, the conduction mechanism transforms to thermionic trap-assisted tunnelling through the triangular barrier. Under substrate injection, the dominant mechanism gradually changes with decreasing thickness from thermionic trap-assisted tunnelling to trap-assisted tunnelling through the triangular barrier; Poole-Frenkel emission was not observed at all. A 0.7 eV deep defect level distributed over Ta2O5 is assumed to be responsible for bulk-limited conduction mechanisms and is attributed to H-related defects or oxygen vacancies in Ta2O5.

  2. Structural and dielectric properties of Sr3(MgTa2)O9 and Sr3(ZnTa2)O9

    NASA Astrophysics Data System (ADS)

    Hoque, Md. M.; Dutta, Alo; Kumar, S.; Sinha, T. P.

    2015-07-01

    Herein, we report the crystal structures and morphological properties of Sr3(MgTa2)O9 (SMT) and Sr3(ZnTa2)O9 (SZT) synthesized by solid state ceramic method along with the results of alternating current impedance spectroscopic (ACIS) study in a frequency range from 50 Hz to 1 MHz at selective temperatures between 393 and 573 K. The crystal structures of SMT and SZT have been determined by Rietveld refinement of powder X-ray diffraction pattern using an initial structural model developed on the basis of literature survey. The results indicate that both the samples possess hexagonal structure of trigonal P 3 bar m 1 space group. The lattice parameters of SMT are a=b=5.65162 Å, c=6.94440 Å, α=β=90° and γ=120° and those of SZT are a=b=5.65832 Å, c=6.95911 Å and α=β=90° and γ=120°. SMT and SZT are isostructural and they exhibit 2:1 B site ordering with the staking sequence of {-Ta-Ta-Mg (Zn)-} (Mg for SMT and Zn for SZT) layer repeat on (111) plane of the pseudocells. The characteristic vibrational bands due to Ta-O, Mg-O and Zn-O bonds have been observed in the FTIR spectra of the samples. The FESEM micrographs of the samples show that the grains size ranges between 0.40 and 3.65 μm and 0.9 to 4.2 μm for SMT and SZT, respectively. To account for the polydispersive nature of the dielectric relaxation mechanism along with the effects of dc conductivity and localized space charges the variation of real (ε‧) and imaginary (ε″) parts of dielectric constant with frequency has been analytically interpreted in the framework of modified Cole-Cole model. SMT and SZT having the activation energies of 0.35 eV and 0.33 eV, respectively (obtained from the Arrhenius plot of dc conductivity), are semiconducting in nature. The electrical current conduction in the samples occurs by polaron hopping process. Further, we have shown that chemical property of A site cations has significant role in determining the dielectric properties of A3B‧B″2O9 type perovskites

  3. Improved interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with HfTiON as gate dielectric and TaON as passivation interlayer

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Zhu, S. Y.; Huang, Y.; Lai, P. T.

    2013-08-01

    The interfacial and electrical properties of sputtered HfTiON on sulfur-passivated GaAs with or without TaON as interfacial passivation layer (IPL) are investigated. Experimental results show that the GaAs metal-oxide-semiconductor capacitor with HfTiON/TaON stacked gate dielectric annealed at 600 °C exhibits low interface-state density (1.0 × 1012 cm-2 eV-1), small gate leakage current (7.3 × 10-5 A cm-2 at Vg = Vfb + 1 V), small capacitance equivalent thickness (1.65 nm), and large equivalent dielectric constant (26.2). The involved mechanisms lie in the fact that the TaON IPL can effectively block the diffusions of Hf, Ti, and O towards GaAs surface and suppress the formation of interfacial As-As bonds, Ga-/As-oxides, thus unpinning the Femi level at the TaON/GaAs interface and improving the interface quality and electrical properties of the device.

  4. Realization of Minimum and Maximum Gate Function in Ta2O5-based Memristive Devices

    NASA Astrophysics Data System (ADS)

    Breuer, Thomas; Nielen, Lutz; Roesgen, Bernd; Waser, Rainer; Rana, Vikas; Linn, Eike

    2016-04-01

    Redox-based resistive switching devices (ReRAM) are considered key enablers for future non-volatile memory and logic applications. Functionally enhanced ReRAM devices could enable new hardware concepts, e.g. logic-in-memory or neuromorphic applications. In this work, we demonstrate the implementation of ReRAM-based fuzzy logic gates using Ta2O5 devices to enable analogous Minimum and Maximum operations. The realized gates consist of two anti-serially connected ReRAM cells offering two inputs and one output. The cells offer an endurance up to 106 cycles. By means of exemplary input signals, each gate functionality is verified and signal constraints are highlighted. This realization could improve the efficiency of analogous processing tasks such as sorting networks in the future.

  5. Role of deposition and annealing of the top gate dielectric in a-IGZO TFT-based dual-gate ion-sensitive field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kumar, Narendra; Sutradhar, Moitri; Kumar, Jitendra; Panda, Siddhartha

    2017-03-01

    The deposition of the top gate dielectric in thin film transistor (TFT)-based dual-gate ion-sensitive field-effect transistors (DG ISFETs) is critical, and expected not to affect the bottom gate TFT characteristics, while providing a higher pH sensitive surface and efficient capacitive coupling between the gates. Amorphous Ta2O5, in addition to having good sensing properties, possesses a high dielectric constant of ˜25 making it well suited as the top gate dielectric in a DG ISFET by providing higher capacitive coupling (ratio of C top/C bottom) leading to higher amplification. To avoid damage of the a-IGZO channel reported to be caused by plasma exposure, deposition of Ta2O5 by e-beam evaporation followed by annealing was investigated in this work to obtain sensitivity over the Nernst limit. The deteriorated bottom gate TFT characteristics, indicated by an increase in the channel conductance, confirmed that plasma exposure is not the sole contributor to the changes. Oxygen vacancies at the Ta2O5/a-IGZO interface, which emerged during processing, increased the channel conductivity, became filled by optimum annealing in oxygen at 400 °C for 1 h, which was confirmed by an x-ray photoelectron spectroscopy depth profiling analysis. The obtained pH sensitivity of the TFT-based DG ISFET was 402 mV pH-1, which is about 6.8 times the Nernst limit (59 mV pH-1). The concept of capacitive coupling was also demonstrated by simulating an a-IGZO-based DG TFT structure. Here, the exposure of the top gate dielectric to the electrolyte without applying any top gate bias led to changes in the measured threshold voltage of the bottom gate TFT, and this obviated the requirement of a reference electrode needed in conventional ISFETs and other reported DG ISFETs. These devices, with high sensitivities and requiring low volumes (˜2 μl) of analyte solution, could be potential candidates for utilization as chemical sensors and biosensors.

  6. Atomic layer deposited Ta2O5 gate insulation for enhancing breakdown voltage of AlN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Deen, D. A.; Storm, D. F.; Bass, R.; Meyer, D. J.; Katzer, D. S.; Binari, S. C.; Lacis, J. W.; Gougousi, T.

    2011-01-01

    AlN/GaN heterostructures with a 3.5 nm AlN cap have been grown by molecular beam epitaxy followed by a 6 nm thick atomic layer deposited Ta2O5 film. Transistors fabricated with 150 nm length gates showed drain current density of 1.37 A/mm, transconductance of 315 mS/mm, and sustained drain-source biases up to 96 V while in the off-state before destructive breakdown as a result of the Ta2O5 gate insulator. Terman's method has been modified for the multijunction capacitor and allowed the measurement of interface state density (˜1013 cm-2 eV-1). Small-signal frequency performance of 75 and 115 GHz was obtained for ft and fmax, respectively.

  7. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  8. Comparison of structural and electrical properties of Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} gate dielectrics for α-InGaZnO thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw; Chen, Ching-Hung; Her, Jim-Long

    We compared the structural properties and electrical characteristics of high-κ Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} gate dielectrics for amorphous indium-gallium-zinc oxide (α-InGaZnO) thin-film transistor (TFT) applications. The Lu{sub 2}O{sub 3} film has a strong Lu{sub 2}O{sub 3} (400) peak in the X-ray diffraction pattern, while the Lu{sub 2}TiO{sub 5} sample shows a relatively weak Lu{sub 2}TiO{sub 5} (102) peak. Atomic force microscopy reveals that the Lu{sub 2}O{sub 3} dielectric exhibits a rougher surface (about three times) than Lu{sub 2}TiO{sub 5} one. In X-ray photoelectron spectroscopy analysis, we found that the intensity of the O 1s peak corresponding tomore » Lu(OH){sub x} for Lu{sub 2}O{sub 3} film was higher than that of Lu{sub 2}TiO{sub 5} film. Furthermore, compared with the Lu{sub 2}O{sub 3} dielectric, the α-InGaZnO TFT using the Lu{sub 2}TiO{sub 5} gate dielectric exhibited a lower threshold voltage (from 0.43 to 0.25 V), a higher I{sub on}/I{sub off} current ratio (from 3.5 × 10{sup 6} to 1.3 × 10{sup 8}), a smaller subthreshold swing (from 276 to 130 mV/decade), and a larger field-effect mobility (from 14.5 to 24.4 cm{sup 2}/V s). These results are probably due to the incorporation of TiO{sub x} into the Lu{sub 2}O{sub 3} film to form a Lu{sub 2}TiO{sub 5} structure featuring a smooth surface, a low moisture absorption, a high dielectric constant, and a low interface state density at the oxide/channel interface. Furthermore, the stability of Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} α-InGaZnO TFTs was investigated under positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS). The threshold voltage of the TFT performed under NGBS is more degradation than that under PGBS. This behavior may be attributed to the electron charge trapping at the dielectric–channel interface under PGBS, whereas the oxygen vacancies occurred in the InGaZnO under NGBS.« less

  9. Nonstoichiometric control of tunnel-filling order, thermal expansion, and dielectric relaxation in tetragonal tungsten Bronzes Ba0.5-xTaO3-x.

    PubMed

    Pan, Fengjuan; Li, Xiaohui; Lu, Fengqi; Wang, Xiaoming; Cao, Jiang; Kuang, Xiaojun; Véron, Emmanuel; Porcher, Florence; Suchomel, Matthew R; Wang, Jing; Allix, Mathieu

    2015-09-21

    Ordering of interpolated Ba(2+) chains and alternate Ta-O rows (TaO)(3+) in the pentagonal tunnels of tetragonal tungsten bronzes (TTB) is controlled by the nonstoichiometry in the highly nonstoichiometric Ba0.5-xTaO3-x system. In Ba0.22TaO2.72, the filling of Ba(2+) and (TaO)(3+) groups is partially ordered along the ab-plane of the simple TTB structure, resulting in a √2-type TTB superstructure (Pbmm), while in Ba0.175TaO2.675, the pentagonal tunnel filling is completely ordered along the b-axis of the simple TTB structure, leading to a triple TTB superstructure (P21212). Both superstructures show completely empty square tunnels favoring Ba(2+) conduction and feature unusual accommodation of Ta(5+) cations in the small triangular tunnels. In contrast with stoichiometric Ba6GaTa9O30, which shows linear thermal expansion of the cell parameters and monotonic decrease of permittivity with temperature within 100-800 K, these TTB superstructures and slightly nonstoichiometric simple TTB Ba0.4TaO2.9 display abnormally broad and frequency-dependent extrinsic dielectric relaxations in 10(3)-10(5) Hz above room temperature, a linear deviation of the c-axis thermal expansion around 600 K, and high dielectric permittivity ∼60-95 at 1 MHz at room temperature.

  10. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    PubMed

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  11. Lanthanide-based oxides and silicates for high-kappa gate dielectric applications

    NASA Astrophysics Data System (ADS)

    Jur, Jesse Stephen

    The ability to improve performance of the high-end metal oxide semiconductor field effect transistor (MOSFET) is highly reliant on the dimensional scaling of such a device. In scaling, a decrease in dielectric thickness results in high current leakage between the electrode and the substrate by way of direct tunneling through the gate dielectric. Observation of a high leakage current when the standard gate dielectric, SiO2, is decreased below a thickness of 1.5 nm requires engineering of a replacement dielectric that is much more scalable. This high-kappa dielectric allows for a physically thicker oxide, reducing leakage current. Integration of select lanthanide-based oxides and silicates, in particular lanthanum oxide and silicate, into MOS gate stack devices is examined. The quality of the high-kappa dielectrics is monitored electrically to determine properties such as equivalent oxide thickness, leakage current density and defect densities. In addition, analytical characterization of the dielectric and the gate stack is provided to examine the materialistic significance to the change of the electrical properties of the devices. In this work, lanthanum oxide films have been deposited by thermal evaporation on to a pre-grown chemical oxide layer on silicon. It is observed that the SiO2 interfacial layer can be consumed by a low-temperature reaction with lanthanum oxide to produce a high-quality silicate. This is opposed to depositing lanthanum oxide directly on silicon, which can possibly favor silicide formation. The importance of oxygen regulation in the surrounding environment of the La2O3-SiO2 reaction-anneal is observed. By controlling the oxygen available during the reaction, SiO2 growth can be limited to achieve high stoichiometric ratios of La2O 3 to SiO2. As a result, MOS devices with an equivalent oxide thickness (EOT) of 5 A and a leakage current density of 5.0 A/cm 2 are attained. This data equals the best value achieved in this field and is a

  12. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  13. Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9} and Ba{sub 3}MgTa{sub 2-x}Nb{sub x}O{sub 9} (0{<=}x{<=}1): synthesis, structure and dielectric properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thirumal, M.; Jawahar, I.N.; Surendiran, K.P.

    2002-11-20

    Oxides belonging to the families Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9} and Ba{sub 3}MgTa{sub 2-x}Nb{sub x}O{sub 9} were synthesized by the solid state reaction route. Sintering temperatures of 1300 deg. C led to oxides with disordered (cubic) perovskite structure. However, on sintering at 1425 deg. C hexagonally ordered structures were obtained for Ba{sub 3}MgTa{sub 2-x}Nb{sub x}O{sub 9} over the entire range (0{<=}x{<=}1) of composition, while for Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9} the ordered structure exists in a limited range (0{<=}x{<=}0.5). The dielectric constant is close to 30 for the Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9} family of oxides while the Mg analoguesmore » have lower dielectric constant of {approx}18 in the range 50 Hz to 500 kHz. At microwave frequencies (5-7 GHz) dielectric constant increases with increase in niobium concentration (22-26) for Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9}; for Ba{sub 3}MgTa{sub 2-x}Nb{sub x}O{sub 9} it varies between 12 and 14. The 'Zn' compounds have much higher quality factors and lower temperature coefficient of resonant frequency compared to the 'Mg' analogues.« less

  14. Dielectric relaxation study of Pb(Yb0.5Ta0.5)O3 near ferroelectric phase transition

    NASA Astrophysics Data System (ADS)

    Praharaj, S.; Biswas, P.; Rout, D.

    2018-05-01

    The dielectric relaxation study of Pb(Yb0.5Ta0.5)O3 was investigated by dielectric and impedance measurements. A weak relaxor behavior was observed in the specimen near to the antiferroelectric to ferroelectric phase transition. The frequency dependence of Tm analysis confirms the existence of polar nano regions and the interaction between them as well. The depressed semicircles in the impedance plot suggest poly dispersive (non Debye type) nature of the dielectric phenomena in the sample. The dielectric relaxation was further investigated through the analysis of frequency dependence imaginary part of impedance and modulus data.

  15. Low-voltage organic strain sensor on plastic using polymer/high- K inorganic hybrid gate dielectrics

    NASA Astrophysics Data System (ADS)

    Jung, Soyoun; Ji, Taeksoo; Varadan, Vijay K.

    2007-12-01

    In this paper, gate-induced pentacene semiconductor strain sensors based on hybrid-gate dielectrics using poly-vinylphenol (PVP) and high-K inorganic, Ta IIO 5 are fabricated on flexible substrates, polyethylene naphthalate (PEN). The Ta IIO 5 gate dielectric layer is combined with a thin PVP layer to obtain very smooth and hydrophobic surfaces which improve the molecular structures of pentacene films. The PVP-Ta IIO 5 hybrid-gate dielectric films exhibit a high dielectric capacitance and low leakage current. The sensors adopting thin film transistor (TFT)-like structures show a significantly reduced operating voltage (~6V), and good device characteristics with a field-effect mobility of 1.89 cm2/V•s, a threshold voltage of -0.5 V, and an on/off ratio of 10 3. The strain sensor, one of the practical applications in large-area organic electronics, was characterized with different bending radii of 50, 40, 30, and 20 mm. The sensor output signals were significantly improved with low-operating voltages.

  16. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  17. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  18. Structural and dielectric properties of A(Fe{sub 1/2}Ta{sub 1/2})O{sub 3} [A = Ba, Sr, Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dutta, Alo; Sinha, T.P., E-mail: sinha_tp@yahoo.com

    2011-04-15

    Graphical abstract: FTIR spectra of BFT, SFT and CFT at room temperature. Research highlights: {yields} The structural and dielectric properties of BaFe{sub 1/2}Ta{sub 1/2}O{sub 3}, SrFe{sub 1/2}Ta{sub 1/2}O{sub 3} and CaFe{sub 1/2}Ta{sub 1/2}O{sub 3}. {yields} Fourier transform infrared spectra show two primary phonon modes of the samples at around 450 cm{sup -1} and 620 cm{sup -1}. {yields} The compounds show significant frequency dispersion in its dielectric properties. {yields} The relaxation mechanism of the samples is modelled by Cole-Cole equation. -- Abstract: The complex perovskite oxide barium iron tantalate (BFT), BaFe{sub 1/2}Ta{sub 1/2}O{sub 3}, strontium iron tantalate (SFT), SrFe{sub 1/2}Ta{sub 1/2}O{submore » 3} and calcium iron tantalate (CFT), CaFe{sub 1/2}Ta{sub 1/2}O{sub 3} are synthesized by a solid-state reaction technique. Rietveld refinement of the X-ray diffraction data of the samples shows that BFT and SFT crystallize in cubic structure, with lattice parameter a = 4.06 A for BFT and 3.959 A for SFT, whereas CFT crystallizes in orthorhombic structure having lattice parameters a = 5.443 A, b = 5.542 A and c = 7.757 A. Fourier transform infrared spectra show two primary phonon modes of the samples at around 450 cm{sup -1} and 620 cm{sup -1}. The compounds show significant frequency dispersion in its dielectric properties. The complex impedance plane plots of the samples show that the relaxation (conduction) mechanism in these materials is purely a bulk effect arising from the semiconductive grains. The relaxation mechanism of the samples is modelled by Cole-Cole equation. The frequency dependent conductivity spectra are found to follow the power law.« less

  19. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  20. Superstructure Ta2O5 mesocrystals derived from (NH4)2Ta2O3F6 mesocrystals with efficient photocatalytic activity.

    PubMed

    Yu, Xin; Li, Wei; Huang, Jian; Li, Zhonghua; Liu, Jiawen; Hu, PingAn

    2018-02-06

    Superstructured mesocrystalline Ta 2 O 5 nanosheets were successfully prepared from mesocrystalline (NH 4 ) 2 Ta 2 O 3 F 6 nanorods by the annealing method for the first time. The as-prepared mesocrystalline Ta 2 O 5 nanosheets in this work showed remarkable visible light absorption, mainly due to the formation of oxygen vacancy defects in the mesocrystalline Ta 2 O 5 nanosheets, which was also confirmed by XPS spectra, Raman spectra and EPR spectra. Besides, the mesocrystalline Ta 2 O 5 nanosheets showed a highly enhanced photocatalytic activity of 11 268.24 μmol g -1 h -1 , about 3.95 times that of commercial Ta 2 O 5 . Moreover, the specific surface area of the mesocrystalline Ta 2 O 5 -800 nanosheets was 16.34 m 2 g -1 , about 5.32 times that of the commercial Ta 2 O 5 (3.072 m 2 g -1 ). The valence band XPS spectra indicated a strong oxidizing ability of the mesocrystalline Ta 2 O 5 nanosheets in comparison to that of commercial Ta 2 O 5 . The formation of superstructured Ta 2 O 5 mesocrystals generated long lifetime carriers and effective conduction pathways, which greatly enhanced the photocatalytic activity for hydrogen production.

  1. Hydrogen gas sensors using a thin Ta2O5 dielectric film

    NASA Astrophysics Data System (ADS)

    Kim, Seongjeen

    2014-12-01

    A capacitive-type hydrogen gas sensor with a MIS (metal-insulator-semiconductor) structure was investigated for high-temperature applications. In this work, a tantalum oxide (Ta2O5) layer of tens of nanometers in thickness formed by oxidizing tantalum film in rapid thermal processing (RTP) was exploited with the purpose of sensitivity improvement. Silicon carbide (SiC), which is good even at high temperatures over 500 °C, was used as the substrate. We fabricated sensors composed of Pd/Ta2O5/SiC, and the dependences of the capacitance response properties and the I-V characteristics on the hydrogen concentration were analyzed from the temperature range of room temperature to 500 °C. As a result, our hydrogen sensor showed promising performance with respect to the sensitivity and the adaptability at high temperature.

  2. Electrical characteristics of thin Ta2O5 films deposited by reactive pulsed direct-current magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kim, J.-Y.; Nielsen, M. C.; Rymaszewski, E. J.; Lu, T.-M.

    2000-02-01

    Room temperature deposition of tantalum oxide films on metallized silicon substrates was investigated by reactive pulsed magnetron sputtering of Ta in an Ar/O2 ambient. The dielectric constant of the tantalum oxide ranged from 19 to 31 depending on the oxygen percentage [P(%)=PO2/(PO2+PAr)] used during sputtering. The leakage current density was less than 10 nA/cm2 at 0.5 MV/cm electric field and the dielectric breakdown field was greater than 3.8 MV/cm for P=60%. A charge storage as high as 3.3 μF/cm2 was achieved for 70-Å-thick film. Pulse frequency variation (from 20 to 200 kHz) did not give a significant effect in the electrical properties (dielectric constant or leakage current density) of the Ta2O5 films.

  3. Effects of HfO2 encapsulation on electrical performances of few-layered MoS2 transistor with ALD HfO2 as back-gate dielectric.

    PubMed

    Xu, Jingping; Wen, Ming; Zhao, Xinyuan; Liu, Lu; Song, Xingjuan; Lai, Pui-To; Tang, Wing-Man

    2018-08-24

    The carrier mobility of MoS 2 transistors can be greatly improved by the screening role of high-k gate dielectric. In this work, atomic-layer deposited (ALD) HfO 2 annealed in NH 3 is used to replace SiO 2 as the gate dielectric to fabricate back-gated few-layered MoS 2 transistors, and good electrical properties are achieved with field-effect mobility (μ) of 19.1 cm 2 V -1 s -1 , subthreshold swing (SS) of 123.6 mV dec -1 and on/off ratio of 3.76 × 10 5 . Furthermore, enhanced device performance is obtained when the surface of the MoS 2 channel is coated by an ALD HfO 2 layer with different thicknesses (10, 15 and 20 nm), where the transistor with a 15 nm HfO 2 encapsulation layer exhibits the best overall electrical properties: μ = 42.1 cm 2 V -1 s -1 , SS = 87.9 mV dec -1 and on/off ratio of 2.72 × 10 6 . These improvements should be associated with the enhanced screening effect on charged-impurity scattering and protection from absorption of environmental gas molecules by the high-k encapsulation. The capacitance equivalent thickness of the back-gate dielectric (HfO 2 ) is only 6.58 nm, which is conducive to scaling of the MoS 2 transistors.

  4. Electrical Double Layer Capacitance in a Graphene-embedded Al2O3 Gate Dielectric

    PubMed Central

    Ki Min, Bok; Kim, Seong K.; Jun Kim, Seong; Ho Kim, Sung; Kang, Min-A; Park, Chong-Yun; Song, Wooseok; Myung, Sung; Lim, Jongsun; An, Ki-Seok

    2015-01-01

    Graphene heterostructures are of considerable interest as a new class of electronic devices with exceptional performance in a broad range of applications has been realized. Here, we propose a graphene-embedded Al2O3 gate dielectric with a relatively high dielectric constant of 15.5, which is about 2 times that of Al2O3, having a low leakage current with insertion of tri-layer graphene. In this system, the enhanced capacitance of the hybrid structure can be understood by the formation of a space charge layer at the graphene/Al2O3 interface. The electrical properties of the interface can be further explained by the electrical double layer (EDL) model dominated by the diffuse layer. PMID:26530817

  5. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    PubMed

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  6. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2

    NASA Astrophysics Data System (ADS)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-01

    Top-gated and bottom-gated transistors with multilayer MoS2 channel fully encapsulated by stacked Al2O3/HfO2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on–off current ratio of 108, high field-effect mobility of 102 cm2 V‑1 s‑1, and low subthreshold swing of 93 mV dec–1. Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10‑3–10‑2 V MV–1 cm–1 after 6 MV cm‑1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS2 channel fully encapsulated by stacked Al2O3/HfO2 is a promising way to fabricate high-performance ML MoS2 field-effect transistors for practical electron device applications.

  7. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    PubMed

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  8. Phase relationships in the BaO-Ga2O3-Ta2O5 system and the structure of Ba6Ga21TaO40.

    PubMed

    Cao, Jiang; Yu, Xiaodi; Kuang, Xiaojun; Su, Qiang

    2012-07-16

    Phase relationships in the BaO-Ga(2)O(3)-Ta(2)O(5) ternary system at 1200 °C were determined. The A(6)B(10)O(30) tetragonal tungsten bronze (TTB) related solution in the BaO-Ta(2)O(5) subsystem dissolved up to ~11 mol % Ga(2)O(3), forming a ternary trapezoid-shaped TTB-related solid solution region defined by the BaTa(2)O(6), Ba(1.1)Ta(5)O(13.6), Ba(1.58)Ga(0.92)Ta(4.08)O(13.16), and Ba(6)GaTa(9)O(30) compositions in the BaO-Ga(2)O(3)-Ta(2)O(5) system. Two ternary phases Ba(6)Ga(21)TaO(40) and eight-layer twinned hexagonal perovskite solid solution Ba(8)Ga(4-x)Ta(4+0.6x)O(24) were confirmed in the BaO-Ga(2)O(3)-Ta(2)O(5) system. Ba(6)Ga(21)TaO(40) crystallized in a monoclinic cell of a = 15.9130(2) Å, b = 11.7309(1) Å, c = 5.13593(6) Å, β = 107.7893(9)°, and Z = 1 in space group C2/m. The structure of Ba(6)Ga(21)TaO(40) was solved by the charge flipping method, and it represents a three-dimensional (3D) mixed GaO(4) tetrahedral and GaO(6)/TaO(6) octahedral framework, forming mixed 1D 5/6-fold tunnels that accommodate the Ba cations along the c axis. The electrical property of Ba(6)Ga(21)TaO(40) was characterized by using ac impedance spectroscopy.

  9. Oxygen-vacancy-related dielectric relaxation in SrBi2Ta1.8V0.2O9 ferroelectrics

    NASA Astrophysics Data System (ADS)

    Wu, Yun; Forbess, Mike J.; Seraji, Seana; Limmer, Steven J.; Chou, Tammy P.; Cao, Guozhong

    2001-05-01

    The strontium bismuth tantalate vanadate, SrBi2Ta1.8V0.2O9, (SBTV) layered perovskite ferroelectrics were made by solid state powder sintering. It was found that the SBTV ferroelectrics had the same crystal structure as that of strontium bismuth tantalate, SrBi2Ta2O9 (SBT), but an increased paraferroelectric transition temperature at ˜360 °C as compared to 305 °C for SBT. In addition, SBTV ferroelectrics showed a frequency dispersion at low frequencies and broadened dielectric peaks at the paraferroelectric transition temperature that shifted to a higher temperature with a reduced frequency. However, after a postsintering annealing at 850 °C in air for 60 h, SBTV ferroelectrics showed reduced dielectric constants and tangent loss, particularly at high temperatures. In addition, no frequency dependence of paraferroelectric transition was found in the annealed SBTV ferroelectrics. Furthermore, there was a significant reduction in dc conductivity with annealing. The prior results implied that the dielectric relaxation in the as-sintered SBTV ferroelectrics was most likely due to the oxygen-vacancy-related dielectric relaxation instead of relaxor ferroelectric behavior.

  10. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  11. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  12. Formation and characterization of Ta2O5/TaOx films formed by O ion implantation

    NASA Astrophysics Data System (ADS)

    Ruffell, S.; Kurunczi, P.; England, J.; Erokhin, Y.; Hautala, J.; Elliman, R. G.

    2013-07-01

    Ta2O5/TaOx (oxide/suboxide) heterostructures are fabricated by high fluence O ion-implantation into deposited Ta films. The resultant films are characterized by depth profiling X-ray photoelectron spectroscopy (XPS), cross-sectional transmission electron microscopy (XTEM), four-point probe, and current-voltage and capacitance-voltage measurements. The measurements show that Ta2O5/TaOx oxide/suboxide heterostructures can be fabricated with the relative thicknesses of the layers controlled by implantation energy and fluence. Electrical measurements show that this approach has promise for high volume manufacturing of resistive switching memory devices based on oxide/suboxide heterostructures.

  13. Transport and charging mechanisms in Ta2O5 thin films for capacitive RF MEMS switches application

    NASA Astrophysics Data System (ADS)

    Persano, A.; Quaranta, F.; Martucci, M. C.; Cretı, P.; Siciliano, P.; Cola, A.

    2010-06-01

    The potential of sputtered Ta2O5 thin films to be used as dielectric layers in capacitive radio frequency microelectromechanical system switches is evaluated by investigating two factors of crucial importance for the performance of these devices which are the transport mechanisms and the charging effects in the dielectric layer. We find that Ta2O5 films show good electrical and dielectrical properties for the considered application in terms of a low leakage current density of 4 nA/cm2 for E =1 MV/cm, a high breakdown field of 4 MV/cm and a high dielectric constant of 32. For electric fields lower than 1 MV/cm the conduction mechanism is found to be variable-range hopping in the temperature range 300-400 K, while nearest-neighbor hopping is observed at higher temperatures. For fields in the range 1-4 MV/cm Poole-Frenkel becomes the dominant conduction mechanism. Current and capacitance transients used to investigate the charging effects show a decay which is well described by the stretched-exponential law, thus providing further insights on capture and emission processes.

  14. Visible Light Assisted Photocatalytic Hydrogen Generation by Ta 2O 5/Bi 2O 3, TaON/Bi 2O 3, and Ta 3N 5/Bi 2O 3 Composites

    DOE PAGES

    Adhikari, Shiba; Hood, Zachary D.; More, Karren Leslie; ...

    2015-06-15

    Composites comprised of two semiconducting materials with suitable band gaps and band positions have been reported to be effective at enhancing photocatalytic activity in the visible light region of the electromagnetic spectrum. Here, we report the synthesis, complete structural and physical characterizations, and photocatalytic performance of a series of semiconducting oxide composites. UV light active tantalum oxide (Ta2O5) and visible light active tantalum oxynitride (TaON) and tantalum nitride (Ta 3N 5) were synthesized, and their composites with Bi 2O 3 were prepared in situ using benzyl alcohol as solvent. The composite prepared using equimolar amounts of Bi 2O 3 andmore » Ta 2O 5 leads to the formation of the ternary oxide, bismuth tantalate (BiTaO 4) upon calcination at 1000 °C. The composites and single phase bismuth tantalate formed were characterized by powder X-ray diffraction (PXRD), thermogravimetric analysis (TGA), Brunauer–Emmett–Teller (BET) surface area measurement, scanning electron microscopy (SEM), transmission electron microscopy (TEM), UV–Vis diffuse reflectance spectroscopy, and photoluminescence. The photocatalytic activities of the catalysts were evaluated for generation of hydrogen using aqueous methanol solution under visible light irradiation (λ ≥ 420 nm). The results show that as-prepared composite photocatalysts extend the light absorption range and restrict photogenerated charge-carrier recombination, resulting in enhanced photocatalytic activity compared to individual phases. The mechanism for the enhanced photocatalytic activity for the heterostructured composites is elucidated based on observed activity, band positions calculations, and photoluminescence data.« less

  15. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  16. Fabrication of Ta2O5/GeNx gate insulator stack for Ge metal-insulator-semiconductor structures by electron-cyclotron-resonance plasma nitridation and sputtering deposition techniques

    NASA Astrophysics Data System (ADS)

    Otani, Yohei; Itayama, Yasuhiro; Tanaka, Takuo; Fukuda, Yukio; Toyota, Hiroshi; Ono, Toshiro; Mitsui, Minoru; Nakagawa, Kiyokazu

    2007-04-01

    The authors have fabricated germanium (Ge) metal-insulator-semiconductor (MIS) structures with a 7-nm-thick tantalum pentaoxide (Ta2O5)/2-nm-thick germanium nitride (GeNx) gate insulator stack by electron-cyclotron-resonance plasma nitridation and sputtering deposition. They found that pure GeNx ultrathin layers can be formed by the direct plasma nitridation of the Ge surface without substrate heating. X-ray photoelectron spectroscopy revealed no oxidation of the GeNx layer after the Ta2O5 sputtering deposition. The fabricated MIS capacitor with a capacitance equivalent thickness of 4.3nm showed excellent leakage current characteristics. The interface trap density obtained by the modified conductance method was 4×1011cm-2eV-1 at the midgap.

  17. The effect of glass additives on the microwave dielectric properties of Ba(Mg{sub 1/3}Ta{sub 2/3})O{sub 3} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surendran, K.P.; Mohanan, P.; Sebastian, M.T.

    2004-11-01

    The effect of glass additives on the densification, phase evolution, microstructure and microwave dielectric properties of Ba(Mg{sub 1/3}Ta{sub 2/3})O{sub 3} (BMT) was investigated. Different weight percentages of quenched glass such as B{sub 2}O{sub 3}, SiO{sub 2}, B{sub 2}O{sub 3}-SiO{sub 2}, ZnO-B{sub 2}O{sub 3}, 5ZnO-2B{sub 2}O{sub 3}, Al{sub 2}O{sub 3}-SiO{sub 2}, Na{sub 2}O-2B{sub 2}O{sub 3}.10H{sub 2}O, BaO-B{sub 2}O{sub 3}-SiO{sub 2}, MgO-B{sub 2}O{sub 3}-SiO{sub 2}, PbO-B{sub 2}O{sub 3}-SiO{sub 2}, ZnO-B{sub 2}O{sub 3}-SiO{sub 2} and 2MgO-Al{sub 2}O{sub 3}-5SiO{sub 2} were added to calcined BMT precursor. The sintering temperature of the glass-added BMT samples were lowered down to 1300 deg. C compared to solid-statemore » sintering where the temperature was 1650{sup o}C. The formation of high temperature satellite phases such as Ba{sub 5}Ta{sub 4}O{sub 15} and Ba{sub 7}Ta{sub 6}O{sub 22} were found to be suppressed by the glass addition. Addition of glass systems such as B{sub 2}O{sub 3}, ZnO-B{sub 2}O{sub 3}, 5ZnO-2B{sub 2}O{sub 3} and ZnO-B{sub 2}O{sub 3}-SiO{sub 2} improved the densification and microwave dielectric properties. Other glasses were found to react with BMT to form low-Q phases which prevented densification. The microwave dielectric properties of undoped BMT with a densification of 93.1% of the theoretical density were {epsilon}r=24.8, {tau}f=8ppm/{sup o}C and Q{sub u}xf=80,000GHz. The BMT doped with 1.0wt% of B{sub 2}O{sub 3} has Q{sub u}xf=124,700GHz, {epsilon}r=24.2, and {tau}f=-1.3ppm/ deg/ C. The unloaded Q factor of 0.2wt% ZnO-B{sub 2}O{sub 3}-doped BMT was 136,500GHz while that of 1.0wt% of 5ZnO-2B{sub 2}O{sub 3} added ceramic was Q{sub u}xf=141,800GHz. The best microwave quality factor was observed for ZnO-B{sub 2}O{sub 3}-SiO{sub 2} (ZBS) glass-added ceramics which can act as a perfect liquid-phase medium for the sintering of BMT. The microwave dielectric properties of 0.2wt% ZBS-added BMT dielectric was Q{sub u}xf=152,800GHz

  18. Piezoelectric properties of nonstoichiometric Sr1-xBi2+2x/3Ta2O9 ceramics

    NASA Astrophysics Data System (ADS)

    Jain, Rajni; Chauhan, Arun Kumar Singh; Gupta, Vinay; Sreenivas, K.

    2005-06-01

    The effect of poling on the structural, dielectric, and piezoelectric properties has been investigated for sol-gel-derived strontium bismuth tantalate (SBT) [Sr1-xBi2+2x/3Ta2O9] ceramics with x =0.0,0.15,0.30,0.45. The dielectric and ferroelectric properties are found to improve with increase in x up to 0.3. Beyond x >0.3 the properties are found to degrade due to the limited solid solubility and the presence of a mixed phase of bismuth tantalate (BiTaO4) is detected with x =0.45. Poling treatment reduces the dielectric dispersion and dielectric loss in the frequency range (0.1-100kHz). The resonance and antiresonance frequencies increase with increase in x (x=0-0.30), and the corresponding minimum impedance decreases. The measured coupling coefficients (kp) are small (0.0967-0.1) for x =0-0.30, and the electromechanical quality factor (Qm=915) is a maximum for the Sr0.7Bi2.2Ta2O9 composition (x=0.30). The estimated piezoelectric charge coefficient (d31) and piezoelectric voltage coefficient (g31) are 5.2pC/N and 5.8×10-3Vm/N, respectively. The positive values of d31 and g31 and the low dielectric permittivity of SBT yield a high value for the hydrostatic coefficients, despite the low charge coefficient of d33=24pC/N. The maximum values of charge coefficient (dh=34pC/N) and voltage coefficient (gh=39×10-3Vm/N) are obtained for Sr0.7Bi2.2Ta2O9 composition, and the estimated hydrostatic figure of merit (dhgh×10-15=1215m2/N) is high.

  19. Polycrystalline diamond RF MOSFET with MoO3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ren, Zeyang; Zhang, Jinfeng; Zhang, Jincheng; Zhang, Chunfu; Chen, Dazheng; Quan, Rudai; Yang, Jiayin; Lin, Zhiyu; Hao, Yue

    2017-12-01

    We report the radio frequency characteristics of the diamond metal-oxide-semiconductor field effect transistor with MoO3 gate dielectric for the first time. The device with 2-μm gate length was fabricated on high quality polycrystalline diamond. The maximum drain current of 150 mA/mm at VGS = -5 V and the maximum transconductance of 27 mS/mm were achieved. The extrinsic cutoff frequency of 1.2 GHz and the maximum oscillation frequency of 1.9 GHz have been measured. The moderate frequency characteristics are attributed to the moderate transconductance limited by the series resistance along the channel. We expect that the frequency characteristics of the device can be improved by increasing the magnitude of gm, or fundamentally decreasing the gate-controlled channel resistance and series resistance along the channel, and down-scaling the gate length.

  20. Co-Precipitation Synthesis and Characterization of SrBi2Ta2O9 Ceramic

    NASA Astrophysics Data System (ADS)

    Afqir, Mohamed; Tachafine, Amina; Fasquelle, Didier; Elaatmani, Mohamed; Carru, Jean-Claude; Zegzouti, Abdelouahad; Daoud, Mohamed

    2018-04-01

    Strontium bismuth tantalate (SrBi2Ta2O9) was synthesized by a co-precipitation method. The sample was characterized by x-ray powder diffraction patterns (XRD), Fourier-transform infrared spectroscopy (FTIR) and scanning electron microscopy (SEM). The results of the dielectric properties are reported at room temperature. No secondary phases were found while heating the powder at 850°C and the pure SrBi2Ta2O9 phase was formed, as revealed by XRD. The characteristic bands for SrBi2Ta2O9 were observed by FTIR at approximately 619 cm-1 and 810 cm-1. SEM micrographs for the sample displayed thin plate-like grains. The grain size was less than 1 μm and the crystallite size of about 24 nm. Dielectric response at room temperature shows that the SrBi2Ta2O9 ceramic has low loss values, and the flattening of the dielectric constant at higher frequencies. The observed Curie temperature is comparable with those reported in the literature.

  1. Electrode influence on the number of oxygen vacancies at the gate/high-κ dielectric interface in nanoscale MIM capacitors

    NASA Astrophysics Data System (ADS)

    Stojanovska-Georgievska, Lihnida

    2015-02-01

    In this paper, a particular attention has been paid in determining the impact of the type of top electrode (the gate), on the overall characteristics of the examined metal-insulator-metal structures, that contain doped Ta2O5:Hf high-κ dielectric as an insulator. For that purpose MIM capacitors with different metal gates (conventional Al and also W, Au, Pt, Mo, TiN, Ta) were formed. The results obtained, consider both the influence of metal work function and oxygen affinity, as possible reasons for increasing of number of oxygen vacancies at the gate/dielectric interface. Here we use capacitance-voltage alteration (C-V measurements) under constant current stress (CCS) conditions as characterization technique. The measurements show grater creation of positive oxygen vacancies in the case of metal electrodes with high work function, like Au and Pt, for almost one order of magnitude. It is also indicative that these metals have also the lowest values of heat of oxygen formation, which also favors the creation of oxygen vacancies. All results are discussed taking into consideration the nanoscale thickness of the dielectric layer (of the order of 8 nm), implicating the stronger effect of interface properties on the overall behavior rather than the one originating from the bulk of material.

  2. Amorphization of Ta2O5 under swift heavy ion irradiation

    NASA Astrophysics Data System (ADS)

    Cusick, Alex B.; Lang, Maik; Zhang, Fuxiang; Sun, Kai; Li, Weixing; Kluth, Patrick; Trautmann, Christina; Ewing, Rodney C.

    2017-09-01

    Crystalline Ta2O5 powder is shown to amorphize under 2.2 GeV 197Au ion irradiation. Synchrotron X-ray diffraction (XRD), Raman spectroscopy, small-angle X-ray scattering (SAXS), and transmission electron microscopy (TEM) were used to characterize the structural transition from crystalline to fully-amorphous. Based on Rietveld refinement of XRD data, the initial structure is orthorhombic (P2mm) with a very large unit cell (a = 6.20, b = 40.29, c = 3.89 Å; V = 971.7 Å3), ideally containing 22 Ta and 55 O atoms. At a fluence of approximately 3 × 1011 ions/cm2, a diffuse amorphous background becomes evident, increasing in intensity relative to diffraction maxima until full amorphization is achieved at approximately 3 × 1012 ions/cm2. An anisotropic distortion of the orthorhombic structure occurred during the amorphization process, with an approximately constant unit cell volume. The amorphous phase fraction as a function of fluence was determined, yielding a trend that is consistent with a direct-impact model for amorphization. SAXS and TEM data indicate that ion tracks exhibit a core-shell morphology. Raman data show that the amorphous phase is comprised of TaO6 and TaO5 coordination-polyhedra in contrast to the TaO6 and TaO7 units that exist in crystalline Ta2O5. Analysis of Raman data shows that oxygen-deficiency increases with fluence, indicating a loss of oxygen that leads to an estimated final stoichiometry of Ta2O4.2 at a fluence of 1 × 1013 ions/cm2.

  3. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  4. Combinatorial Investigation of ZrO2-Based Dielectric Materials for Dynamic Random-Access Memory Capacitors

    NASA Astrophysics Data System (ADS)

    Kiyota, Yuji; Itaka, Kenji; Iwashita, Yuta; Adachi, Tetsuya; Chikyow, Toyohiro; Ogura, Atsushi

    2011-06-01

    We investigated zirconia (ZrO2)-based material libraries in search of new dielectric materials for dynamic random-access memory (DRAM) by combinatorial-pulsed laser deposition (combi-PLD). We found that the substitution of yttrium (Y) to Zr sites in the ZrO2 system suppressed the leakage current effectively. The metal-insulator-metal (MIM) capacitor property of this system showed a leakage current density of less than 5×10-7 A/cm2 and the dielectric constant was 20. Moreover, the addition of titanium (Ti) or tantalum (Ta) to this system caused the dielectric constant to increase to ˜25 within the allowed leakage level of 5×10-7 A/cm2. Therefore, Zr-Y-Ti-O and Zr-Y-Ta-O systems have good potentials for use as new materials with high dielectric constants of DRAM capacitors instead of silicon dioxides (SiO2).

  5. Low-loss Ca{sub 5-x}Sr{sub x}A{sub 2}TiO{sub 12} [A=Nb,Ta] ceramics: Microwave dielectric properties and vibrational spectroscopic analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bijumon, Pazhoor Varghese; Sebastian, Mailadil Thomas; Dias, Anderson

    2005-05-15

    Complex perovskite-type Ca{sub 5-x}Sr{sub x}A{sub 2}TiO{sub 12} [A=Nb,Ta] (0{<=}x{<=}5) ceramics were prepared by conventional solid-state ceramic route. The crystal structure, microwave dielectric properties, and vibrational spectroscopic characteristics of these materials are reported. The structure and microstructure were investigated by x-ray diffraction and scanning electron microscopy techniques. The microwave dielectric properties were measured in the 3-5-GHz frequency range by the resonance method. Structural evolutions from orthorhombic to an averaged pseudocubic phase, with associated changes in dielectric properties, were observed as a function of composition. The structure-property relationships in these ceramics were established using Raman and Fourier transform infrared spectroscopic techniques. Ramanmore » analysis showed characteristic bands of ordered perovskite materials, with variation in both intensity and frequency as a function of composition.« less

  6. Xanthine oxidase functionalized Ta2O5 nanostructures as a novel scaffold for highly sensitive SPR based fiber optic xanthine sensor.

    PubMed

    Kant, Ravi; Tabassum, Rana; Gupta, Banshi D

    2018-01-15

    Fabrication and characterization of a surface plasmon resonance based fiber optic xanthine sensor using entrapment of xanthine oxidase (XO) enzyme in several nanostructures of tantalum (v) oxide (Ta 2 O 5 ) have been reported. Chemical route was adopted for synthesizing Ta 2 O 5 nanoparticles, nanorods, nanotubes and nanowires while Ta 2 O 5 nanofibers were prepared by electrospinning technique. The synthesized Ta 2 O 5 nanostructures were characterized by photoluminescence, scanning electron microscopy, UV-Visible spectra and X-ray diffraction pattern. The probes were fabricated by coating an unclad core of the fiber with silver layer followed by the deposition of XO entrapped Ta 2 O 5 nanostructures. The crux of sensing mechanism relies on the modification of dielectric function of sensing layer upon exposure to xanthine solution of diverse concentrations, reflected in terms of shift in resonance wavelength. The sensing probe coated with XO entrapped Ta 2 O 5 nanofibers has been turned out to possess maximum sensitivity amongst the synthesized nanostructures. The probe was optimized in terms of pH of the sample and the concentration of XO entrapped in Ta 2 O 5 nanofibers. The optimized sensing probe possesses a remarkably good sensitivity of 26.2nm/µM in addition to linear range from 0 to 3µM with an invincible LOD value of 0.0127µM together with a response time of 1min. Furthermore, probe selectivity with real sample analysis ensure the usage of the sensor for practical scenario. The results reported open a novel perspective towards a sensitive, rapid, reliable and selective detection of xanthine. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Titanium-tungsten nanocrystals embedded in a SiO(2)/Al(2)O(3) gate dielectric stack for low-voltage operation in non-volatile memory.

    PubMed

    Yang, Shiqian; Wang, Qin; Zhang, Manhong; Long, Shibing; Liu, Jing; Liu, Ming

    2010-06-18

    Titanium-tungsten nanocrystals (NCs) were fabricated by a self-assembly rapid thermal annealing (RTA) process. Well isolated Ti(0.46)W(0.54) NCs were embedded in the gate dielectric stack of SiO(2)/Al(2)O(3). A metal-oxide-semiconductor (MOS) capacitor was fabricated to investigate its application in a non-volatile memory (NVM) device. It demonstrated a large memory window of 6.2 V in terms of flat-band voltage (V(FB)) shift under a dual-directional sweeping gate voltage of - 10 to 10 V. A 1.1 V V(FB) shift under a low dual-directional sweeping gate voltage of - 4 to 4 V was also observed. The retention characteristic of this MOS capacitor was demonstrated by a 0.5 V memory window after 10(4) s of elapsed time at room temperature. The endurance characteristic was demonstrated by a program/erase cycling test.

  8. Interface structure in Cu/Ta2O5/Pt resistance switch: a first-principles study.

    PubMed

    Xiao, Bo; Watanabe, Satoshi

    2015-01-14

    The interface structures of a Cu/Ta2O5/Pt resistance switch under various oxidation conditions have been examined from first-principles. The O-rich Cu/Ta2O5 interface is found to be stable within a wide range of O chemical potentials. In this interface structure, a considerable number of interface Cu atoms tend to migrate to the amorphous Ta2O5 (a-Ta2O5) layer, which causes the formation of the Cu2O layer. The interface Cu atoms become more ionized with an increase in the interface O concentration and/or temperature. These ionized Cu(+) ions could function as one of the main sources for the formation of conduction filaments in the Cu/a-Ta2O5/Pt resistance switch. In contrast, the ionization of the interface Cu atoms is not observed in the Cu/crystal-Ta2O5 interface primarily due to the much lower Cu ionic conductivity in crystal-Ta2O5 than that in amorphous state. In addition, the Pt electrode could not be ionized, irrespective of the interface O concentration and temperature. The formation of interface O vacancies in Pt/Ta2O5 is always energetically more stable than that in Cu/Ta2O5, which may be partly responsible for the cone shape of conduction filament formed in the Cu/a-Ta2O5/Pt resistance switch, where the base of the cone lies on the Pt/Ta2O5 interface.

  9. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    NASA Astrophysics Data System (ADS)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  10. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    NASA Astrophysics Data System (ADS)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  11. Structural and Electrical Characterization of SiO2 Gate Dielectrics Deposited from Solutions at Moderate Temperatures in Air.

    PubMed

    Esro, Mazran; Kolosov, Oleg; Jones, Peter J; Milne, William I; Adamopoulos, George

    2017-01-11

    Silicon dioxide (SiO 2 ) is the most widely used dielectric for electronic applications. It is usually produced by thermal oxidation of silicon or by using a wide range of vacuum-based techniques. By default, the growth of SiO 2 by thermal oxidation of silicon requires the use of Si substrates whereas the other deposition techniques either produce low quality or poor interface material and mostly require high deposition or annealing temperatures. Recent investigations therefore have focused on the development of alternative deposition paradigms based on solutions. Here, we report the deposition of SiO 2 thin film dielectrics deposited by spray pyrolysis in air at moderate temperatures of ≈350 °C from pentane-2,4-dione solutions of SiCl 4 . SiO 2 dielectrics were investigated by means of UV-vis absorption spectroscopy, spectroscopic ellipsometry, XPS, XRD, UFM/AFM, admittance spectroscopy, and field-effect measurements. Data analysis reveals smooth (R RMS < 1 nm) amorphous films with a dielectric constant of about 3.8, an optical band gap of ≈8.1 eV, leakage current densities in the order of ≈10 -7 A/cm 2 at 1 MV/cm, and high dielectric strength in excess of 5 MV/cm. XPS measurements confirm the SiO 2 stoichiometry and FTIR spectra reveal features related to SiO 2 only. Thin film transistors implementing spray-coated SiO 2 gate dielectrics and C 60 and pentacene semiconducting channels exhibit excellent transport characteristics, i.e., negligible hysteresis, low leakage currents, high on/off current modulation ratio on the order of 10 6 , and high carrier mobility.

  12. Structural Evaluation of 5,5'-Bis(naphth-2-yl)-2,2'-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric.

    PubMed

    Lauritzen, Andreas E; Torkkeli, Mika; Bikondoa, Oier; Linnet, Jes; Tavares, Luciana; Kjelstrup-Hansen, Jakob; Knaapila, Matti

    2018-05-25

    We report on the structure and morphology of 5,5'-bis(naphth-2-yl)-2,2'-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO 2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO 2 (corresponding to higher surface energy, 47 mJ/m 2 ) or on OTS deposited on SiO 2 under (2) anhydrous or (3) humid conditions (corresponding to lower surface energies, 20-25 mJ/m 2 ). NaT2 films grown on pristine SiO 2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO 2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time, the grain size measured by AFM increases from hundreds of nanometers to micrometers and the crystal size measured by GIXRD from 30 nm to more than 100 nm. NaT2 on OTS/SiO 2 deposited under humid conditions also promotes staggered pyramids but with smaller crystals 30-80 nm. The NaT2 unit cell parameters in OFETs differ 1-2% from those in bulk. Carrier mobilities tend to be higher for NaT2 layers on SiO 2 (2-3 × 10 -4 cm 2 /(V s)) compared to NaT2 on OTS (2 × 10 -5 -1 × 10 -4 cm 2 /(V s)). An applied voltage does not influence the unit cell parameters when probed by GIXRD in operando.

  13. Effect of incorporation of nitrogen atoms in Al2O3 gate dielectric of wide-bandgap-semiconductor MOSFET on gate leakage current and negative fixed charge

    NASA Astrophysics Data System (ADS)

    Kojima, Eiji; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Hosoi, Takuji; Watanabe, Heiji; Shiraishi, Kenji

    2018-06-01

    We performed first-principle calculations to investigate the effect of incorporation of N atoms into Al2O3 gate dielectrics. Our calculations show that the defect levels generated by VO in Al2O3 are the origin of the stress-induced gate leakage current and that VOVAl complexes in Al2O3 cause negative fixed charge. We revealed that the incorporation of N atoms into Al2O3 eliminates the VO defect levels, reducing the stress-induced gate leakage current. Moreover, this suppresses the formation of negatively charged VOVAl complexes. Therefore, AlON can reduce both stress-induced gate leakage current and negative fixed charge in wide-bandgap-semiconductor MOSFETs.

  14. Effects of Ta2O5 Addition on Electrical Properties of ZnO-V2O5 Based Varistor Ceramics

    NASA Astrophysics Data System (ADS)

    Fan, J. W.; Zhao, H. J.; Zhang, X. L.

    2018-05-01

    ZnO varistors are widely used for the protection of electronic and electrical equipment against transient surges. ZnO–V2O5 based varistor system is a potential candidate which can co-fire with Ag, and avoids the use of expensive Pa and Pt as the inner electrode in making multilayer chip varistors. However, the study of ZnO–V2O5-based ceramics is still in the initial stage for practical applications. The current work reports the effects of Ta2O5 on the electrical properties of ZnO-V2O5 based varistor ceramics. It shows that within 850-925°C experimental sintering temperature, the addition of Ta2O5 (0.05-0.20 mol%) may not improve the nonlinear coefficient but reduces the breakdown field of ZnO–V2O5 varistor ceramics.

  15. Low temperature solution processed high-κ ZrO2 gate dielectrics for nanoelectonics

    NASA Astrophysics Data System (ADS)

    Kumar, Arvind; Mondal, Sandip; Rao, K. S. R. Koteswara

    2016-05-01

    The high-κ gate dielectrics, specifically amorphous films offer salient features such as exceptional mechanical flexibility, smooth surfaces and better uniformity associated with low leakage current density. In this work, ∼35 nm thick amorphous ZrO2 films were deposited on silicon substrate at low temperature (300 °C, 1 h) from facile spin-coating method and characterized by various analytical techniques. The X-ray diffraction and X-ray photoelectron spectroscopy reveal the formation of amorphous phase ZrO2, while ellipsometry analysis together with the Atomic Force Microscope suggest the formation of dense film with surface roughness of 1.5 Å, respectively. The fabricated films were integrated in metal-oxide-semiconductor (MOS) structures to check the electrical capabilities. The oxide capacitance (Cox), flat band capacitance (CFB), flat band voltage (VFB), dielectric constant (κ) and oxide trapped charges (Qot) extracted from high frequency (1 MHz) C-V curve are 186 pF, 104 pF, 0.37 V, 15 and 2 × 10-11 C, respectively. The small flat band voltage 0.37 V, narrow hysteresis and very little frequency dispersion between 10 kHz-1 MHz suggest an excellent a-ZrO2/Si interface with very less trapped charges in the oxide. The films exhibit a low leakage current density 4.7 × 10-9 A/cm2 at 1 V. In addition, the charge transport mechanism across the MOSC is analyzed and found to have a strong bias dependence. The space charge limited conduction mechanism is dominant in the high electric field region (1.3-5 V) due to the presence of traps, while the trap-supported tunneling is prevailed in the intermediate region (0.35-1.3 V). Low temperature solution processed ZrO2 thin films obtained are of high quality and find their importance as a potential dielectric layer on Si and polymer based flexible electronics.

  16. SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    NASA Astrophysics Data System (ADS)

    Watanabe, Kenta; Terashima, Daiki; Nozaki, Mikito; Yamada, Takahiro; Nakazawa, Satoshi; Ishida, Masahiro; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

  17. The preparation and photocatalytic activity of CdS/(Cal-Ta2O5-SiO2) composite photocatalyst under visible light

    NASA Astrophysics Data System (ADS)

    Li, Juxia

    2018-02-01

    CdS/(Cal-Ta2O5-SiO2) composite photocatalyst has been successfully fabricated via wet chemistry method. Ta2O5-SiO2 with multi-step Ta2O5 deposition on SiO2 has more Ta2O5 on SiO2 to ensure the active sites. Trough multi-step calcination, Ta2O5 can load on SiO2 with uniform and stable, which make it have high photocatalytic activity. The obtained samples were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), diffuse reflectance ultraviolet-visible spectroscopy (UV-vis) and photoluminescence spectroscopy (PL). Without any co-catalysts, the as-prepared CdS/(Cal-Ta2O5-SiO2) exhibited remarkable photocatalytic activity and recyclability both in the degradation of rhodamine B and in the hydrogen production from water splitting under visible light.

  18. The effect of dopants on the microwave dielectric properties of Ba(Mg{sub 0.33}Ta{sub 0.67})O{sub 3} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surendran, Kuzhichalil P.; Sebastian, Mailadil T.; Mohanan, Pezholil

    2005-11-01

    The effect of dopants with different valencies and ionic radii on the densification, structural ordering, and microwave dielectric properties of Ba(Mg{sub 1/3}Ta{sub 2/3})O{sub 3} (BMT) is investigated. It is found that dopants such as Sb{sub 2}O{sub 5}, MnO, ZrO{sub 2}, WO{sub 3}, and ZnO improve the microwave dielectric properties of BMT. Addition of trivalent dopants is detrimental to the cation ordering and dielectric properties of BMT. A correlation between the microwave dielectric properties of BMT and ionic radii of the dopant has been established. The variation of the dielectric properties of pure and doped BMT at cryogenic temperatures is alsomore » discussed.« less

  19. Structure and physical properties of EuTa{sub 2}O{sub 6} tungsten bronze polymorph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolodiazhnyi, T., E-mail: kolodiazhnyi.taras@nims.go.jp; Sakurai, H.; Vasylkiv, O.

    A tetragonal tungsten bronze (TTB) polymorph of EuTa{sub 2}O{sub 6} was prepared and analyzed. EuTa{sub 2}O{sub 6} crystallizes in the centrosymmetric Pnam space group (with unit cell: a = 12.3693, b = 12.4254, and c = 7.7228 Å) isomorphous with orthorhombic β-SrTa{sub 2}O{sub 6}. In contrast to early reports, we see no evidence of deviation from paramagnetic Curie-Weiss behavior among the Eu{sup 2+} 4f{sup 7}spins in EuTa{sub 2}O{sub 6} down to 2 K. Dielectric constant shows a broad peak at ca. 50 K with dielectric dispersion resembling diffuse phase transition. The relaxation time, however, follows a simple (non-freezing) thermally activated process with an activation energy of 92 meV and anmore » attempt frequency of f{sub 0} = 5.79 × 10{sup 12 }Hz. A thermal conductivity of EuTa{sub 2}O{sub 6} shows a low-temperature (T ≈ 30 K) “plateau” region reminiscent of a glass-like behaviour in Nb-based TTB compounds. This behaviour can be attributed to the loosely bound Eu{sup 2+} ions occupying large tricapped trigonal prismatic sites in the EuTa{sub 2}O{sub 6} structure.« less

  20. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  1. From Ba{sub 3}Ta{sub 5}O{sub 14}N to LaBa{sub 2}Ta{sub 5}O{sub 13}N{sub 2}: Decreasing the optical band gap of a photocatalyst

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anke, B.; Bredow, T.; Pilarski, M.

    Yellow LaBa{sub 2}Ta{sub 5}O{sub 13}N{sub 2} was successfully synthesized as phase-pure material crystallizing isostructurally to previously reported Ba{sub 3}Ta{sub 5}O{sub 14}N and mixed-valence Ba{sub 3}Ta{sup V}{sub 4}Ta{sup IV}O{sub 15}. The electronic structure of LaBa{sub 2}Ta{sub 5}O{sub 13}N{sub 2} was studied theoretically with the range-separated hybrid method HSE06. The most stable structure was obtained when lanthanum was placed on 2a and nitrogen on 4h sites confirming Pauling's second rule. By incorporating nitrogen, the measured band gap decreases from ∼3.8 eV for the oxide via 2.74 eV for Ba{sub 3}Ta{sub 5}O{sub 14}N to 2.63 eV for the new oxide nitride, giving risemore » to an absorption band well in the visible-light region. Calculated fundamental band gaps confirm the experimental trend. The atom-projected density of states has large contributions from N2p orbitals close to the valence band edge. These are responsible for the observed band gap reduction. Photocatalytic hydrogen formation was investigated and compared with that of Ba{sub 3}Ta{sub 5}O{sub 14}N revealing significantly higher activity for LaBa{sub 2}Ta{sub 5}O{sub 13}N{sub 2} under UV-light. - Graphical abstract: X-ray powder diffraction pattern of LaBa{sub 2}Ta{sub 5}O{sub 13}N{sub 2} with the results of the Rietveld refinements. Inset: Unit cell of LaBa{sub 2}Ta{sub 5}O{sub 13}N{sub 2} and polyhedral representation of the crystal structure. - Highlights: • Synthesis of a new oxide nitride LaBa{sub 2}Ta{sub 5}O{sub 13}N{sub 2}. • Refinement of the crystal structure. • Quantum chemical calculations provided band gap close to the measured value. • New phase shows a higher photocatalytic H{sub 2} evolution rate compared to prior tested Ba{sub 3}Ta{sub 5}O{sub 14}N.« less

  2. Deposition temperature dependent optical and electrical properties of ALD HfO{sub 2} gate dielectrics pretreated with tetrakisethylmethylamino hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, J.; School of Sciences, Anhui University of Science and Technology, Huainan 232001; He, G., E-mail: hegang@ahu.edu.cn

    2015-10-15

    Highlights: • ALD-derived HfO{sub 2} gate dielectrics have been deposited on Si substrates. • The leakage current mechanism for different deposition temperature was discussed. • Different emission at different field region has been determined precisely. - Abstract: The effect of deposition temperature on the growth rate, band gap energy and electrical properties of HfO{sub 2} thin film deposited by atomic layer deposition (ALD) has been investigated. By means of characterization of spectroscopy ellipsometry and ultraviolet–visible spectroscopy, the growth rate and optical constant of ALD-derived HfO{sub 2} gate dielectrics are determined precisely. The deposition temperature dependent electrical properties of HfO{sub 2}more » films were determined by capacitance–voltage (C–V) and leakage current density–voltage (J–V) measurements. The leakage current mechanism for different deposition temperature has been discussed systematically. As a result, the optimized deposition temperature has been obtained to achieve HfO{sub 2} thin film with high quality.« less

  3. Hollow waveguides with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films

    NASA Astrophysics Data System (ADS)

    Zhao, Y.; Jenkins, M.; Measor, P.; Leake, K.; Liu, S.; Schmidt, H.; Hawkins, A. R.

    2011-02-01

    A type of integrated hollow core waveguide with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films is demonstrated. Hollow core waveguides made with a combination of plasma-enhanced chemical vapor deposition SiO2 and sputtered Ta2O5 provide a nearly optimal structure for optofluidic biofluorescence measurements with low optical loss, high fabrication yield, and low background photoluminescence. Compared to earlier structures made using Si3N4, the photoluminescence background of Ta2O5 based hollow core waveguides is decreased by a factor of 10 and the signal-to-noise ratio for fluorescent nanobead detection is improved by a factor of 12.

  4. Designing hybrid gate dielectric for fully printing high-performance carbon nanotube thin film transistors

    NASA Astrophysics Data System (ADS)

    Li, Qian; Li, Shilong; Yang, Dehua; Su, Wei; Wang, Yanchun; Zhou, Weiya; Liu, Huaping; Xie, Sishen

    2017-10-01

    The electrical characteristics of carbon nanotube (CNT) thin-film transistors (TFTs) strongly depend on the properties of the gate dielectric that is in direct contact with the semiconducting CNT channel materials. Here, we systematically investigated the dielectric effects on the electrical characteristics of fully printed semiconducting CNT-TFTs by introducing the organic dielectrics of poly(methyl methacrylate) (PMMA) and octadecyltrichlorosilane (OTS) to modify SiO2 dielectric. The results showed that the organic-modified SiO2 dielectric formed a favorable interface for the efficient charge transport in s-SWCNT-TFTs. Compared to single-layer SiO2 dielectric, the use of organic-inorganic hybrid bilayer dielectrics dramatically improved the performances of SWCNT-TFTs such as mobility, threshold voltage, hysteresis and on/off ratio due to the suppress of charge scattering, gate leakage current and charge trapping. The transport mechanism is related that the dielectric with few charge trapping provided efficient percolation pathways for charge carriers, while reduced the charge scattering. High density of charge traps which could directly act as physical transport barriers and significantly restrict the charge carrier transport and, thus, result in decreased mobile carriers and low device performance. Moreover, the gate leakage phenomenon is caused by conduction through charge traps. So, as a component of TFTs, the gate dielectric is of crucial importance to the manufacture of high quality TFTs from the aspects of affecting the gate leakage current and device operation voltage, as well as the charge carrier transport. Interestingly, the OTS-modified SiO2 allows to directly print horizontally aligned CNT film, and the corresponding devices exhibited a higher mobility than that of the devices with the hybrid PMMA/SiO2 dielectric although the thickness of OTS layer is only ˜2.5 nm. Our present result may provide key guidance for the further development of printed

  5. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  6. Schottky barrier SOI-MOSFETs with high-k La2O3/ZrO2 gate dielectrics

    PubMed Central

    Henkel, C.; Abermann, S.; Bethge, O.; Pozzovivo, G.; Klang, P.; Stöger-Pollach, M.; Bertagnolli, E.

    2011-01-01

    Schottky barrier SOI-MOSFETs incorporating a La2O3/ZrO2 high-k dielectric stack deposited by atomic layer deposition are investigated. As the La precursor tris(N,N′-diisopropylformamidinato) lanthanum is used. As a mid-gap metal gate electrode TiN capped with W is applied. Processing parameters are optimized to issue a minimal overall thermal budget and an improved device performance. As a result, the overall thermal load was kept as low as 350, 400 or 500 °C. Excellent drive current properties, low interface trap densities of 1.9 × 1011 eV−1 cm−2, a low subthreshold slope of 70-80 mV/decade, and an ION/IOFF current ratio greater than 2 × 106 are obtained. PMID:21461054

  7. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    NASA Astrophysics Data System (ADS)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-09-01

    An effective method for determining the optical constants of Ta2O5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta2O5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta2O5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta2O5. This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices.

  8. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    NASA Astrophysics Data System (ADS)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  9. Synthesis and electron storage characteristics of isolated silver nanodots on/embedded in Al 2O 3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Q.; Song, Z. T.; Liu, W. L.; Lin, C. L.; Wang, T. H.

    2004-05-01

    Monolayer-isolated silver (Ag) nanodots with the average diameter down to 7 nm are synthesized on Al 2O 3/Si substrate by vacuum electron-beam evaporation followed by annealing at 400 °C in N 2 ambient. Metal-insulator-silicon (MIS) structures with Ag nanodots embedded in Al 2O 3 gate dielectric are fabricated. Clear electron storage effect with the flatband voltage shift of 1.3 eV is observed through capacitance-conductance and conductance-voltage measurements. Our results demonstrate the feasibility of applying Ag nanodots for nanocrystal floating-gate memory devices.

  10. Laser stimulated third harmonic generation studies in ZnO-Ta2O5-B2O3 glass ceramics entrenched with Zn3Ta2O8 crystal phases

    NASA Astrophysics Data System (ADS)

    Siva Sesha Reddy, A.; Jedryka, J.; Ozga, K.; Ravi Kumar, V.; Purnachand, N.; Kityk, I. V.; Veeraiah, N.

    2018-02-01

    In this study zinc borate glasses doped with different concentrations Ta2O5 were synthesized and were crystallized by heat treatment for prolonged times. The samples were characterized by XRD, SEM, IR and Raman spectroscopy techniques. The SEM images of the crystallized samples have indicated that the samples contain randomly distributed crystal grains with size ∼1 μm entrenched in the residual amorphous phase. XRD studies have exhibited diffraction peaks identified as being due to the reflections from (1 1 1) planes of monoclinic Zn3Ta2O8 crystal phase that contains intertwined tetrahedral zinc and octahedral tantalate structural units. The concentration of such crystal phases in the bulk samples is observed to increase with increase of Ta2O5 up to 3.0 mol%. The IR and Raman spectroscopy studies have confirmed the presence of ZnO4 and TaO6 structural units in the glass network in addition to the conventional borate structural units. For measuring third harmonic generation (THG) in the samples, the samples were irradiated with 532 nm laser beam and the intensity of THG of probing beam (Nd:YAG λ = 1064 nm 20 ns pulsed laser (ω)) is measured as a function of fundamental beam power varying up to 200 J/m2. The intensity of THG is found to be increasing with increase of fundamental beam power and found to be the maximal for the glass crystallized with 3.0 mol% of Ta2O5. The intensity of THG of the ceramicized samples is found to be nearly 5 times higher with respect to that of pre-crystallized samples. The generation of 3ω is attributed to the perturbation/interaction between Zn3Ta2O8 anisotropic crystal grains and the incident probing beam.

  11. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  12. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  13. Transparent ALD-grown Ta2O5 protective layer for highly stable ZnO photoelectrode in solar water splitting.

    PubMed

    Li, Chengcheng; Wang, Tuo; Luo, Zhibin; Zhang, Dong; Gong, Jinlong

    2015-04-30

    This communication describes a highly stable ZnO/Ta2O5 photoanode with Ta2O5 deposited by atomic layer deposition. The ultrathin Ta2O5 protective layer prevents corrosion of ZnO and reduces surface carrier recombination, leading to a nearly two-fold increase of photo-conversion efficiency. The transparency of Ta2O5 to sunlight is identified as the main reason for the excellent stability of the photoelectrode for 5 hours.

  14. Investigation of microstructural and electrical properties of composition dependent co-sputtered Hf1-x Ta x O2 thin films

    NASA Astrophysics Data System (ADS)

    Das, K. C.; Tripathy, N.; Ghosh, S. P.; Mohanta, S. K.; Nakamura, A.; Kar, J. P.

    2017-11-01

    Tantalum doped HfO2 gate dielectric thin films were deposited on silicon substrates using RF reactive co-sputtering by varying RF power of Ta target from 15 W to 90 W. The morphological, compositional and electrical properties of Hf1-x Ta x O2 films were systematically investigated. The Ta content was found to be increased up to 21% for a Ta target power of 90 W. The evolution of monoclinic phase of Hf1-x Ta x O2 was seen from XRD study upto RF power of 60 W and afterwards, the amorphous like behaviour is appeared. The featureless smooth surface with the decrease in granular morphology has been observed from FESEM micrographs of the doped films at higher RF powers of Ta. The flatband voltage is found to be shifted towards negative voltage in the capacitance-voltage plot, which was attributed to the enhancement in positive oxide charge density with rise in RF power. The interface charge density has a minimum value of 7.85  ×  1011 eV-1 cm-2 for the film deposited at Ta RF power of 75 W. The Hf1-x Ta x O2 films deposited at Ta target RF power of 90 W has shown lower leakage current. The high on/off ratio of the current during the set process in Hf1-x Ta x O2 based memristors is found suitable for bipolar resistive switching memory device applications.

  15. The impedance spectroscopic study and dielectric relaxation in A(Ni1/3Ta2/3)O3 [A=Ba, Ca and Sr

    NASA Astrophysics Data System (ADS)

    Hoque, Md M.; Dutta, A.; Kumar, S.; Sinha, T. P.

    2012-09-01

    We present the results of impedance spectroscopic study with its analytical interpretations in the framework of electric modulus formalism for Barium Nickel Tantalate Ba(Ni1/3Ta2/3)O3 (BNT), Calcium Nickel Tantalate Ca(Ni1/3Ta2/3)O3 (CNT) and Strontium Nickel Tantalate Sr(Ni1/3Ta2/3)O3 (SNT) synthesized by the solid-state reaction technique. The results of powder X-ray diffraction study reveal that BNT and SNT crystallize in cubic structure with lattice parameter a=4.07 Å and 3.98 Å respectively, whereas CNT crystallizes in monoclinic structure having lattice parameters, a=5.71 Å, b=13.45 Å and c=5.47 Å with β=118.3°. The logarithmic angular frequency dependence of the real part of complex dielectric permittivity and loss tangent as a function of temperature indicate significant dielectric relaxation in the samples, which have been explained by the Debye theory. The frequency dependence of the loss peak and the imaginary part of electrical modulus are found to obey the Arrhenius law. The relaxation mechanism of these samples is modeled by the Cole-Cole equation. This confirms that the polarization mechanism in BNT, CNT and SNT is due to the bulk effect arising in semiconductive grains. The scaling behavior of imaginary part of electric modulus M″ suggests that the relaxation describes the same mechanism at various temperatures but relaxation frequency is strongly temperature dependent. The normalized peak positions of tan δ/tan δm and M″/M″m versus log ω for BNT, CNT and SNT do not overlap completely and are very close to each other. These indicate the presence of both long-range and localized relaxation. Due to their high dielectric constant and low loss tangent, these materials may find several technological applications such as in capacitors, resonators, filters and integrated circuits.

  16. The microwave properties of Ag(Ta0.8Nb0.2)O3 thick film interdigital capacitors on alumina substrates

    NASA Astrophysics Data System (ADS)

    Lee, Ku-Tak; Koh, Jung-Hyuk

    2012-01-01

    In this paper, we will introduce the microwave properties of Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors fabricated on alumina substrates. The tailored paraelectric state of Ag(Ta,Nb)O3 allows the material to be regarded as a part of the family of microwave materials. As thick films formed in our experiment, Ag(Ta,Nb)O3 exhibited extremely low dielectric loss with relatively high dielectric permittivity. This low dielectric loss is a very important issue for microwave applications. Therefore, we investigated the microwave properties of Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors. Ag(Ta0.8Nb0.2)O3 thick films were prepared by a screen-printing method on alumina substrates and were sintered at 1140 °C for 2 hrs. The XRD analysis results showed that the Ag(Ta0.8Nb0.2)O3 thick film has the perovskite structure. The frequency dependent dielectric permittivity showed that these Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors have very weak frequency dispersions with low loss tangents in the microwave range.

  17. A study on the resistance switching of Ag2Se and Ta2O5 heterojunctions using structural engineering

    NASA Astrophysics Data System (ADS)

    Lee, Tae Sung; Lee, Nam Joo; Abbas, Haider; Hu, Quanli; Yoon, Tae-Sik; Lee, Hyun Ho; Le Shim, Ee; Kang, Chi Jung

    2018-01-01

    The resistive random access memory (RRAM) devices with heterostuctures have been investigated due to cycling stability, nonlinear switching, complementary resistive switching and self-compliance. The heterostructured devices can modulate the resistive switching (RS) behavior appropriately by bilayer structure with a variety of materials. In this study, the bipolar resistive switching characteristics of the bilayer structures composed of Ta2O5 and Ag2Se, which are transition-metal oxide (TMO) and silver chalcogenide, were investigated. The bilayer devices of Ta2O5 deposited on Ag2Se (Ta2O5/Ag2Se) and Ag2Se deposited on Ta2O5 (Ag2Se/Ta2O5) were fabricated for investigation of the RS characteristics by stacking sequence of Ta2O5 and Ag2Se. All operating voltages were applied to the Ag top electrode with the Pt bottom electrode grounded. The Ta2O5/Ag2Se device showed that a negative voltage sweep switched the device from high resistance state (HRS) to low resistance state (LRS) and a positive voltage sweep switched the device from LRS to HRS. On the contrary, for the Ag2Se/Ta2O5 device a positive voltage sweep switched the device from HRS to LRS, and a negative voltage sweep switched it from LRS to HRS. The polarity dependence of RS was attributed to the stacking sequence of Ta2O5 and Ag2Se. In addition, the combined heterostructured device of both bilayer stacks, Ta2O5/Ag2Se and Ag2Se/Ta2O5, exhibited the complementary switching characteristics. By using threshold switching devices, sneak path leakage can be reduced without additional selectors. The bilayer heterostructures of Ta2O5 and Ag2Se have various advantages such as self-compliance, reproducibility and forming-free stable RS. It confirms the possible applications of TMO and silver chalcogenide heterostructures in RRAM.

  18. Colossal permittivity behavior and its origin in rutile (Mg1/3Ta2/3)xTi1-xO2.

    PubMed

    Dong, Wen; Chen, Dehong; Hu, Wanbiao; Frankcombe, Terry J; Chen, Hua; Zhou, Chao; Fu, Zhenxiao; Wei, Xiaoyong; Xu, Zhuo; Liu, Zhifu; Li, Yongxiang; Liu, Yun

    2017-08-30

    This work investigates the synthesis, chemical composition, defect structures and associated dielectric properties of (Mg 2+ , Ta 5+ ) co-doped rutile TiO 2 polycrystalline ceramics with nominal compositions of (Mg 2+ 1/3 Ta 5+ 2/3 ) x Ti 1-x O 2 . Colossal permittivity (>7000) with a low dielectric loss (e.g. 0.002 at 1 kHz) across a broad frequency/temperature range can be achieved at x = 0.5% after careful optimization of process conditions. Both experimental and theoretical evidence indicates such a colossal permittivity and low dielectric loss intrinsically originate from the intragrain polarization that links to the electron-pinned [Formula: see text] defect clusters with a specific configuration, different from the defect cluster form previously reported in tri-/pent-valent ion co-doped rutile TiO 2 . This work extends the research on colossal permittivity and defect formation to bi-/penta-valent ion co-doped rutile TiO 2 and elucidates a likely defect cluster model for this system. We therefore believe these results will benefit further development of colossal permittivity materials and advance the understanding of defect chemistry in solids.

  19. Laser damage comparisons of broad-bandwidth, high-reflection optical coatings containing TiO 2, Nb 2O 5, or Ta 2O 5 high-index layers

    DOE PAGES

    Field, Ella Suzanne; Bellum, John Curtis; Kletecka, Damon E.

    2016-09-21

    Broad bandwidth coatings allow angle of incidence flexibility and accommodate spectral shifts due to aging and water absorption. Higher refractive index materials in optical coatings, such as TiO 2, Nb 2O 5, and Ta 2O 5, can be used to achieve broader bandwidths compared to coatings that contain HfO 2 high index layers. We have identified the deposition settings that lead to the highest index, lowest absorption layers of TiO 2, Nb 2O 5, and Ta 2O 5, via e-beam evaporation using ion-assisted deposition. We paired these high index materials with SiO 2 as the low index material to createmore » broad bandwidth high reflection coatings centered at 1054 nm for 45 deg angle of incidence and P polarization. Furthermore, high reflection bandwidths as large as 231 nm were realized. Laser damage tests of these coatings using the ISO 11254 and NIF-MEL protocols are presented, which revealed that the Ta 2O 5/SiO 2 coating exhibits the highest resistance to laser damage, at the expense of lower bandwidth compared to the TiO 2/SiO 2 and Nb 2O 5/SiO 2 coatings.« less

  20. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  1. Improved dc and power performance of AlGaN/GaN high electron mobility transistors with Sc 2O 3 gate dielectric or surface passivation

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R. C.; Moser, N.; Gillespie, J. K.; Jessen, G. H.; Jenkins, T. J.; Yannuzi, M. J.; Via, G. D.; Crespo, A.

    2003-10-01

    The dc and power characteristics of AlGaN/GaN MOS-HEMTs with Sc 2O 3 gate dielectrics were compared with that of conventional metal-gate HEMTs fabricated on the same material. The MOS-HEMT shows higher saturated drain-source current (˜0.75 A/mm) and significantly better power-added efficiency (PAE, 27%) relative to the HEMT (˜0.6 A/mm and ˜5%). The Sc 2O 3 also provides effective surface passivation, with higher drain current, lower leakage currents and higher three-terminal breakdown voltage in passivated devices relative to unpassivated devices. The PAE also increases (from ˜5% to 12%) on the surface passivated HEMTs, showing that Sc 2O 3 is an attractive option for reducing gate and surface leakage in AlGaN/GaN heterostructure transistors.

  2. High-quality single crystal growth and magnetic property of Mn4Ta2O9

    NASA Astrophysics Data System (ADS)

    Cao, Yiming; Xu, Kun; Yang, Ya; Yang, Wangfan; Zhang, Yuanlei; Kang, Yanru; He, Xijia; Zheng, Anmin; Liu, Mian; Wei, Shengxian; Li, Zhe; Cao, Shixun

    2018-06-01

    A large-size single crystal of Mn4Ta2O9 with ∼3.5 mm in diameter and ∼65 mm in length was successfully grown for the first time by a newly designed one-step method based on the optical floating zone technique. Both the clear Laue spots and sharp XRD Bragg reflections suggest the high quality of the single crystal. In Mn4Ta2O9 single crystal, an antiferromagnetic phase transition was observed below Néel temperature 102 K along c axis, which is similar to the isostructural compound Mn4Nb2O9, but differs from the isostructural Co4Nb2O9. Relative dielectric constant at 30 kOe suggests that no magnetoelectric coupling exists in Mn4Ta2O9.

  3. Performance and Stability Enhancement of In-Sn-Zn-O TFTs Using SiO2 Gate Dielectrics Grown by Low Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Han, Ju-Hwan; Choi, Wan-Ho; Park, Jozeph; Park, Jin-Seong

    2017-12-13

    Silicon dioxide (SiO 2 ) films were synthesized by plasma-enhanced atomic layer deposition (PEALD) using BTBAS [bis(tertiarybutylamino) silane] as the precursor and O 2 plasma as the reactant, at a temperature range from 50 to 200 °C. While dielectric constant values larger than 3.7 are obtained at all deposition temperatures, the leakage current levels are drastically reduced to below 10 -12 A at temperatures above 150 °C, which are similar to those obtained in thermally oxidized and PECVD grown SiO 2 . Thin film transistors (TFTs) based on In-Sn-Zn-O (ITZO) semiconductors were fabricated using thermal SiO 2 , PECVD SiO 2 , and PEALD SiO 2 grown at 150 °C as the gate dielectrics, and superior device performance and stability are observed in the last case. A linear field effect mobility of 68.5 cm 2 /(V s) and a net threshold voltage shift (ΔV th ) of approximately 1.2 V under positive bias stress (PBS) are obtained using the PEALD SiO 2 as the gate insulator. The relatively high concentration of hydrogen in the PEALD SiO 2 is suggested to induce a high carrier density in the ITZO layer deposited onto it, which results in enhanced charge transport properties. Also, it is most likely that the hydrogen atoms have passivated the electron traps related to interstitial oxygen defects, thus resulting in improved stability under PBS. Although the PECVD SiO 2 contains a hydrogen concentration similar to that of PEALD SiO 2 , its relatively large surface roughness appears to induce scattering effects and the generation of electron traps, which result in inferior device performance and stability.

  4. Photoluminescence of Ta2O5 films formed by the molecular layer deposition method

    NASA Astrophysics Data System (ADS)

    Baraban, A. P.; Dmitriev, V. A.; Prokof'ev, V. A.; Drozd, V. E.; Filatova, E. O.

    2016-04-01

    Ta2O5 films of different thicknesses (20-100 nm) synthesized by the molecular layer deposition method on p-type silicon substrates and thermally oxidized silicon substrates have been studied by the methods of high-frequency capacitance-voltage characteristics and photoluminescence. A hole-conduction channel is found to form in the Si-Ta2O5-field electrode system. A model of the electronic structure of Ta2O5 films is proposed based on an analysis of the measured PL spectra and performed electrical investigations.

  5. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  6. Nano suboxide layer generated in Ta{sub 2}O{sub 5} by Ar{sup +} ion irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, W. D., E-mail: song-wendong@dsi.a-star.edu.sg, E-mail: ying-ji-feng@dsi.a-star.edu.sg; Ying, J. F., E-mail: song-wendong@dsi.a-star.edu.sg, E-mail: ying-ji-feng@dsi.a-star.edu.sg; He, W.

    2015-01-19

    Ta{sub 2}O{sub 5}/TaO{sub x} heterostructure has become a leading oxide layer in memory cells and/or a bidirectional selector for resistive random access memory (RRAM). Although atomic layer deposition (ALD) was found to be uniquely suitable for depositing uniform and conformal films on complex topographies, it is hard to use ALD to grow suboxide TaO{sub x} layer. In this study, tantalum oxide films with a composition of Ta{sub 2}O{sub 5} were grown by ALD. Using Ar{sup +} ion irradiation, the suboxide was formed in the top layer of Ta{sub 2}O{sub 5} films by observing the Ta core level shift toward lowermore » binding energy with angle-resolved X-ray photoelectron spectroscopy. By controlling the energy and irradiation time of an Ar{sup +} ion beam, Ta{sub 2}O{sub 5}/TaO{sub x} heterostructure can be reliably produced on ALD films, which provides a way to fabricate the critical switching layers of RRAM.« less

  7. FAST TRACK COMMUNICATION High mobility and low operating voltage ZnGaO and ZnGaLiO transistors with spin-coated Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Xia, D. X.; Xu, J. B.

    2010-11-01

    Spin-coated alumina serving as a gate dielectric in thin film transistors shows interesting dielectric properties for low-voltage applications, despite a moderate capacitance. With Ga singly doped and Ga, Li co-doped ZnO as the active channel layers, typical mobilities of 4.7 cm2 V-1 s-1 and 2.1 cm2 V-1 s-1 are achieved, respectively. At a given gate bias, the operation current is much smaller than the previously reported values in low-voltage thin film transistors, primarily relying on the giant-capacitive dielectric. The reported devices combine advantages of high mobility, low power consumption, low cost and ease of fabrication. In addition to the transparent nature of both the dielectric and semiconducting active channels, the superior electrical properties of the devices may provide a new avenue for future transparent electronics.

  8. Gate bias stress stability under light irradiation for indium zinc oxide thin-film transistors based on anodic aluminium oxide gate dielectrics

    NASA Astrophysics Data System (ADS)

    Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao

    2011-11-01

    Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.

  9. Highly efficient visible-light driven photocatalytic hydrogen production from a novel Z-scheme Er3+:YAlO3/Ta2O5-V5+||Fe3+-TiO2/Au coated composite

    NASA Astrophysics Data System (ADS)

    Wang, Guowei; Ma, Xue; Wei, Shengnan; Li, Siyi; Qiao, Jing; Wang, Jun; Song, Youtao

    2018-01-01

    In this work, the preparation of a novel Z-scheme photocatalyst, Er3+:YAlO3/Ta2O5-V5+||Fe3+-TiO2/Au coated composite, for visible-light photocatalytic hydrogen production is reported for the first time. In this photocatalyst, Au nanoparticles as conduction band co-catalyst provide more active sites to enrich electrons. Ta2O5-V5+||Fe3+-TiO2 as composite redox cycle system thoroughly separates the photo-generated electrons and holes. In addition, Er3+:YAlO3 as up-conversion luminescence agent (from visible-light to ultraviolet-light) provides enough ultraviolet-light for satisfying the energy demand of wide band-gap semiconductors (TiO2 and Ta2O5). The photocatalytic hydrogen production can be achieved from methanol as sacrificial agent (electron donor) under visible-light irradiation. The main influence factors such as initial solution pH and molar ratio of TiO2 and Ta2O5 on visible-light photocatalytic hydrogen production activity of Er3+:YAlO3/Ta2O5-V5+||Fe3+-TiO2/Au coated composite are discussed in detail. The results show that the Er3+:YAlO3/Ta2O5-V5+||Fe3+-TiO2/Au coated composite with 1.0:0.5 M ratio of TiO2 and Ta2O5 in methanol aqueous solution at pH = 6.50 displays the highest photocatalytic hydrogen production activity. Furthermore, a high level of photocatalytic activity can be still maintained within three cycles under the same conditions. It implies that the prepared Z-scheme Er3+:YAlO3/Ta2O5-V5+||Fe3+-TiO2/Au coated composite may be a promising photocatalyst utilizing solar energy for hydrogen production.

  10. High-performance SEGISFET pH Sensor using the structure of double-gate a-IGZO TFTs with engineered gate oxides

    NASA Astrophysics Data System (ADS)

    Pyo, Ju-Young; Cho, Won-Ju

    2017-03-01

    In this paper, we propose a high-performance separative extended gate ion-sensitive field-effect transistor (SEGISFET) that consists of a tin dioxide (SnO2) SEG sensing part and a double-gate structure amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with tantalum pentoxide/silicon dioxide (Ta2O5/SiO2)-engineered top-gate oxide. To increase sensitivity, we maximized the capacitive coupling ratio by applying high-k dielectric at the top-gate oxide layer. As an engineered top-gate oxide, a stack of 25 nm-thick Ta2O5 and 10 nm-thick SiO2 layers was found to simultaneously satisfy a small equivalent oxide thickness (˜17.14 nm), a low leakage current, and a stable interfacial property. The threshold-voltage instability, which is a fundamental issue in a-IGZO TFTs, was improved by low-temperature post-deposition annealing (˜87 °C) using microwave irradiation. The double-gate structure a-IGZO TFTs with engineered top-gate oxide exhibited high mobility, small subthreshold swing, high drive current, and larger on/off current ratio. The a-IGZO SEGISFETs with a dual-gate sensing mode showed a pH sensitivity of 649.04 mV pH-1, which is far beyond the Nernst limit. The non-ideal behavior of ISFETs, hysteresis, and drift effect also improved. These results show that the double-gate structure a-IGZO TFTs with engineered top-gate oxide can be a good candidate for cheap and disposable SEGISFET sensors.

  11. Anti-biofouling function of amorphous nano-Ta2O5 coating for VO2-based intelligent windows.

    PubMed

    Li, Jinhua; Guo, Geyong; Wang, Jiaxing; Zhou, Huaijuan; Shen, Hao; Yeung, Kelvin W K

    2017-04-28

    From environmental and health perspectives, the acquisition of a surface anti-biofouling property holds important significance for the usability of VO 2 intelligent windows. Herein, we firstly deposited amorphous Ta 2 O 5 nanoparticles on VO 2 film by the magnetron sputtering method. It was found that the amorphous nano-Ta 2 O 5 coating possessed a favorable anti-biofouling capability against Pseudomonas aeruginosa as an environmental microorganism model, behind which lay the mechanism that the amorphous nano-Ta 2 O 5 could interrupt the microbial membrane electron transport chain and significantly elevate the intracellular reactive oxygen species (ROS) level. A plausible relationship was established between the anti-biofouling activity and physicochemical nature of amorphous Ta 2 O 5 nanoparticles from the perspective of defect chemistry. ROS-induced oxidative damage gave rise to microbial viability loss. In addition, the amorphous nano-Ta 2 O 5 coating can endow VO 2 with favorable cytocompatibility with human skin fibroblasts. This study may provide new insights into understanding the anti-biofouling and antimicrobial actions of amorphous transition metal oxide nanoparticles, which is conducive to expanding their potential applications in environmental fields.

  12. Anti-biofouling function of amorphous nano-Ta2O5 coating for VO2-based intelligent windows

    NASA Astrophysics Data System (ADS)

    Li, Jinhua; Guo, Geyong; Wang, Jiaxing; Zhou, Huaijuan; Shen, Hao; Yeung, Kelvin W. K.

    2017-04-01

    From environmental and health perspectives, the acquisition of a surface anti-biofouling property holds important significance for the usability of VO2 intelligent windows. Herein, we firstly deposited amorphous Ta2O5 nanoparticles on VO2 film by the magnetron sputtering method. It was found that the amorphous nano-Ta2O5 coating possessed a favorable anti-biofouling capability against Pseudomonas aeruginosa as an environmental microorganism model, behind which lay the mechanism that the amorphous nano-Ta2O5 could interrupt the microbial membrane electron transport chain and significantly elevate the intracellular reactive oxygen species (ROS) level. A plausible relationship was established between the anti-biofouling activity and physicochemical nature of amorphous Ta2O5 nanoparticles from the perspective of defect chemistry. ROS-induced oxidative damage gave rise to microbial viability loss. In addition, the amorphous nano-Ta2O5 coating can endow VO2 with favorable cytocompatibility with human skin fibroblasts. This study may provide new insights into understanding the anti-biofouling and antimicrobial actions of amorphous transition metal oxide nanoparticles, which is conducive to expanding their potential applications in environmental fields.

  13. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    NASA Astrophysics Data System (ADS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-10-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer. In comparison to a memory capacitor with a single HfO2 trapping layer, the erase speed of a memory capacitor with a stacked HfO2/Ta2O5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔVFB = 4 V, the device with a stacked HfO2/Ta2O5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO2/Ta2O5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application.

  14. A room temperature process for the fabrication of amorphous indium gallium zinc oxide thin-film transistors with co-sputtered Zr x Si1- x O2 Gate dielectric and improved electrical and hysteresis performance

    NASA Astrophysics Data System (ADS)

    Hung, Chien-Hsiung; Wang, Shui-Jinn; Liu, Pang-Yi; Wu, Chien-Hung; Wu, Nai-Sheng; Yan, Hao-Ping; Lin, Tseng-Hsing

    2017-04-01

    The use of co-sputtered zirconium silicon oxide (Zr x Si1- x O2) gate dielectrics to improve the gate controllability of amorphous indium gallium zinc oxide (α-IGZO) thin-film transistors (TFTs) through a room-temperature fabrication process is proposed and demonstrated. With the sputtering power of the SiO2 target in the range of 0-150 W and with that of the ZrO2 target kept at 100 W, a dielectric constant ranging from approximately 28.1 to 7.8 is obtained. The poly-structure formation immunity of the Zr x Si1- x O2 dielectrics, reduction of the interface trap density suppression, and gate leakage current are examined. Our experimental results reveal that the Zr0.85Si0.15O2 gate dielectric can lead to significantly improved TFT subthreshold swing performance (103 mV/dec) and field effect mobility (33.76 cm2 V-1 s-1).

  15. Love-type surface acoustic wave on Y-X LiTaO3 with amorphous Ta2O5 thin film

    NASA Astrophysics Data System (ADS)

    Kakio, Shoji; Fukasawa, Haruka; Hosaka, Keiko

    2015-07-01

    In this study, to obtain a substrate structure with a lower phase velocity, the propagation properties of a Love-type surface acoustic wave (Love SAW) on Y-X LiTaO3 (LT) with an amorphous tantalum pentoxide (a-Ta2O5) thin film were investigated using a simple delay line and a resonator with a wavelength λ of 8 µm. The insertion loss of a simple delay line was decreased markedly by loading with an a-Ta2O5 film owing to a transformation from a leaky SAW (LSAW) to a non-leaky Love SAW. A phase velocity of 3,340 m/s, a coupling factor of 5.8%, and a propagation loss of 0.03 dB/λ were obtained for a normalized thickness h/λ of 0.120. Moreover, the resonance properties of the Love SAW were almost equal or superior to those for an LSAW on Al/36° Y-X LT, except for the fractional bandwidth.

  16. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  17. Dependence of electrical and time stress in organic field effect transistor with low temperature forming gas treated Al2O3 gate dielectrics.

    PubMed

    Lee, Sunwoo; Chung, Keum Jee; Park, In-Sung; Ahn, Jinho

    2009-12-01

    We report the characteristics of the organic field effect transistor (OFET) after electrical and time stress. Aluminum oxide (Al2O3) was used as a gate dielectric layer. The surface of the gate oxide layer was treated with hydrogen (H2) and nitrogen (N2) mixed gas to minimize the dangling bond at the interface layer of gate oxide. According to the two stress parameters of electrical and time stress, threshold voltage shift was observed. In particular, the mobility and subthreshold swing of OFET were significantly decreased due to hole carrier localization and degradation of the channel layer between gate oxide and pentacene by electrical stress. Electrical stress is a more critical factor in the degradation of mobility than time stress caused by H2O and O2 in the air.

  18. Anomalous positive flatband voltage shifts in metal gate stacks containing rare-earth oxide capping layers

    NASA Astrophysics Data System (ADS)

    Caraveo-Frescas, J. A.; Hedhili, M. N.; Wang, H.; Schwingenschlögl, U.; Alshareef, H. N.

    2012-03-01

    It is shown that the well-known negative flatband voltage (VFB) shift, induced by rare-earth oxide capping in metal gate stacks, can be completely reversed in the absence of the silicon overlayer. Using TaN metal gates and Gd2O3-doped dielectric, we measure a ˜350 mV negative shift with the Si overlayer present and a ˜110 mV positive shift with the Si overlayer removed. This effect is correlated to a positive change in the average electrostatic potential at the TaN/dielectric interface which originates from an interfacial dipole. The dipole is created by the replacement of interfacial oxygen atoms in the HfO2 lattice with nitrogen atoms from TaN.

  19. High-κ gate dielectrics: Current status and materials properties considerations

    NASA Astrophysics Data System (ADS)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  20. Dielectric spectroscopy of Dy2O3 doped (K0.5Na0.5)NbO3 piezoelectric ceramics

    NASA Astrophysics Data System (ADS)

    Mahesh, P.; Subhash, T.; Pamu, D.

    2014-06-01

    We report the dielectric properties of ( K 0.5 Na 0.5 ) NbO 3 ceramics doped with x wt% of Dy 2 O 3 (x= 0.0-1.5 wt%) using the broadband dielectric spectroscopy. The X-ray diffraction studies showed the formation of perovskite structure signifying that Dy 2 O 3 diffuse into the KNN lattice. Samples doped with x > 0.5 wt% exhibit smaller grain size and lower relative densities. The dielectric properties of KNN ceramics doped with Dy 2 O 3 are enhanced by increasing the Dy 3+ content; among the compositions studied, x = 0.5 wt% exhibited the highest dielectric constant and lowest loss at 1MHz over the temperature range of 30°C to 400°C. All the samples exhibit maximum dielectric constant at the Curie temperature (˜ 326°C) and a small peak in the dielectric constant at around 165°C is due to a structural phase transition. At the request of all authors, and by agreement with the Proceedings Editors, a corrected version of this article was published on 19 June 2014. The full text of the Corrigendum is attached to the corrected article PDF file.

  1. Effect of nanocomposite gate-dielectric properties on pentacene microstructure and field-effect transistor characteristics.

    PubMed

    Lee, Wen-Hsi; Wang, Chun-Chieh

    2010-02-01

    In this study, the effect of surface energy and roughness of the nanocomposite gate dielectric on pentacene morphology and electrical properties of pentacene OTFT are reported. Nanoparticles TiO2 were added in the polyimide matrix to form a nanocomposite which has a significantly different surface characteristic from polyimide, leading to a discrepancy in the structural properties of pentacene growth. A growth mode of pentacene deposited on the nanocomposite is proposed to explain successfully the effect of surface properties of nanocomposite gate dielectric such as surface energy and roughness on the pentacene morphology and electrical properties of OTFT. To obtain the lower surface energy and smoother surface of nanocomposite gate dielectric that is responsible for the desired crystalline, microstructure of pentacene and electrical properties of device, a bottom contact OTFT-pentacene deposited on the double-layer nanocomposite gate dielectric consisting of top smoothing layer of the neat polyimide and bottom layer of (PI+ nano-TiO2 particles) nanocomposite has been successfully demonstrated to exhibit very promising performance including high current on to off ratio of about 6 x 10(5), threshold voltage of -10 V and moderately high filed mobility of 0.15 cm2V(-1)s(-1).

  2. Effects of HfO2/Al2O3 gate stacks on electrical performance of planar In x Ga1- x As tunneling field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ahn, Dae-Hwan; Yoon, Sang-Hee; Takenaka, Mitsuru; Takagi, Shinichi

    2017-08-01

    We study the impact of gate stacks on the electrical characteristics of Zn-diffused source In x Ga1- x As tunneling field-effect transistors (TFETs) with Al2O3 or HfO2/Al2O3 gate insulators. Ta and W gate electrodes are compared in terms of the interface trap density (D it) of InGaAs MOS interfaces. It is found that D it is lower at the W/HfO2/Al2O3 InGaAs MOS interface than at the Ta/HfO2/Al2O3 interface. The In0.53Ga0.47As TFET with a W/HfO2 (2.7 nm)/Al2O3 (0.3 nm) gate stack of 1.4-nm-thick capacitance equivalent thickness (CET) has a steep minimum subthreshold swing (SS) of 57 mV/dec, which is attributed to the thin CET and low D it. Also, the In0.53Ga0.47As (2.6 nm)/In0.67Ga0.33As (3.2 nm)/In0.53Ga0.47As (96.5 nm) quantum-well (QW) TFET supplemented with this 1.4-nm-thick CET gate stack exhibits a steeper minimum SS of 54 mV/dec and a higher on-current (I on) than those of the In0.53Ga0.47As TFET.

  3. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  4. High-k dielectric Al2O3 nanowire and nanoplate field effect sensors for improved pH sensing

    PubMed Central

    Reddy, Bobby; Dorvel, Brian R.; Go, Jonghyun; Nair, Pradeep R.; Elibol, Oguz H.; Credo, Grace M.; Daniels, Jonathan S.; Chow, Edmond K. C.; Su, Xing; Varma, Madoo; Alam, Muhammad A.

    2011-01-01

    Over the last decade, field-effect transistors (FETs) with nanoscale dimensions have emerged as possible label-free biological and chemical sensors capable of highly sensitive detection of various entities and processes. While significant progress has been made towards improving their sensitivity, much is yet to be explored in the study of various critical parameters, such as the choice of a sensing dielectric, the choice of applied front and back gate biases, the design of the device dimensions, and many others. In this work, we present a process to fabricate nanowire and nanoplate FETs with Al2O3 gate dielectrics and we compare these devices with FETs with SiO2 gate dielectrics. The use of a high-k dielectric such as Al2O3 allows for the physical thickness of the gate dielectric to be thicker without losing sensitivity to charge, which then reduces leakage currents and results in devices that are highly robust in fluid. This optimized process results in devices stable for up to 8 h in fluidic environments. Using pH sensing as a benchmark, we show the importance of optimizing the device bias, particularly the back gate bias which modulates the effective channel thickness. We also demonstrate that devices with Al2O3 gate dielectrics exhibit superior sensitivity to pH when compared to devices with SiO2 gate dielectrics. Finally, we show that when the effective electrical silicon channel thickness is on the order of the Debye length, device response to pH is virtually independent of device width. These silicon FET sensors could become integral components of future silicon based Lab on Chip systems. PMID:21203849

  5. Ta2O5 nanowires: a novel synthetic method and their solar energy utilization.

    PubMed

    Lü, Xujie; Ding, Shangjun; Lin, Tianquan; Mou, Xinliang; Hong, Zhanglian; Huang, Fuqiang

    2012-01-14

    Single-crystalline uniform Ta(2)O(5) nanowires are prepared by a novel synthetic route. The formation of the nanowires involves an oriented attachment process caused by the reduction of surface energy. The nanowires are successfully applied to photocatalytic H(2) evolution, contaminant degradation, and dye-sensitized solar cells (DSCs). The Ta(2)O(5)-based DSCs reveal a significant photovoltaic response, which has not been reported. As a photocatalyst, the Ta(2)O(5) nanowires possess high H(2) evolution efficiency under Xe lamp irradiation, nearly 27-fold higher than the commercial powders. A better performance of photocatalytic contaminant degradation is also observed. Such improvements are ascribed to better charge transport ability for the single-crystalline wire and a higher potential energy of the conduction band. This new synthetic approach using a water-soluble precursor provides a versatile way to prepare nanostructured metal oxides.

  6. Charge trapping and current-conduction mechanisms of metal-oxide-semiconductor capacitors with La xTa y dual-doped HfON dielectrics

    NASA Astrophysics Data System (ADS)

    Cheng, Chin-Lung; Horng, Jeng-Haur; Chang-Liao, Kuei-Shu; Jeng, Jin-Tsong; Tsai, Hung-Yang

    2010-10-01

    Charge trapping and related current-conduction mechanisms in metal-oxide-semiconductor (MOS) capacitors with La xTa y dual-doped HfON dielectrics have been investigated under various post-deposition annealing (PDA). The results indicate that by La xTa y incorporation into HfON dielectric enhances electrical and reliability characteristics, including equivalent-oxide-thickness (EOT), stress-induced leakage current (SILC), and trap energy level. The mechanisms related to larger positive charge generation in the gate dielectric bulk can be attributed to La xTa y dual-doped HfON dielectric. The results of C- V measurement indicate that more negative charges are induced with increasing PDA temperature for the La xTa y dual-doped HfON dielectric. The charge current transport mechanisms through various dielectrics have been analyzed with current-voltage ( I- V) measurements under various temperatures. The current-conduction mechanisms of HfLaTaON dielectric at the low-, medium-, and high-electrical fields were dominated by Schottky emission (SE), Frenkel-Poole emission (F-P), and Fowler-Nordheim (F-N), respectively. A low trap energy level ( Φ trap) involved in Frenkel-Pool conduction in an HfLaTaON dielectric was estimated to be around 0.142 eV. Although a larger amount of positive charges generated in the HfLaTaON dielectric was obtained, the Φ trap of these positive charges in the HfLaTaON dielectric are shallow compared with HfON dielectric.

  7. Tri-state resistive switching characteristics of MnO/Ta2O5 resistive random access memory device by a controllable reset process

    NASA Astrophysics Data System (ADS)

    Lee, N. J.; Kang, T. S.; Hu, Q.; Lee, T. S.; Yoon, T.-S.; Lee, H. H.; Yoo, E. J.; Choi, Y. J.; Kang, C. J.

    2018-06-01

    Tri-state resistive switching characteristics of bilayer resistive random access memory devices based on manganese oxide (MnO)/tantalum oxide (Ta2O5) have been studied. The current–voltage (I–V) characteristics of the Ag/MnO/Ta2O5/Pt device show tri-state resistive switching (RS) behavior with a high resistance state (HRS), intermediate resistance state (IRS), and low resistance state (LRS), which are controlled by the reset process. The MnO/Ta2O5 film shows bipolar RS behavior through the formation and rupture of conducting filaments without the forming process. The device shows reproducible and stable RS both from the HRS to the LRS and from the IRS to the LRS. In order to elucidate the tri-state RS mechanism in the Ag/MnO/Ta2O5/Pt device, transmission electron microscope (TEM) images are measured in the LRS, IRS and HRS. White lines like dendrites are observed in the Ta2O5 film in both the LRS and the IRS. Poole–Frenkel conduction, space charge limited conduction, and Ohmic conduction are proposed as the dominant conduction mechanisms for the Ag/MnO/Ta2O5/Pt device based on the obtained I–V characteristics and TEM images.

  8. Low temperature synthesis of monolithic transparent Ta2O5 gels from hydrolysis of metal alkoxide

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.

    1993-01-01

    Tantalum oxide gels in the form of transparent monoliths and powder were prepared from hydrolysis of tantalum pentaethoxide under controlled conditions using different mole ratios of Ta(OC2H5)5:C2H50H:H20:HCl. Alcohol acts as the mutual solvent and HCl as the deflocculating agent. For a fixed alkoxide:water:HCl ratio, time of gel formation increased with the alcohol to alkoxide mole ratio. Thermal evolution of the physical and structural changes in the gel was monitored by differential thermal analysis, thermogravimetric analysis, x-ray diffraction, and infrared spectroscopy. On heating to approximately 400 C, the amorphous gel crystallized into the low temperature orthorhombic phase Beta-Ta2O5, which transformed into the high temperature tetragonal phase Alpha-Ta2O5 when further heated to approximately 1450 C. The volume fraction of the crystalline phase increased with the firing temperature. The Alpha-Ta205 converted back into the low temperature phase, Beta-Ta2O5, on slow cooling through the transformation temperature of 1360 C indicating a slow but reversible transformation.

  9. Thickness effect of ultra-thin Ta2O5 resistance switching layer in 28 nm-diameter memory cell

    NASA Astrophysics Data System (ADS)

    Park, Tae Hyung; Song, Seul Ji; Kim, Hae Jin; Kim, Soo Gil; Chung, Suock; Kim, Beom Yong; Lee, Kee Jeung; Kim, Kyung Min; Choi, Byung Joon; Hwang, Cheol Seong

    2015-11-01

    Resistance switching (RS) devices with ultra-thin Ta2O5 switching layer (0.5-2.0 nm) with a cell diameter of 28 nm were fabricated. The performance of the devices was tested by voltage-driven current—voltage (I-V) sweep and closed-loop pulse switching (CLPS) tests. A Ta layer was placed beneath the Ta2O5 switching layer to act as an oxygen vacancy reservoir. The device with the smallest Ta2O5 thickness (0.5 nm) showed normal switching properties with gradual change in resistance in I-V sweep or CLPS and high reliability. By contrast, other devices with higher Ta2O5 thickness (1.0-2.0 nm) showed abrupt switching with several abnormal behaviours, degraded resistance distribution, especially in high resistance state, and much lower reliability performance. A single conical or hour-glass shaped double conical conducting filament shape was conceived to explain these behavioural differences that depended on the Ta2O5 switching layer thickness. Loss of oxygen via lateral diffusion to the encapsulating Si3N4/SiO2 layer was suggested as the main degradation mechanism for reliability, and a method to improve reliability was also proposed.

  10. Ambipolar transport in CVD grown MoSe2 monolayer using an ionic liquid gel gate dielectric

    NASA Astrophysics Data System (ADS)

    Ortiz, Deliris N.; Ramos, Idalia; Pinto, Nicholas J.; Zhao, Meng-Qiang; Kumar, Vinayak; Johnson, A. T. Charlie

    2018-03-01

    CVD grown MoSe2 monolayers were electrically characterized at room temperature in a field effect transistor (FET) configuration using an ionic liquid (IL) as the gate dielectric. During the growth, instead of using MoO3 powder, ammonium heptamolybdate was used for better Mo control of the source and sodium cholate added for lager MoSe2 growth areas. In addition, a high specific capacitance (˜7 μF/cm2) IL was used as the gate dielectric to significantly reduce the operating voltage. The device exhibited ambipolar charge transport at low voltages with enhanced parameters during n- and p-FET operation. IL gating thins the Schottky barrier at the metal/semiconductor interface permitting efficient charge injection into the channel and reduces the effects of contact resistance on device performance. The large specific capacitance of the IL was also responsible for a much higher induced charge density compared to the standard SiO2 dielectric. The device was successfully tested as an inverter with a gain of ˜2. Using a common metal for contacts simplifies fabrication of this ambipolar device, and the possibility of radiative recombination of holes and electrons could further extend its use in low power optoelectronic applications.

  11. Pressure-induced amorphization in orthorhombic Ta{sub 2}O{sub 5}: An intrinsic character of crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Quanjun; Zhang, Huafang; Cheng, Benyuan

    2014-05-21

    The phase transition of orthorhombic Ta{sub 2}O{sub 5} was investigated by in situ synchrotron X-ray diffraction and Raman spectroscopy. The orthorhombic phase transforms into an amorphous form completely at 24.7 GPa. A bulk modulus B{sub 0} = 139 (9) GPa for the orthorhombic Ta{sub 2}O{sub 5} is derived from the P-V data. We suggest that the pressure-induced amorphization (PIA) in Ta{sub 2}O{sub 5} can be attributed to the unstability of the a axis under high pressure leads to the connections of polyhedral breaking down and even triggers disorder of the whole crystal frame. These results demonstrate that the PIA is an intrinsic charactermore » of Ta{sub 2}O{sub 5} which depends on its orthorhombic crystal structure rather than nanosize effects. This study provides a new kind of bulk material for investigating PIA in metal oxides.« less

  12. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  13. Label-free detection of biomolecules with Ta2O5-based field effect devices

    NASA Astrophysics Data System (ADS)

    Branquinho, Rita Maria Mourao Salazar

    Field-effect-based devices (FEDs) are becoming a basic structural element in a new generation of micro biosensors. Their numerous advantages such as small size, labelfree response and versatility, together with the possibility of on-chip integration of biosensor arrays with a future prospect of low-cost mass production, make their development highly desirable. The present thesis focuses on the study and optimization of tantalum pentoxide (Ta2O5) deposited by rf magnetron sputtering at room temperature, and their application as sensitive layer in biosensors based on field effect devices (BioFEDs). As such, the influence of several deposition parameters and post-processing annealing temperature and surface plasma treatment on the film¡¦s properties was investigated. Electrolyte-insulator-semiconductor (EIS) field-effect-based sensors comprising the optimized Ta2O5 sensitive layer were applied to the development of BioFEDs. Enzyme functionalized sensors (EnFEDs) were produced for penicillin detection. These sensors were also applied to the label free detection of DNA and the monitoring of its amplification via polymerase chain reaction (PCR), real time PCR (RT-PCR) and loop mediated isothermal amplification (LAMP). Ion sensitive field effect transistors (ISFETs) based on semiconductor oxides comprising the optimized Ta2O5 sensitive layer were also fabricated. EIS sensors comprising Ta2O5 films produced with optimized conditions demonstrated near Nernstian pH sensitivity, 58+/-0.3 mV/pH. These sensors were successfully applied to the label-free detection of penicillin and DNA. Penicillinase functionalized sensors showed a 29+/-7 mV/mM sensitivity towards penicillin detection up to 4 mM penicillin concentration. DNA detection was achieved with 30 mV/mugM sensitivity and DNA amplification monitoring with these sensors showed comparable results to those obtained with standard fluorescence based methods. Semiconductor oxides-based ISFETs with Ta2O5 sensitive layer were

  14. Electrical properties and phase transition of Ba(Zr{sub 0.05}Ti{sub 0.95}){sub 1−x}(Fe{sub 0.5}Ta{sub 0.5}){sub x}O{sub 3} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruea-In, C.; Rujijanagul, G., E-mail: rujijanagul@yahoo.com

    2015-09-15

    Highlights: • Properties of of Ba(Zr{sub 0.05}Ti{sub 0.95}){sub 1−x}(Fe{sub 0.5}Ta{sub 0.5}){sub x}O{sub 3} ceramics were investigated. • Small amount of dopant produced a large change in dielectric and phase transition. • A phase diagram of Ba(Zr{sub 0.05}Ti{sub 0.95}){sub 1−x}(Fe{sub 0.5}Ta{sub 0.5}){sub x}O{sub 3} ceramics was proposed. • Dielectric tunability increased with increasing x concentration. - Abstract: In this work, properties of Ba(Zr{sub 0.05}Ti{sub 0.95}){sub 1−x}(Fe{sub 0.5}Ta{sub 0.5}){sub x}O{sub 3} ceramics with 0.00≤ x ≤0.07 were investigated. The ceramics were fabricated by a solid state reaction technique. X-ray diffraction analysis indicated that all samples exhibited single phase perovskite. Examination of themore » dielectric spectra revealed that the Fe and Ta additives promoted a diffuse phase transition, and the two phase transition temperatures, as observed in the dielectric curve of pure Ba(Zr{sub 0.05}Ti{sub 0.95})O{sub 3}, merged into a single phase transition temperature for higher x concentrations. The transformation was confirmed by ferroelectric measurements. In addition, the doped ceramics exhibited high relative dielectric tunability, especially for higher x concentration samples.« less

  15. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer

    PubMed Central

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J.

    2016-01-01

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack. PMID:27279454

  16. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    PubMed

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  17. Ionizing radiation effects on electrical and reliability characteristics of sputtered Ta2O5/Si interface

    NASA Astrophysics Data System (ADS)

    Rao, Ashwath; Verma, Ankita; Singh, B. R.

    2015-06-01

    This paper describes the effect of ionizing radiation on the interface properties of Al/Ta2O5/Si metal oxide semiconductor (MOS) capacitors using capacitance-voltage (C-V) and current-voltage (I-V) characteristics. The devices were irradiated with X-rays at different doses ranging from 100 rad to 1 Mrad. The leakage behavior, which is an important parameter for memory applications of Al/Ta2O5/Si MOS capacitors, along with interface properties such as effective oxide charges and interface trap density with and without irradiation has been investigated. Lower accumulation capacitance and shift in flat band voltage toward negative value were observed in annealed devices after exposure to radiation. The increase in interfacial oxide layer thickness after irradiation was confirmed by Rutherford Back Scattering measurement. The effect of post-deposition annealing on the electrical behavior of Ta2O5 MOS capacitors was also investigated. Improved electrical and interface properties were obtained for samples deposited in N2 ambient. The density of interface trap states (Dit) at Ta2O5/Si interface sputtered in pure argon ambient was higher compared to samples reactively sputtered in nitrogen-containing plasma. Our results show that reactive sputtering in nitrogen-containing plasma is a promising approach to improve the radiation hardness of Ta2O5/Si MOS devices.

  18. Complementary ab initio and X-ray nanodiffraction studies of Ta2O5

    PubMed Central

    Hollerweger, R.; Holec, D.; Paulitsch, J.; Bartosik, M.; Daniel, R.; Rachbauer, R.; Polcik, P.; Keckes, J.; Krywka, C.; Euchner, H.; Mayrhofer, P.H.

    2015-01-01

    The complex structure of Ta2O5 led to the development of various structural models. Among them, superstructures represent the most stable configurations. However, their formation requires kinetic activity and long-range ordering processes, which are hardly present during physical vapor deposition. Based on nano-beam X-ray diffraction and concomitant ab initio studies, a new metastable orthorhombic basic structure is introduced for Ta2O5 with lattice parameters a = 6.425 Å, b = 3.769 Å and c = 7.706 Å. The unit cell containing only 14 atoms, i.e. two formula unit blocks in the c direction, is characterized by periodically alternating the occupied oxygen site between two possible positions in succeeding 002-planes. This structure can be described by the space group 53 (Pncm) with four Wyckoff positions, and exhibits an energy of formation of −3.209 eV atom−1. Among all the reported basic structures, its energy of formation is closest to those of superstructures. Furthermore, this model exhibits a 2.5 eV band gap, which is closer to experimental data than the band gap of any other basic-structure model. The sputtered Ta2O5 films develop only a superstructure if annealed at temperatures >800 °C in air or vacuum. Based on these results and the conveniently small unit cell size, it is proposed that the basic-structure model described here is an ideal candidate for both structure and electronic state descriptions of orthorhombic Ta2O5 materials. PMID:25642136

  19. Electrical conduction mechanism in La3Ta0.5Ga5.3Al0.2O14 single crystals

    PubMed Central

    Yaokawa, Ritsuko; Aota, Katsumi; Uda, Satoshi

    2013-01-01

    The electrical conduction mechanism in La3Ta0.5Ga5.3Al0.2O14 (LTGA) single crystals was studied by nonstoichiometric defect formation during crystal growth. Since stoichiometric LTGA is not congruent, the single crystal grown from the stoichiometric melt was Ta-poor and Al-rich, where Al atoms were substituted not only in Ga sites but also in Ta sites. The population of the substitutional Al in Ta sites increased with increasing oxygen partial pressure during growth (growth-pO2) in the range from 0.01 to 1 atm. Below 600 °C, substitutional Al atoms in Ta sites were ionized to yield holes, and thus the electrical conductivity of the LTGA crystal depended on temperature and the growth-pO2. The dependence of the electrical conductivity on the growth-pO2 decreased as temperature increased. The temperature rise increases ionic conductivity, for which the dominant carriers are oxygen defects formed by the anion Frenkel reaction. PMID:24396153

  20. (Na{sub x}k{sub 1−x}){sub 2}Ta{sub 4}O{sub 11}(x≈0.93) piezoelectric phase from the transformation of Ta{sub 2}O{sub 5} thin films of monoclinic structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le Gallic, M., E-mail: Marie.Le-Gallic@grenoble-inp.fr; Roussel, H.

    2013-04-15

    A phase of trigonal structure has been reported in previous articles to be piezoelectric with a very high longitudinal d{sub 33} coefficient of several thousand pm/V (J. Solid State Chem. 184 (2011) 2023, 2033). It was observed in Ta{sub 2}O{sub 5} thin films and assumed to be the result of a reversible monoclinic–trigonal phase transition. However, new investigations are reported because the cell parameters of this phase are actually very close to those of the natrotantite mineral Na{sub 2}Ta{sub 4}O{sub 11}. From analyses by X-ray energy dispersive spectroscopy (XEDS), the chemical composition of this trigonal phase corresponds to (Na{sub x}K{submore » 1−x}){sub 2}Ta{sub 4}O{sub 11} with x≈0.93. The origin of sodium, potassium, iron and oxygen atoms is found to be due to a pollution coming from alumina crucibles used for thermal treatments. Knowing both atomic structures Ta{sub 2}O{sub 5} and Na{sub 2}Ta{sub 4}O{sub 11} and their structural relationships, observed by high resolution transmission electron microscopy (HRTEM), the mechanism of the reaction of transformation is re-examined and discussed. This mechanism implies that Ta{sup 5+} vacancies likely exist in monoclinic structures of tantalum oxide and that electrical neutrality could be due to incorporated proton H{sup +} instead of O{sup 2−} vacancies or Ta{sup 5+} interstitials. - Graphical abstract: (a, b) TEM images of interfaces between Ta{sub 2}O{sub 5} monoclinic and (Na{sub 0.93}K{sub 0.07}){sub 2}Ta{sub 4}O{sub 11} trigonal phases where it appears that the ratio of periods for the transformation monoclinic-to-trigonal is 3/2 (c, d) corresponding schema of the reaction of transformation, (a, c) viewed along the zone axes [010]{sub o}∥[1{sup ¯}21{sup ¯}]{sub R} and (b, d) along the zone axes [100]{sub o}∥[101{sup ¯}]{sub R}. Highlights: ► The formation of a piezoelectric phase in Ta{sub 2}O{sub 5} thin films, reported in previous articles, is re-examined. ► Its composition is

  1. Enhanced oxygen vacancy diffusion in Ta2O5 resistive memory devices due to infinitely adaptive crystal structure

    NASA Astrophysics Data System (ADS)

    Jiang, Hao; Stewart, Derek A.

    2016-04-01

    Metal oxide resistive memory devices based on Ta2O5 have demonstrated high switching speed, long endurance, and low set voltage. However, the physical origin of this improved performance is still unclear. Ta2O5 is an important archetype of a class of materials that possess an adaptive crystal structure that can respond easily to the presence of defects. Using first principles nudged elastic band calculations, we show that this adaptive crystal structure leads to low energy barriers for in-plane diffusion of oxygen vacancies in λ phase Ta2O5. Identified diffusion paths are associated with collective motion of neighboring atoms. The overall vacancy diffusion is anisotropic with higher diffusion barriers found for oxygen vacancy movement between Ta-O planes. Coupled with the fact that oxygen vacancy formation energy in Ta2O5 is relatively small, our calculated low diffusion barriers can help explain the low set voltage in Ta2O5 based resistive memory devices. Our work shows that other oxides with adaptive crystal structures could serve as potential candidates for resistive random access memory devices. We also discuss some general characteristics for ideal resistive RAM oxides that could be used in future computational material searches.

  2. Resistive Switching of Ta2O5-Based Self-Rectifying Vertical-Type Resistive Switching Memory

    NASA Astrophysics Data System (ADS)

    Ryu, Sungyeon; Kim, Seong Keun; Choi, Byung Joon

    2018-01-01

    To efficiently increase the capacity of resistive switching random-access memory (RRAM) while maintaining the same area, a vertical structure similar to a vertical NAND flash structure is needed. In addition, the sneak-path current through the half-selected neighboring memory cell should be mitigated by integrating a selector device with each RRAM cell. In this study, an integrated vertical-type RRAM cell and selector device was fabricated and characterized. Ta2O5 as the switching layer and TaOxNy as the selector layer were used to preliminarily study the feasibility of such an integrated device. To make the side contact of the bottom electrode with active layers, a thick Al2O3 insulating layer was placed between the Pt bottom electrode and the Ta2O5/TaOxNy stacks. Resistive switching phenomena were observed under relatively low currents (below 10 μA) in this vertical-type RRAM device. The TaOxNy layer acted as a nonlinear resistor with moderate nonlinearity. Its low-resistance-state and high-resistance-state were well retained up to 1000 s.

  3. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  4. Structural and electrical properties of atomic layer deposited Al-doped ZrO2 films and of the interface with TaN electrode

    NASA Astrophysics Data System (ADS)

    Spiga, S.; Rao, R.; Lamagna, L.; Wiemer, C.; Congedo, G.; Lamperti, A.; Molle, A.; Fanciulli, M.; Palma, F.; Irrera, F.

    2012-07-01

    Al-doped ZrO2 (Al-ZrO2) films deposited by atomic layer deposition onto silicon substrates and the interface with the TaN metal gate are investigated. In particular, structural properties of as-grown and annealed films in the 6-26 nm thickness range, as well as leakage and capacitive behavior of metal-oxide-semiconductor stacks are characterized. As-deposited Al-ZrO2 films in the mentioned thickness range are amorphous and crystallize in the ZrO2 cubic phase after thermal treatment at 900 °C. Correspondingly, the dielectric constant (k) value increases from 20 ± 1 to 27 ± 2. The Al-ZrO2 layers exhibit uniform composition through the film thickness and are thermally stable on Si, whereas chemical reactions take place at the TaN/Al-ZrO2 interface. A transient capacitance technique is adopted for monitoring charge trapping and flat band instability at short and long time scales. The role of traps nearby the TaN/Al-ZrO2 interface is discussed and compared with other metal/high-k oxide films. Further, analytical modeling of the flat band voltage shift with a power-law dependence on time allows extracting features of bulk traps close to the silicon/oxide interface, which exhibit energy levels in the 1.4-1.9 eV range above the valence band of the Al-ZrO2.

  5. Laser Backscatter and Propagation in Low-Density Ta2O5 and SiO2 Foams

    NASA Astrophysics Data System (ADS)

    Mariscal, Derek; Patankar, Siddarth; Goyon, Clement; Baker, Kevin; MacLaren, Stephan; Hammer, Jim; Baumann, Ted; Amendt, Peter; Menapace, Joseph; Berger, Robert; Afeyan, Bedros; Tabak, Max; Kim, Sung Ho; Dixit, Sham; Moody, John; Jones, Ogden; LLNL Team; Polymath Research Inc. Collaboration

    2016-10-01

    Recent experiments at the Jupiter Laser Facility at LLNL have investigated the propagation and backscatter of a laser in low-density foams (2-30 mg/cc) comprised of Ta2O5 and SiO2. The foams fill the volume of thin polyimide tubes (2 mm diameter, 0.5-2 mm length), while the laser is directed down the axis of the tubes. Time-resolved Stimulated Brillouin Scattering (SBS) spectrum, time-integrated Stimulated Raman Scattering (SRS) spectrum and power were measured in the focusing cone. In addition Near Backscatter Imaging (NBI) assessed SBS outside the focusing cone while X-ray diagnostics were used to assess laser propagation through the foams. While this experiment uses a 2-omega laser drive, the pulse shape, irradiance, and the ratio ne/nc are scaled to be similar to future tests using Ta2O5 foams at the NIF. Experimental results are directly compared to calculations of laser propagation and backscattered spectra. This work was performed under the auspices of the U.S. Department of Energy by the Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344, with funding support from the Laboratory Directed Research and Development Program 15-ERD-073.

  6. Preparation of magnetron sputtered ZrO2 films on Si for gate dielectric application

    NASA Astrophysics Data System (ADS)

    Kondaiah, P.; Mohan Rao, G.; Uthanna, S.

    2012-11-01

    Zirconium oxide (ZrO2) thin films were deposited on to p - Si and quartz substrates by sputtering of zirconium target at an oxygen partial pressure of 4x10-2 Pa and sputter pressure of 0.4 Pa by using DC reactive magnetron sputtering technique. The effect of annealing temperature on structural, optical, electrical and dielectric properties of the ZrO2 films was systematically studied. The as-deposited films were mixed phases of monoclinic and orthorhombic ZrO2. As the annealing temperature increased to 1073 K, the films were transformed in to single phase orthorhombic ZrO2. Fourier transform infrared studies conform the presence of interfacial layer between Si and ZrO2. The optical band gap and refractive index of the as-deposited films were 5.82 eV and 1.81. As the annealing temperature increased to 1073 K the optical band gap and refractive index increased to 5.92 eV and 2.10 respectively. The structural changes were influenced the capacitance-voltage and current-voltage characteristics of Al/ZrO2/p-Si capacitors. The dielectric constant was increased from 11.6 to 24.5 and the leakage current was decreased from 1.65×10-7 to 3.30×10-9 A/ cm2 for the as-deposited and annealed at 1073 K respectively.

  7. Spectroscopy of high index contrast Yb:Ta2O5 waveguides for lasing applications

    NASA Astrophysics Data System (ADS)

    Aghajani, A.; Murugan, G. S.; Sessions, N. P.; Apostolopoulos, V.; Wilkinson, J. S.

    2015-06-01

    Ytterbium-doped waveguides are required for compact integrated lasers and Yb- doped Ta2O5 is a promising candidate material. The design, fabrication and spectroscopic characterisation of Yb:Ta2O5 rib waveguides are described. The peak absorption cross-section was measured to be 2.75×10-20 cm2 at 975 nm. The emission spectrum was found to have a fluorescence emission peak at a wavelength of 976 nm with a peak cross-section of 2.9×10-20 cm2 and a second broad fluorescence band spanning from 990 nm to 1090 nm. The excited- state life time was measured to be 260 μs.

  8. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  9. Low-temperature dielectric behavior of Nb{sub 2}O{sub 5}-SiO{sub 2} solid solutions.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choosuwan, H.; Guo, R.; Bhalla, A. S.

    2003-03-01

    Dielectric properties of Nb{sub 2}O{sub 5}(0.92):SiO{sub 2}(0.08) ceramic were measured in the temperature range of 10-300 K by the cryostat system. Frequency-dependent dielectric loss suggests the relaxation behavior of this material. The relaxation mechanism was analyzed by the Arrhenius relationship and the Cole-Cole plot. Calculated distribution of relaxation time reveals deviation from the pure Debye relaxation.

  10. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  11. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  12. Surface and finite size effects impact on the phase diagrams, polar, and dielectric properties of (Sr,Bi)Ta{sub 2}O{sub 9} ferroelectric nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eliseev, E. A.; Fomichov, Y. M.; Glinchuk, M. D.

    2016-05-28

    In the framework of the thermodynamic approach Landau-Ginzburg-Devonshire (LGD) combined with the equations of electrostatics, we investigated the effect of polarization surface screening on finite size effects of the phase diagrams, polar, and dielectric properties of ferroelectric nanoparticles of different shapes. We obtained and analyzed the analytical results for the dependences of the ferroelectric phase transition temperature, critical size, spontaneous polarization, and thermodynamic coercive field on the shape and size of the nanoparticles. The pronounced size effect of these characteristics on the scaling parameter, the ratio of the particle characteristic size to the length of the surface screening, was revealed.more » Also our modeling predicts a significant impact of the flexo-chemical effect (that is a joint action of flexoelectric effect and chemical pressure) on the temperature of phase transition, polar, and dielectric properties of nanoparticles when their chemical composition deviates from the stoichiometric one. We showed on the example of the stoichiometric nanosized SrBi{sub 2}Ta{sub 2}O{sub 9} particles that except the vicinity of the critical size, where the system splitting into domains has an important role, results of analytical calculation of the spontaneous polarization have a little difference from the numerical ones. We revealed a strong impact of the flexo-chemical effect on the phase transition temperature, polar, and dielectric properties of Sr{sub y}Bi{sub 2+x}Ta{sub 2}O{sub 9} nanoparticles when the ratio Sr/Bi deviates from the stoichiometric value of 0.5 within the range from 0.35 to 0.65. From the analysis of experimental data, we derived the parameters of the theory, namely, the coefficients of expansion of the LGD functional, the contribution of flexo-chemical effect, and the length of the surface screening.« less

  13. Improvement in top-gate MoS2 transistor performance due to high quality backside Al2O3 layer

    NASA Astrophysics Data System (ADS)

    Bolshakov, Pavel; Zhao, Peng; Azcatl, Angelica; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2017-07-01

    A high quality Al2O3 layer is developed to achieve high performance in top-gate MoS2 transistors. Compared with top-gate MoS2 field effect transistors on a SiO2 layer, the intrinsic mobility and subthreshold slope were greatly improved in high-k backside layer devices. A forming gas anneal is found to enhance device performance due to a reduction in the charge trap density of the backside dielectric. The major improvements in device performance are ascribed to the forming gas anneal and the high-k dielectric screening effect of the backside Al2O3 layer. Top-gate devices built upon these stacks exhibit a near-ideal subthreshold slope of ˜69 mV/dec and a high Y-Function extracted intrinsic carrier mobility (μo) of 145 cm2/V.s, indicating a positive influence on top-gate device performance even without any backside bias.

  14. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  15. Highly stable organic field-effect transistors with engineered gate dielectrics (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kippelen, Bernard; Wang, Cheng-Yin; Fuentes-Hernandez, Canek; Yun, Minseong; Singh, Ankit K.; Dindar, Amir; Choi, Sangmoo; Graham, Samuel

    2016-11-01

    Organic field-effect transistors (OFETs) have the potential to lead to low-cost flexible displays, wearable electronics, and sensors. While recent efforts have focused greatly on improving the maximum charge mobility that can be achieved in such devices, studies about the stability and reliability of such high performance devices are relatively scarce. In this talk, we will discuss the results of recent studies aimed at improving the stability of OFETs under operation and their shelf lifetime. In particular, we will focus on device architectures where the gate dielectric is engineered to act simultaneously as an environmental barrier layer. In the past, our group had demonstrated solution-processed top-gate OFETs using TIPS-pentacene and PTAA blends as a semiconductor layer with a bilayer gate dielectric layer of CYTOP/Al2O3, where the oxide layer was fabricated by atomic layer deposition, ALD. Such devices displayed high operational stability with little degradation after 20,000 on/off scan cycles or continuous operation (24 h), and high environmental stability when kept in air for more than 2 years, with unchanged carrier mobility. Using this stable device geometry, simple circuits and sensors operating in aqueous conditions were demonstrated. However, the Al2O3 layer was found to degrade due to corrosion under prolonged exposure in aqueous solutions. In this talk, we will report on the use of a nanolaminate (NL) composed of Al2O3 and HfO2 by ALD to replace the Al2O3 single layer in the bilayer gate dielectric use in top-gate OFETs. Such OFETs were found to operate under harsh condition such as immersion in water at 95 °C. This work was funded by the Department of Energy (DOE) through the Bay Area Photovoltaics Consortium (BAPVC) under Award Number DE-EE0004946.

  16. Wafer-scale solution-derived molecular gate dielectrics for low-voltage graphene electronics

    NASA Astrophysics Data System (ADS)

    Sangwan, Vinod K.; Jariwala, Deep; Everaerts, Ken; McMorrow, Julian J.; He, Jianting; Grayson, Matthew; Lauhon, Lincoln J.; Marks, Tobin J.; Hersam, Mark C.

    2014-02-01

    Graphene field-effect transistors are integrated with solution-processed multilayer hybrid organic-inorganic self-assembled nanodielectrics (SANDs). The resulting devices exhibit low-operating voltage (2 V), negligible hysteresis, current saturation with intrinsic gain >1.0 in vacuum (pressure < 2 × 10-5 Torr), and overall improved performance compared to control devices on conventional SiO2 gate dielectrics. Statistical analysis of the field-effect mobility and residual carrier concentration demonstrate high spatial uniformity of the dielectric interfacial properties and graphene transistor characteristics over full 3 in. wafers. This work thus establishes SANDs as an effective platform for large-area, high-performance graphene electronics.

  17. Low-voltage electric-double-layer paper transistors gated by microporous SiO2 processed at room temperature

    NASA Astrophysics Data System (ADS)

    Sun, Jia; Wan, Qing; Lu, Aixia; Jiang, Jie

    2009-11-01

    Battery drivable low-voltage SnO2-based paper thin-film transistors with a near-zero threshold voltage (Vth=0.06 V) gated by microporous SiO2 dielectric with electric-double-layer (EDL) effect are fabricated at room temperature. The operating voltage is found to be as low as 1.5 V due to the huge gate specific capacitance (1.34 μF/cm2 at 40 Hz) related to EDL formation. The subthreshold gate voltage swing and current on/off ratio is found to be 82 mV/decade and 2.0×105, respectively. The electron field-effect mobility is estimated to be 47.3 cm2/V s based on the measured gate specific capacitance at 40 Hz.

  18. Optimization of Urea-EnFET Based on Ta2O5 Layer with Post Annealing

    PubMed Central

    Lue, Cheng-En; Yu, Ting-Chun; Yang, Chia-Ming; Pijanowska, Dorota G.; Lai, Chao-Sung

    2011-01-01

    In this study, the urea-enzymatic field effect transistors (EnFETs) were investigated based on pH-ion sensitive field effect transistors (ISFETs) with tantalum pentoxide (Ta2O5) sensing membranes. In addition, a post N2 annealing was used to improve the sensing properties. At first, the pH sensitivity, hysteresis, drift, and light induced drift of the ISFETs were evaluated. After the covalent bonding process and urease immobilization, the urea sensitivity of the EnFETs were also investigated and compared with the conventional Si3N4 sensing layer. The ISFETs and EnFETs with annealed Ta2O5 sensing membranes showed the best responses, including the highest pH sensitivity (56.9 mV/pH, from pH 2 to pH 12) and also corresponded to the highest urea sensitivity (61 mV/pCurea, from 1 mM to 7.5 mM). Besides, the non-ideal factors of pH hysteresis, time drift, and light induced drift of the annealed samples were also lower than the controlled Ta2O5 and Si3N4 sensing membranes. PMID:22163862

  19. Optimization of urea-EnFET based on Ta2O5 layer with post annealing.

    PubMed

    Lue, Cheng-En; Yu, Ting-Chun; Yang, Chia-Ming; Pijanowska, Dorota G; Lai, Chao-Sung

    2011-01-01

    In this study, the urea-enzymatic field effect transistors (EnFETs) were investigated based on pH-ion sensitive field effect transistors (ISFETs) with tantalum pentoxide (Ta(2)O(5)) sensing membranes. In addition, a post N(2) annealing was used to improve the sensing properties. At first, the pH sensitivity, hysteresis, drift, and light induced drift of the ISFETs were evaluated. After the covalent bonding process and urease immobilization, the urea sensitivity of the EnFETs were also investigated and compared with the conventional Si(3)N(4) sensing layer. The ISFETs and EnFETs with annealed Ta(2)O(5) sensing membranes showed the best responses, including the highest pH sensitivity (56.9 mV/pH, from pH 2 to pH 12) and also corresponded to the highest urea sensitivity (61 mV/pC(urea), from 1 mM to 7.5 mM). Besides, the non-ideal factors of pH hysteresis, time drift, and light induced drift of the annealed samples were also lower than the controlled Ta(2)O(5) and Si(3)N(4) sensing membranes.

  20. Structural, morphological, dielectric and impedance spectroscopy of lead-free Bi(Zn2/3Ta1/3)O3 electronic material

    NASA Astrophysics Data System (ADS)

    Halder, S.; Bhuyan, S.; Das, S. N.; Sahoo, S.; Choudhary, R. N. P.; Das, P.; Parida, K.

    2017-12-01

    A lead-free dielectric material [Bi(Zn2/3Ta1/3)O3] has been prepared using a solid state reaction technique at high-temperature. The resistive, conducting and capacitive characteristics of the prepared electronic material have been studied in different experimental conditions. The determination of basic crystal parameters and reflection indices confirm the development of polycrystalline compound with orthorhombic crystal structure. The study of frequency-temperature dependence of ac conductivity illustrates the nature and conduction mechanism of the material. On the basis of observed impedance data and detailed dielectric analysis, the existence of non-Debye type relaxation has been affirmed. The electronic charge carriers of compound have short range order that has been validated from the complex modulus and impedance spectrum. The detailed studies of resistive, capacitive, microstructural characteristics of the prepared material provide some useful data for considering the material as an electronic component for fabrication of devices.

  1. Frequency and temperature dependent dielectric properties of TiO2-V2O5 nanocomposites

    NASA Astrophysics Data System (ADS)

    Ray, Apurba; Roy, Atanu; De, Sayan; Chatterjee, Souvik; Das, Sachindranath

    2018-03-01

    In this manuscript, we have reported the crystal structure, dielectric response, and transport phenomenon of TiO2-V2O5 nanocomposites. The nanocomposites were synthesized using a sol-gel technique having different molar ratios of Ti:V (10:10, 10:15, and 10:20). The phase composition and the morphology have been studied using X-ray diffraction and field emission scanning electron microscope, respectively. The impedance spectroscopy studies of the three samples over a wide range of temperature (50 K-300 K) have been extensively described using the internal barrier layer capacitor model. It is based on the contribution of domain and domain boundary, relaxations of the materials, which are the main crucial factors for the enhancement of the dielectric response. The frequency dependent ac conductivity of the ceramics strongly obeys the well-known Jonscher's power law, and it has been clearly explained using the theory of jump relaxation model. The temperature dependent bulk conductivity is fairly recognized to the variable-range hopping of localized polarons. The co-existence of mixed valence state of Ti ions (Ti3+ and Ti4+) in the sample significantly contributes to the change of dielectric property. The overall study of dielectric response explains that the dielectric constant and the dielectric loss are strongly dependent on temperature and frequency and decrease with an increase of frequency as well as temperature.

  2. Pressure-induced amorphization in single-crystal Ta2O5 nanowires: a kinetic mechanism and improved electrical conductivity.

    PubMed

    Lü, Xujie; Hu, Qingyang; Yang, Wenge; Bai, Ligang; Sheng, Howard; Wang, Lin; Huang, Fuqiang; Wen, Jianguo; Miller, Dean J; Zhao, Yusheng

    2013-09-18

    Pressure-induced amorphization (PIA) in single-crystal Ta2O5 nanowires is observed at 19 GPa, and the obtained amorphous Ta2O5 nanowires show significant improvement in electrical conductivity. The phase transition process is unveiled by monitoring structural evolution with in situ synchrotron X-ray diffraction, pair distribution function, Raman spectroscopy, and transmission electron microscopy. The first principles calculations reveal the phonon modes softening during compression at particular bonds, and the analysis on the electron localization function also shows bond strength weakening at the same positions. On the basis of the experimental and theoretical results, a kinetic PIA mechanism is proposed and demonstrated systematically that amorphization is initiated by the disruption of connectivity between polyhedra (TaO6 octahedra or TaO7 bipyramids) at the particular weak-bonding positions along the a axis in the unit cell. The one-dimensional morphology is well-preserved for the pressure-induced amorphous Ta2O5, and the electrical conductivity is improved by an order of magnitude compared to traditional amorphous forms. Such pressure-induced amorphous nanomaterials with unique properties surpassing those in either crystalline or conventional amorphous phases hold great promise for numerous applications in the future.

  3. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S.

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer intomore » the IGZO and resulted in higher resistivity films.« less

  4. High-performance enhancement-mode Al2O3/InAlGaN/GaN MOS high-electron mobility transistors with a self-aligned gate recessing technology

    NASA Astrophysics Data System (ADS)

    Zhang, Kai; Kong, Cen; Zhou, Jianjun; Kong, Yuechan; Chen, Tangsheng

    2017-02-01

    The paper reports high-performance enhancement-mode MOS high-electron mobility transistors (MOS-HEMTs) based on a quaternary InAlGaN barrier. Self-aligned gate technology is used for gate recessing, dielectric deposition, and gate electrode formation. An improved digital recessing process is developed, and an Al2O3 gate dielectric grown with O2 plasma is used. Compared to results with AlGaN barrier, the fabricated E-mode MOS-HEMT with InAlGaN barrier delivers a record output current density of 1.7 A/mm with a threshold voltage (V TH) of 1.5 V, and a small on-resistance (R on) of 2.0 Ω·mm. Excellent V TH hysteresis and greatly improved gate leakage characteristics are also demonstrated.

  5. High Elastic Moduli of a 54Al2O3-46Ta2O5 Glass Fabricated via Containerless Processing

    PubMed Central

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki; Yanaba, Yutaka; Mizoguchi, Teruyasu; Umada, Takumi; Okamura, Kohei; Kato, Katsuyoshi; Watanabe, Yasuhiro

    2015-01-01

    Glasses with high elastic moduli have been in demand for many years because the thickness of such glasses can be reduced while maintaining its strength. Moreover, thinner and lighter glasses are desired for the fabrication of windows in buildings and cars, cover glasses for smart-phones and substrates in Thin-Film Transistor (TFT) displays. In this work, we report a 54Al2O3-46Ta2O5 glass fabricated by aerodynamic levitation which possesses one of the highest elastic moduli and hardness for oxide glasses also displaying excellent optical properties. The glass was colorless and transparent in the visible region, and its refractive index nd was as high as 1.94. The measured Young’s modulus and Vickers hardness were 158.3 GPa and 9.1 GPa, respectively, which are comparable to the previously reported highest values for oxide glasses. Analysis made using 27Al Magic Angle Spinning Nuclear Magnetic Resonance (MAS NMR) spectroscopy revealed the presence of a significantly large fraction of high-coordinated Al in addition to four-coordinated Al in the glass. The high elastic modulus and hardness are attributed to both the large cationic field strength of Ta5+ ions and the large dissociation energies per unit volume of Al2O3 and Ta2O5. PMID:26468639

  6. Downscaling ferroelectric field effect transistors by using ferroelectric Si-doped HfO2

    NASA Astrophysics Data System (ADS)

    Martin, Dominik; Yurchuk, Ekaterina; Müller, Stefan; Müller, Johannes; Paul, Jan; Sundquist, Jonas; Slesazeck, Stefan; Schlösser, Till; van Bentum, Ralf; Trentzsch, Martin; Schröder, Uwe; Mikolajick, Thomas

    2013-10-01

    Throughout the 22 nm technology node HfO2 is established as a reliable gate dielectric in contemporary complementary metal oxide semiconductor (CMOS) technology. The working principle of ferroelectric field effect transistors FeFET has also been demonstrated for some time for dielectric materials like Pb[ZrxTi1-x]O3 and SrBi2Ta2O9. However, integrating these into contemporary downscaled CMOS technology nodes is not trivial due to the necessity of an extremely thick gate stack. Recent developments have shown HfO2 to have ferroelectric properties, given the proper doping. Moreover, these doped HfO2 thin films only require layer thicknesses similar to the ones already in use in CMOS technology. This work will show how the incorporation of Si induces ferroelectricity in HfO2 based capacitor structures and finally demonstrate non-volatile storage in nFeFETs down to a gate length of 100 nm. A memory window of 0.41 V can be retained after 20,000 switching cycles. Retention can be extrapolated to 10 years.

  7. Measurement and modeling of short and medium range order in amorphous Ta 2O 5 thin films

    DOE PAGES

    Shyam, Badri; Stone, Kevin H.; Bassiri, Riccardo; ...

    2016-08-26

    Here, amorphous films and coatings are rapidly growing in importance. Yet, there is a dearth of high-quality structural data on sub-micron films. Not understanding how these materials assemble at atomic scale limits fundamental insights needed to improve their performance. Here, we use grazing-incidence x-ray total scattering measurements to examine the atomic structure of the top 50–100 nm of Ta 2O 5 films; mirror coatings that show high promise to significantly improve the sensitivity of the next generation of gravitational-wave detectors. Our measurements show noticeable changes well into medium range, not only between crystalline and amorphous, but also between as-deposited, annealedmore » and doped amorphous films. It is a further challenge to quickly translate the structural information into insights into mechanisms of packing and disorder. Here, we illustrate a modeling approach that allows translation of observed structural features to a physically intuitive packing of a primary structural unit based on a kinked Ta-O-Ta backbone. Our modeling illustrates how Ta-O-Ta units link to form longer 1D chains and even 2D ribbons, and how doping and annealing influences formation of 2D order. We also find that all the amorphousTa 2O 5 films studied in here are not just poorly crystalline but appear to lack true 3D order.« less

  8. Thermal, optical, and dielectric properties of fluoride Rb2TaF7

    NASA Astrophysics Data System (ADS)

    Pogorel'tsev, E. I.; Mel'nikova, S. V.; Kartashev, A. V.; Gorev, M. V.; Flerov, I. N.; Laptash, N. M.

    2017-05-01

    The thermal, optical, and dielectric properties of fluoride Rb2TaF7 were investigated. It was observed that the variation in chemical pressure in fluorides A 2 +TaF7 caused by the cation substitution of rubidium for ammonium does not affect the ferroelastic nature of structural distortions, but leads to stabilization of the high- and low-temperature phases and enhancement of birefringence. The entropy of the phase transition P4/nmm ↔ Cmma is typical of the shift transformations, which is consistent with a model of the initial and distorted phase structures. The anisotropy of chemical pressure causes the change of signs of the anomalous strain and baric coefficient dT/ dp of Rb2TaF7 as compared with the values for its ammonium analog.

  9. Microwave dielectric properties of BaO-2CeO{sub 2}-nTiO{sub 2} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sreemoolanadhan, H.; Sebastian, M.T.; Ratheesh, R.

    2004-11-01

    The BaO-2CeO{sub 2}-nTiO{sub 2} ceramics with n=3, 4 and 5 have been prepared with CeO{sub 2} as starting material. The ceramics have been characterized using scanning electron microscopy, X-ray diffraction, Raman and X-ray photoelectron spectroscopy techniques. The microwave dielectric properties have been measured using standard dielectric resonator techniques. BaO-2CeO{sub 2}-3TiO{sub 2} (123), BaO-2CeO{sub 2}-4TiO{sub 2} (124) and BaO-2CeO{sub 2}-5TiO{sub 2} (125) ceramics showed dielectric constants of 38, 27 and 32, respectively. All the ceramics showed fairly good unloaded Q-factors. 124 and 125 compounds exhibited low {tau}f values, while 123 showed a high {tau}f value.

  10. Enhancement of photoelectrochemical activity of SnS thin-film photoelectrodes using TiO2, Nb2O5, and Ta2O5 metal oxide layers

    NASA Astrophysics Data System (ADS)

    Vequizo, Junie Jhon M.; Yokoyama, Masanori; Ichimura, Masaya; Yamakata, Akira

    2016-06-01

    Tin sulfide (SnS) fine photoelectrodes fabricated by three-step pulsed electrodeposition were active for H2 evolution. The incident-photon-conversion-efficiency increases from 900 nm and offers a good fit with the absorption spectrum. The activity was enhanced by 3.4, 3.0, and 1.8 times compared to bare SnS by loading Nb2O5, TiO2, and Ta2O5, respectively. Nb2O5 was most efficient because its conduction band is low enough to facilitate effective electron transfer from SnS; it also has sufficiently high potential for H2 evolution. The overall activity is determined by the competitive interfacial electron transfer between SnS/metal-oxide and metal-oxide/water. Therefore, constructing appropriate heterojunctions is necessary for further improving photoelectrochemical systems.

  11. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    NASA Astrophysics Data System (ADS)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  12. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  13. The effect of Substrate temperature on physical and electrical properties of DC magnetron sputtered (Ta2O5)0.85(TiO2)0.15 films

    NASA Astrophysics Data System (ADS)

    Sekhar, M. Chandra; Uthanna, S.; Martins, R.; Jagadeesh Chandra, S. V.; Elangovan, E.

    2012-04-01

    Thin films of (Ta2O5)0.85(TiO2)0.15 were deposited on quartz and p-Si substrates by DC reactive magnetron sputtering at different substrate temperatures (Ts) in the range 303 - 873 K. The films deposited at 303 0K were in the amorphous and it transformed to crystalline at substrate temperatures >= 573 0K. The crystallite size was increased from 50 nm to 72 nm with the increase of substrate temperature. The surface morphology was significantly influenced with the substrate temperature. After deposition of the (Ta2O5)0.85(TiO2)0.15 films on Si, aluminium (Al) electrode was deposited to fabricate metal/oxide/semiconductor (MOS) capacitors with a configuration of Al/(Ta2O5)0.85(TiO2)0.15/Si. A low leakage current of 7.7 × 10-5 A/cm2 was obtained from the films deposited at 303 K. The leakage current was decreased to 9.3 × 10-8 A/cm2 with the increase of substrate temperature owing to structural changes. The conduction mechanism of the Al/(Ta2O5)0.85(TiO2)0.15/Si capacitors was analyzed and compared with mechanisms of Poole-Frenkel and Schottky emissions. The optical band gap (Eg) was decreased from 4.45 eV to 4.38 eV with the increase in substrate temperature.

  14. Improvement in temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films using Ba(Mg1/3Ta2/3)O3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wu, Zhi; Zhou, Jing; Chen, Wen; Shen, Jie; Yang, Huimin; Zhang, Shisai; Liu, Yueli

    2016-12-01

    In this paper, Pb(Zr0.52Ti0.48)O3 (PZT) thin films were prepared via sol-gel method. The effects of Ba(Mg1/3Ta2/3)O3 (BMT) buffer layer on the temperature dependence and dielectric tunability properties of PZT thin films were studied. As the thickness of BMT buffer layer increases, the tan δ and tunability of PZT thin films decrease while tunability still maintains above 10%. This result shows that BMT buffer layer can improve the dielectric tunability properties of PZT thin films. Furthermore, the temperature coefficient of the dielectric constant decreases from 2333.4 to 906.9 ppm/°C with the thickness of BMT buffer layer increasing in the range from 25 to 205 °C, indicating that BMT buffer layer can improve the temperature stability of PZT thin films. Therefore, BMT buffer layer plays a critical role in improving temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films.

  15. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    PubMed

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  16. Partial nitrogen loss in SrTaO2N and LaTiO2N oxynitride perovskites

    NASA Astrophysics Data System (ADS)

    Chen, Daixi; Habu, Daiki; Masubuchi, Yuji; Torii, Shuki; Kamiyama, Takashi; Kikkawa, Shinichi

    2016-04-01

    SrTaO2N heated in a helium atmosphere began to release nitrogen of approximately 30 at% at 950 °C while maintaining the perovskite structure and its color changed from orange to dark green. Then it decomposed above 1200 °C to a black mixture of Sr1.4Ta0.6O2.73, Ta2N, and Sr5Ta4O15. The second decomposition was not clearly observed when SrTaO2N was heated in a nitrogen atmosphere below 1550 °C. After heating at 1500 °C for 3 h under a 0.2 MPa nitrogen atmosphere, the perovskite product became dark green and conductive. Structure refinement results suggested that the product was a mixture of tetragonal and cubic perovskites with a decreased ordering of N3-/O2-. The sintered body was changed to an n-type semiconductor after a partial loss of nitrogen to be reduced from the originally insulating SrTaO2N perovskite lattice. LaTiO2N was confirmed to have a similar cis-configuration of the TiO4N2 octahedron as that of TaO4N2 in SrTaO2N. It also released some of its nitrogen at 800 °C changing its color from brown to black and then decomposed to a mixture of LaTiO3, La2O3, and TiN at 1100 °C. These temperatures are lower than those in SrTaO2N.

  17. Evolution of resistive switching mechanism through H2O2 sensing by using TaOx-based material in W/Al2O3/TaOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Somsubhra; Panja, Rajeswar; Roy, Sourav; Roy, Anisha; Samanta, Subhranu; Dutta, Mrinmoy; Ginnaram, Sreekanth; Maikap, Siddheswar; Cheng, Hsin-Ming; Tsai, Ling-Na; Chang, Ya-Ling; Mahapatra, Rajat; Jana, Debanjan; Qiu, Jian-Tai; Yang, Jer-Ren

    2018-03-01

    Understanding of resistive switching mechanism through H2O2 sensing and improvement of switching characteristics by using TaOx-based material in W/Al2O3/TaOx/TiN structure have been reported for the first time. Existence of amorphous Al2O3/TaOx layer in the RRAM devices has been confirmed by transmission electron microscopy. By analyzing the oxidation states of Ta2+/Ta5+ for TaOx switching material and W0/W6+ for WOx layer at the W/TaOx interface through X-ray photoelectron spectroscopy and H2O2 sensing, the reduction-oxidation mechanism under Set/Reset occurs only in the TaOx layer for the W/Al2O3/TaOx/TiN structures. This leads to higher Schottky barrier height at the W/Al2O3 interface (0.54 eV vs. 0.46 eV), higher resistance ratio, and long program/erase endurance of >108 cycles with 100 ns pulse width at a low operation current of 30 μA. Stable retention of more than 104 s at 85 °C is also obtained. Using conduction mechanism and reduction-oxidation reaction, current-voltage characteristic has been simulated. Both TaOx and WOx membranes have high pH sensitivity values of 47.65 mV/pH and 49.25 mV/pH, respectively. Those membranes can also sense H2O2 with a low concentration of 1 nM in an electrolyte-insulator-semiconductor structure because of catalytic activity, while the Al2O3 membrane does not show sensing. The TaOx material in W/Al2O3/TaOx/TiN structure does not show only a path towards high dense, small size memory application with understanding of switching mechanism but also can be used for H2O2 sensors.

  18. Corrosion and wear behaviours of a reactive-sputter-deposited Ta2O5 nanoceramic coating

    NASA Astrophysics Data System (ADS)

    Hu, Wei; Xu, Jiang; Lu, Xiaolin; Hu, Dongsheng; Tao, Hongliang; Munroe, Paul; Xie, Zong-Han

    2016-04-01

    In order to improve the wear and corrosion resistance of Ti-6Al-4V, a novel β-Ta2O5 nanoceramic coating was synthesised using reactive sputter deposition enabled by double glow discharge plasma technique. The surface topography, chemical composition, and microstructure of the newly developed coating were characterised by a variety of surface analytical techniques. The coating microstructure was found to exhibit a compact striated pattern extending in a direction perpendicular to coating surface, which is composed of equiaxed β-Ta2O5 grains with an average grain size of ∼20 nm, well adhered to the Ti-6A1-4V substrate. The hardness and the Young's modulus of the as-deposited coating were obtained by nanoindentation, and the adhesion strength between the coating and substrate was determined by a scratch tester. The dry sliding wear behaviours of the coating were investigated at room temperature against Si3N4 ceramic balls at room temperature under applied loads ranging from 2.3 N to 5.3 N using a ball-on-disc tribometer. The specific wear rates of the coating exhibited only a slight increase with applied normal load, and were shown to be two orders of magnitude lower than that for Ti-6Al-4V under the same loading condition. Furthermore, the electrochemical behaviour of the coating immersed in 3.5 wt.% NaCl solution was systematically examined by using a range of complementary electrochemical techniques including potentiodynamic polarisation, electrochemical impedance spectroscopy (EIS), Mott-Schottky analysis as well as potential of zero charge (PZC). The results showed that the corrosion resistance of the β-Ta2O5 nanoceramic coating was better than that of Ti-6Al-4V alloy in 3.5 wt.% NaCl solution. Hence, by possessing higher mechanical properties and good wear and corrosion resistance, the β-Ta2O5 nanoceramic coating is considered to be a promising candidate for protection of engineering components operating under harsh conditions.

  19. Threshold voltage control in TmSiO/HfO2 high-k/metal gate MOSFETs

    NASA Astrophysics Data System (ADS)

    Dentoni Litta, E.; Hellström, P.-E.; Östling, M.

    2015-06-01

    High-k interfacial layers have been proposed as a way to extend the scalability of Hf-based high-k/metal gate CMOS technology, which is currently limited by strong degradations in threshold voltage control, channel mobility and device reliability when the chemical oxide (SiOx) interfacial layer is scaled below 0.4 nm. We have previously demonstrated that thulium silicate (TmSiO) is a promising candidate as a high-k interfacial layer, providing competitive advantages in terms of EOT scalability and channel mobility. In this work, the effect of the TmSiO interfacial layer on threshold voltage control is evaluated, showing that the TmSiO/HfO2 dielectric stack is compatible with threshold voltage control techniques commonly used with SiOx/HfO2 stacks. Specifically, we show that the flatband voltage can be set in the range -1 V to +0.5 V by the choice of gate metal and that the effective workfunction of the stack is properly controlled by the metal workfunction in a gate-last process flow. Compatibility with a gate-first approach is also demonstrated, showing that integration of La2O3 and Al2O3 capping layers can induce a flatband voltage shift of at least 150 mV. Finally, the effect of the annealing conditions on flatband voltage is investigated, finding that the duration of the final forming gas anneal can be used as a further process knob to tune the threshold voltage. The evaluation performed on MOS capacitors is confirmed by the fabrication of TmSiO/HfO2/TiN MOSFETs achieving near-symmetric threshold voltages at sub-nm EOT.

  20. A study of tantalum pentoxide Ta 2O 5 structures up to 28 GPa

    DOE PAGES

    Stavrou, Elissaios; Zaug, Joseph M.; Bastea, Sorin; ...

    2017-05-02

    In this study, tantalum pentoxide Ta 2O 5 with the orthorhombic L-Ta 2O 5 structure has been experimentally studied up to 28.3 GPa (at ambient temperature) using synchrotron angle-dispersive powder X-ray diffraction (XRD). The ambient pressure phase remains stable up to 25 GPa where with increased pressure a crystalline to amorphous phase transition occurs. A detailed equation of state (EOS), including pressure dependent lattice parameters, is reported. The results of this study were compared with a previous high-pressure XRD study by Li et al. A clear discrepancy between the ambient-pressure crystal structures and, consequently, the reported EOSs between the twomore » studies was revealed. Finally, he origin of this discrepancy is attributed to two different crystal structures used to index the XRD patterns.« less

  1. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  2. Nanocomposite dielectrics in PbO-BaO-Na2O-Nb2O5-SiO2 system with high breakdown strength for high voltage capacitor applications.

    PubMed

    Zhang, Qingmeng; Luo, Jun; Tang, Qun; Han, Dongfang; Zhou, Yi; Du, Jun

    2012-11-01

    Nanocomposite dielectrics in 6PbO-4BaO-20Na2O-40Nb2O5-30SiO2 system were prepared via melt-quenching followed by controlled crystallization. X-ray diffraction studies reveal that Pb2Nb2O7, Ba,NaNb5O15, NaNbO3 and PbNb2O6 phases are formed from the as-quenched glass annealed in temperature range from 700 degrees C to 850 degrees C. Ba2NaNb5O15, Pb2Nb2O7 crystallizes at 700 degrees C and then Pb2Nb2O7 disappears at 850 degrees C, while PbNb2O6 and NaNbO3 are formed at 850 degrees C. Microstructural observation shows that the crystallized particles are nanometer-sized and randomly distributed with glass matrix being often found at grain boundaries. The dielectric constant of the nanocomposites formed at different crystallization temperatures shows good frequency and electric field stability. The breakdown strength is slightly decreased when the glass-ceramics thickness is varied from 1 mm to 4 mm. The corresponding energy density could reach 2.96 J/cm3 with a breakdown strength of 58 kV/mm for thickness of 1 mm.

  3. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    NASA Astrophysics Data System (ADS)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  4. Effect of V2O5 Addition on the Phase Composition of Bi5FeTi3O15 Ceramic and RF/Microwave Dielectric Properties

    NASA Astrophysics Data System (ADS)

    Aguiar, F. A. A.; Sales, A. J. M.; Araújo, B. S.; Sabóia, K. D. A.; Filho, M. C. Campos; Sombra, A. S. B.; Ayala, A. P.; Fechine, P. B. A.

    2017-04-01

    Bi5FeTi3O15 (BFT) polycrystalline ceramic with the addition of different concentrations of V2O5 was obtained by a solid-state method. X-ray powder diffraction, Raman spectroscopy and scanning electron microscopy (SEM) were used to study the microstructure and crystalline phases of the ceramics. SEM images showed plate-like morphology with dimensions between 0.32 μm and 3.07 μm (grain size, average around 1.3 μm). For samples with V2O5 concentration below 5%, Raman spectra were mainly determined by the vibrational modes from BFT. Impedance spectroscopy was also performed to evaluate the dielectric properties at microwave and radio frequencies (RF). Two extra phases (Bi4V1.5Fe0.5O10.5 and Bi2Ti2O7) were found due to the chemical reaction between BFT and V2O5. These phases were responsible for the changes in the grain morphology and dielectric response. V2O5 addition increased the real part of the dielectric permittivity ( ɛ') and reduced the dielectric loss tangent (tan δ) values at the RF range of 10 Hz to 1 MHz. For microwave frequencies of 3-3.5 GHz, ɛ' and temperature coefficient of resonant frequency ( τ f) values ranged from 66.52 ppm/°C to 88.60 ppm/°C and -304.3 ppm/°C to -192.6 ppm/°C, respectively. Thereby, BFT ceramics with added V2O5 are good candidates to be used for microwave devices (e.g., cell phones).

  5. Ultrahigh near infrared photoresponsive organic field-effect transistors with lead phthalocyanine/C60 heterojunction on poly(vinyl alcohol) gate dielectric.

    PubMed

    Sun, Lei; Zhang, Jianping; Zhao, Feiyu; Luo, Xiao; Lv, Wenli; li, Yao; Ren, Qiang; Wen, Zhanwei; Peng, Yingquan; Liu, Xingyuan

    2015-05-08

    Performances of photoresponsive organic field-effect transistors (photOFETs) operating in the near infrared (NIR) region utilizing SiO2 as the gate dielectric is generally low due to low carrier mobility of the channel. We report on NIR photOFETs based on lead phthalocyanine (PbPc)/C60 heterojunction with ultrahigh photoresponsivity by utilizing poly(vinyl alcohol) (PVA) as the gate dielectric. For 808 nm NIR illumination of 1.69 mW cm(-2), an ultrahigh photoresponsivity of 21 A W(-1), and an external quantum efficiency of 3230% were obtained at a gate voltage of 30 V and a drain voltage of 80 V, which are 124 times and 126 times as large as the reference device with SiO2 as the gate dielectric, respectively. The ultrahigh enhancement of photoresponsivity is resulted from the huge increase of electron mobility of C60 film grown on PVA dielectric. AFM investigations revealed that the C60 film grown on PVA is much smooth and uniform and the grain size is much larger than that grown on SiO2 dielectric, which together results in four orders of magnitude increase of the field-effect electron mobility of C60 film.

  6. Influence of Ta doping in resistive switching behavior of TiO2

    NASA Astrophysics Data System (ADS)

    Barman, Arabinda; Saini, Chetan P.; Deshmukh, Sujit; Dhar, Sankar; Kanjilal, Aloke

    An approach has been made to understand the resistive switching behavior in Ta-doped TiO2 films on Pt substrates. Prior to thin film deposition, Ta-doped TiO2 powder has been synthesized chemically using Ta and Ti precursor solutions. However, the Ta doping has seriously been affected by increasing Ta concentration above 1 at% due to the segregation of Ta2O5 phase. The Ta-doped TiO2 targets have been prepared for pulsed laser deposition of the films on Pt substrates using an excitation wavelength of 248 nm. The structural and chemical properties of the Ta-doped TiO2 films have been investigated in details with the help of XRD, SIMS, XAS and XPS. The stoichiometry of the Ta-doped TiO2 films with increasing depth has been verified initially by SIMS. The electrical study of the corresponding device structures further suggests that the optimized resistive switching effect can be accomplished up to a threshold Ta-doping of 1 at%. Nevertheless, a highly conducting behavior has been shown when the TiO2 films are doped with 2 at% Ta. These results will be discussed in details in the light of defect induced resistive switching phenomenon.

  7. Lithium ion intercalation in thin crystals of hexagonal TaSe2 gated by a polymer electrolyte

    NASA Astrophysics Data System (ADS)

    Wu, Yueshen; Lian, Hailong; He, Jiaming; Liu, Jinyu; Wang, Shun; Xing, Hui; Mao, Zhiqiang; Liu, Ying

    2018-01-01

    Ionic liquid gating has been used to modify the properties of layered transition metal dichalcogenides (TMDCs), including two-dimensional (2D) crystals of TMDCs used extensively recently in the device work, which has led to observations of properties not seen in the bulk. The main effect comes from the electrostatic gating due to the strong electric field at the interface. In addition, ionic liquid gating also leads to ion intercalation when the ion size of the gate electrolyte is small compared to the interlayer spacing of TMDCs. However, the microscopic processes of ion intercalation have rarely been explored in layered TMDCs. Here, we employed a technique combining photolithography device fabrication and electrical transport measurements on the thin crystals of hexagonal TaSe2 using multiple channel devices gated by a polymer electrolyte LiClO4/Polyethylene oxide (PEO). The gate voltage and time dependent source-drain resistances of these thin crystals were used to obtain information on the intercalation process, the effect of ion intercalation, and the correlation between the ion occupation of allowed interstitial sites and the device characteristics. We found a gate voltage controlled modulation of the charge density waves and a scattering rate of charge carriers. Our work suggests that ion intercalation can be a useful tool for layered materials engineering and 2D crystal device design.

  8. Structural and optical properties of Ta{sub 2}O{sub 5}:Eu{sup 3+}: Mg{sup 2+} or Ca{sup 2+} phosphor prepared by molten salt method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Verma, Naveen, E-mail: vermanaveen17@gmail.com; Singh, Krishan Chander; Jindal, Jitender

    2016-04-13

    Ta{sub 2}O{sub 5}:Eu{sup 3+}: Mg{sup 2+} or Ca{sup 2+} phosphor materials were prepared by molten salt method using KCl as flux. The X-ray diffraction (XRD) patterns illustrated that the well crystallized Ta{sub 2}O{sub 5}:Eu{sup 3+}: Mg{sup 2+} or Ca{sup 2+} were formed in the presence of flux under reduced temperature (800 °C) in contrast to conventional solid state method (1200-1500 °C). Scanning electron microscope (SEM) images indicate the achievement of well dispersed particles (hexagonal tablet and rod-like structures). Meanwhile, the photo-luminescent studies demonstrated that Ta{sub 2}O{sub 5} is an efficient host to sensitize europium red emissions. The addition of Mg{supmore » 2+} or Ca{sup 2+} as co-dopant enhanced the luminescent intensity of Ta{sub 2}O{sub 5}: Eu{sup 3+} compound.« less

  9. Laser-Matter Interaction in Dielectrics: Insight from Picosecond-Pulsed Second-Harmonic Generation in Periodically Poled LiTaO3

    NASA Astrophysics Data System (ADS)

    Louchev, Oleg A.; Wada, Satoshi; Panchenko, Vladislav Ya.

    2017-08-01

    We develop a modified two-temperature (2T) model of laser-matter interaction in dielectrics based on experimental insight from picosecond-pulsed high-frequency temperature-controlled second-harmonic (515 nm) generation in periodically poled stoichiometric LiTaO3 crystal and required for computational treatment of short-pulsed nonlinear optics and materials processing applications. We show that the incorporation of an extended set of recombination-kinetics-related energy-release and heat-exchange processes following short-pulsed photoionization by two-photon absorption of the second harmonic allows accurate simulation of the electron-lattice relaxation dynamics and electron-lattice temperature evolution in LiTaO3 crystal in nonlinear laser-frequency conversion. Our experimentally confirmed model and detailed simulation study show that two-photon ionization with the recombination mechanism via ion-electron-lattice interaction followed by a direct transfer of the recombination energy to the lattice is the main laser-matter energy-transfer pathway responsible for the majority of the crystal lattice heating (approximately 90%) continuing for approximately 50 ps after laser-pulse termination and competing with effect of electron-phonon energy transfer from the free electrons. This time delay is due to a recombination bottleneck which hinders faster relaxation to thermal equilibrium in photoionized dielectric crystal. Generally, our study suggests that in dielectrics photoionized by short-pulsed radiation with intensity range used in nonlinear laser-frequency conversion, the electron-lattice relaxation period is defined by the recombination-stage bottleneck of a few tens of picoseconds and not by the time of the electron-phonon energy transfer. This modification of the 2T model can be applied to a broad range of processes involving laser-matter interactions in dielectrics and semiconductors for charge density reaching the range of 1021- 1022 cm-3 .

  10. Gate dielectric surface treatments for performance improvement of poly(3-hexylthiophene-2,5-diyl) based organic field-effect transistors

    NASA Astrophysics Data System (ADS)

    Nawaz, Ali; de, Cristiane, , Col; Cruz-Cruz, Isidro; Kumar, Anshu; Kumar, Anil; Hümmelgen, Ivo A.

    2015-08-01

    We report on enhanced performance in poly(3-hexylthiophene-2,5-diyl) (P3HT) based organic field effect transistors (OFETs) achieved by improvement in hole transport along the channel near the insulator/semiconductor (I/S) interface. The improvement in hole transport is demonstrated to occur very close to the I/S interface, after treatment of the insulator layer with sodium dodecyl sulfate (SDS). SDS is an anionic surfactant, with negatively charged heads, known for formation of micelles above critical micelle concentration (CMC), which contribute to the passivation of positively charged traps. Investigation of field-effect mobility (μFET) as a function of channel bottleneck thickness in OFETs reveals the favorable gate voltage regime where mobility is the highest. In addition, it shows that the gate dielectric surface treatment not only leads to an increase in mobility in that regime, but also displaces charge transport closer to the interface, hence pointing toward passivation of the charge traps at I/S interface. OFETs with SDS treatment were compared with untreated and vitamin C or hexadecyltrimethylammonium bromide (CTAB) treated OFETs. All the treatments resulted in significant improvements in specific dielectric capacitance, μFET, on/off current ratio and transconductance.

  11. Gate bias stress in pentacene field-effect-transistors: Charge trapping in the dielectric or semiconductor

    NASA Astrophysics Data System (ADS)

    Häusermann, R.; Batlogg, B.

    2011-08-01

    Gate bias stress instability in organic field-effect transistors (OFETs) is a major conceptual and device issue. This effect manifests itself by an undesirable shift of the transfer characteristics and is associated with long term charge trapping. We study the role of the dielectric and the semiconductor separately by producing OFETs with the same semiconductor (pentacene) combined with different dielectrics (SiO2 and Cytop). We show that it is possible to fabricate devices which are immune to gate bias stress. For other material combinations, charge trapping occurs in the semiconductor alone or in the dielectric.

  12. Effects of plasma-induced charging damage on random telegraph noise in metal-oxide-semiconductor field-effect transistors with SiO2 and high-k gate dielectrics

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2014-01-01

    We clarified in this study how plasma-induced charging damage (PCD) affects the so-called “random telegraph noise (RTN)” — a principal concern in designing ultimately scaled large-scale integrated circuits (LSIs). Metal-oxide-semiconductor field-effect transistors (MOSFETs) with SiO2 and high-k gate dielectric were exposed to an inductively coupled plasma (ICP) with Ar gas. Drain current vs gate voltage (Ids-Vg) characteristics were obtained before and after the ICP plasma exposure for the same device. Then, the time evolution of Ids fluctuation defined as Ids/μIds was measured, where μIds is the mean Ids. This value corresponds to an RTN feature, and RTN was obtained under various gate voltages (Vg) by a customized measurement technique. We focused on the statistical distribution width of (Ids/μIds), δ(Ids/μIds), in order to clarify the effects of PCD on RTN. δ(Ids/μIds) was increased by PCD for both MOSFETs with the SiO2 and high-k gate dielectrics, suggesting that RTN can be used as a measure of PCD, i.e., a distribution width increase directly indicates the presence of PCD. The dependence of δ(Ids/μIds) on the overdrive voltage Vg-Vth, where Vth is the threshold voltage, was investigated by the present technique. It was confirmed that δ(Ids/μIds) increased with a decrease in the overdrive voltage for MOSFETs with the SiO2 and high-k gate dielectrics. The presence of created carrier trap sites with PCD was characterized by the time constants for carrier capture and emission. The threshold voltage shift (ΔVth) induced by PCD was also evaluated and compared with the RTN change, to correlate the RTN increase with ΔVth induced by PCD. Although the estimated time constants exhibited complex behaviors due to the nature of trap sites created by PCD, δ(Ids/μIds) showed a straightforward tendency in accordance with the amount of PCD. These findings provide an in-depth understanding of plasma-induced RTN characteristic changes in future MOSFETs.

  13. Influence of Li3BO3 additives on the Li+ conductivity and stability of Ca/Ta-substituted Li6.55(La2.95Ca0.05)(Zr1.5Ta0.5)O12 electrolytes

    NASA Astrophysics Data System (ADS)

    Zhang, L. C.; Yang, J. F.; Gao, Y. X.; Wang, X. P.; Fang, Q. F.; Chen, C. H.

    2017-07-01

    The cubic Ca/Ta-substituted Li6.55(La2.95Ca0.05)(Zr1.5Ta0.5)O12 (LLCZTO) electrolytes were synthesized at 800 °C with Li3BO3 as additives. The optimal amount of Li3BO3 and its influences on the microstructure, crystal structures, Li+ conductivity and the stability of the Li6.55(La2.95Ca0.05)(Zr1.5Ta0.5)O12 were studied by SEM, XRD and EIS. Among all the samples, when the molar ratio of Li3BO3 to the Li6.55(La2.95Ca0.05)(Zr1.5Ta0.5)O12 is 4:5, the highest Li+ conductivity of 1.33 × 10-4 S cm-1 at 30 °C is obtained. When the LLCZTO samples are exposed in air, the Li+ conductivity is deteriorated possibly owing to the side reactions between the LLCZTO and the H2O or CO2 in the air. The Li3BO3 addition can alleviate such deterioration of the Li+ conductivity.

  14. Magnetic, thermal, and optical properties of single-crystalline CoTa2O6 and FeTa2O6 and their anisotropic magnetocaloric effect

    NASA Astrophysics Data System (ADS)

    Christian, A. B.; Schye, A. T.; White, K. O.; Neumeier, J. J.

    2018-05-01

    The magnetic, thermal, and optical properties of single-crystalline CoTa2O6 and FeTa2O6 are reported. Optical dichroism was observed in CoTa2O6. Magnetic susceptibility χ(T) measurements reveal long-range antiferromagnetic order with Néel temperatures K and 8.11(5) K, respectively, and anisotropy in χ. The thermal expansion coefficients exhibit significant anisotropy and the influence of the magnetic ions and long-range order. A structural phase transition to orthorhombic occurs below T N for FeTa2O6. Magnetic field H lowers T N with its affect largest when H is directed along either [1 1 0] or [1  0], and smallest when directed along [0 0 1]. This leads to an anisotropic magnetocaloric effect that is investigated through measurements of the specific heat and magnetization in applied magnetic field.

  15. Unique dielectric features of a ceramic-semiconductor nanocomposite MgNb2O6 + 0.25Zn0.5Cd0.5S

    NASA Astrophysics Data System (ADS)

    Pukazhselvan, D.; Selvaraj, Nivas Babu; Bdikin, Igor; Saravanan, R. Sakthi Sudar; Jakka, Suresh Kumar; Soares, M. J.; Fagg, Duncan Paul

    2017-12-01

    The present communication deals with the optical/dielectric characteristics of MgNb2O6 + 0.25Zn0.5Cd0.5S nanocomposite (10-30 nm) mixture. Zn0.5Cd0.5S (size ∼10 nm) was synthesized by microwave assisted solvo-thermal method. Monophase magnesium niobate (MN) nanoparticles (10-20 nm) were synthesized in a single step by mechanochemical treatment of MgO + Nb2O5 under dry N2 atmosphere. The nanocomposite, MgNb2O6 + 0.25Zn0.5Cd0.5S, was prepared by mechanical admixing of MgNb2O6 and Zn0.5Cd0.5S taken in 4:1 molar ratio. The photoluminescence study shows violet, yellow and orange-red emissions by the MgNb2O6 + 0.25Zn0.5Cd0.5S composite. The observed dielectric constant value (ε) for MgNb2O6 + 0.25Zn0.5Cd0.5S is only 4.7, which is ∼5 times smaller than the ε value of MgNb2O6 while a dielectric loss for the composite being closer to zero ensures promising commercial applications.

  16. Pressure-Induced Amorphization in Single-Crystal Ta2O5 Nanowires: A Kinetic Mechanism and Improved Electrical Conductivity

    NASA Astrophysics Data System (ADS)

    Lu, Xujie; Hu, Qingyang; Yang, Wenge; Bai, Ligang; Sheng, Howard; Wang, Lin; Huang, Fuqiang; Wen, Jianguo; Miller, Dean; Zhao, Yusheng

    2014-03-01

    Pressure-induced amorphization (PIA) in single-crystal Ta2O5 nanowires is observed at 19 GPa and the obtained amorphous Ta2O5 nanowires show significant improvement in electrical conductivity. The phase transition process is unveiled by monitoring structural evolution with in-situ synchrotron XRD, PDF, Raman spectroscopy and TEM. The first principles calculations reveal the phonon modes softening during compression at particular bonds, and the analysis on the electron localization function also shows bond strength weakening at the same positions. Based on the experimental and theoretical results, a kinetic PIA mechanism is proposed and demonstrated systematically that amorphization is initiated by the disruption of connectivity between polyhedra at the particular weak-bonding positions along the a-axis in the unit cell. The one-dimensional morphology is well preserved for the pressure-induced amorphous Ta2O5 and the electrical conductivity is improved by an order of magnitude compared to traditional amorphous forms.

  17. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  18. A novel perovskite-like Ta-bronze KTa1+zO3: preparation, stoichiometry, conductivity and crystal structure studies.

    PubMed

    Arakcheeva, A; Chapuis, G; Grinevitch, V; Shamray, V

    2001-04-01

    A new cubic Ta-bronze (1) KTa(1+z)(+(5-delta))O(3) [z approximately 0.107 (3)] was obtained on a cathode by molten salt electrolysis of the system K(2)TaOF(5)-K(3)TaO(2)F(4)-(KF + NaF + LiF)(eutectic). Black, metallic cubic crystals of (1) are formed together with tetragonal beta-Ta. The perovskite-like crystal structure of (1) [a = 4.005 (1) A, space group Pm3m] was refined with anharmonic displacement parameters for Ta and K atoms and anisotropic displacement parameters for a split O-atom position [KM4CCD diffractometer; lambda(Mo Kalpha); 3320 measured reflections with I > 3sigma(I); R = 0.0095, wR = 0.0065, Deltarho(min) = -0.91 e A(-3), Deltarho(max) = 0.65 e A(-3)]. Defects in the O and K atomic positions were found. (1) is a semiconductor in the temperature range 4-300 K, whereas the well studied and closely related colourless transparent crystals KTa(+5)O(3) (2) are dielectric. Differences in the properties of (1) and (2) are assumed to be connected with the existence of Ta dumb-bells statistically distributed into the KTaO(3) matrix.

  19. Poly(vinylidene fluoride) Flexible Nanocomposite Films with Dopamine-Coated Giant Dielectric Ceramic Nanopowders, Ba(Fe0.5Ta0.5)O3, for High Energy-Storage Density at Low Electric Field.

    PubMed

    Wang, Zhuo; Wang, Tian; Wang, Chun; Xiao, Yujia; Jing, Panpan; Cui, Yongfei; Pu, Yongping

    2017-08-30

    Ba(Fe 0.5 Ta 0.5 )O 3 /poly(vinylidene fluoride) (BFT/PVDF) flexible nanocomposite films are fabricated by tape casting using dopamine (DA)-modified BFT nanopowders and PVDF as a matrix polymer. After a surface modification of installing a DA layer with a thickness of 5 nm, the interfacial couple interaction between BFT and PVDF is enhanced, resulting in less hole defects at the interface. Then the dielectric constant (ε'), loss tangent (tan δ), and AC conductivity of nanocomposite films are reduced. Meanwhile, the value of the reduced dielectric constant (Δε') and the strength of interfacial polarization (k) are introduced to illustrate the effect of DA on the dielectric behavior of nanocomposite films. Δε' can be used to calculate the magnitude of interfacial polarization, and the strength of the dielectric constant contributed by the interface can be expressed as k. Most importantly, the energy-storage density and energy-storage efficiency of nanocomposite films with a small BFT@DA filler content of 1 vol % at a low electric field of 150 MV/m are enhanced by about 15% and 120%, respectively, after DA modification. The high energy-storage density of 1.81 J/cm 3 is obtained in the sample. This value is much larger than the reported polymer-based nanocomposite films. In addition, the outstanding cycle and bending stability of the nanocomposite films make it a promising candidate for future flexible portable energy devices.

  20. Structural, dielectric and magnetic properties of ZnFe2O4-Na0.5Bi0.5TiO3 multiferroic composites

    NASA Astrophysics Data System (ADS)

    Bhasin, Tanvi; Agarwal, Ashish; Sanghi, Sujata; Yadav, Manisha; Tuteja, Muskaan; Singh, Jogender; Rani, Sonia

    2018-04-01

    Multiferroic xNa0.5Bi0.5TiO3-(1-x)ZnFe2O4 (x=0.10, 0.20) composites were prepared by conventional solid state reaction method. Rietveld analysis of XRD data shows that samples exhibit both cubic (Fd-3m) and rhombohedral (R3c) crystal structure. Structural parameters and unit cell volume of samples vary with composition. The dielectric constant and dielectric loss (tanδ) display dispersion at low frequency due to space charge polarization and inhomogeneity in the composites. Magnetic analysis depicts the antiferromagnetic behavior of composites and magnetization is enhanced with the introduction of ferrite (ZnFe2O4) phase.

  1. Influence of gate recess on the electronic characteristics of β-Ga2O3 MOSFETs

    NASA Astrophysics Data System (ADS)

    Lv, Yuanjie; Mo, Jianghui; Song, Xubo; He, Zezhao; Wang, Yuangang; Tan, Xin; Zhou, Xingye; Gu, Guodong; Guo, Hongyu; Feng, Zhihong

    2018-05-01

    Gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with gate recess depths of 110 nm and 220 nm, respectively. The gate recess was formed by dry plasma etching with Cr metal as the mask. The fabricated devices with a 25-nm HfO2 gate dielectric both showed a low off-state drain current of about 1.8 × 10-10 A/mm. The effects of recess depth on the electronic characteristics of Ga2O3 MOSFETs were investigated. Upon increasing the recess depth from 110 nm to 220 nm, the saturated drain current decreased from 20.7 mA/mm to 2.6 mA/mm, while the threshold voltage moved increased to +3 V. Moreover, the breakdown voltage increased from 122 V to 190 V. This is mainly because the inverted-trapezoidal gate played the role of a gate-field plate, which suppressed the peak electric field close to the gate.

  2. Influences of the residual argon gas and thermal annealing on Ta2O5 and SiO2 thin film filters

    NASA Astrophysics Data System (ADS)

    Liu, Wen-Jen; Chen, Chih-Min; Lai, Yin-Chieh

    2005-04-01

    Ion beam assisted deposition (IBAD) technique had widely used for improving stacking density and atomic mobility of thin films in many applications, especially adopted in optical film industries. Tantalum pentaoxide (Ta2O5) and silicon oxides (SiO2) optical thin films were deposited on the quartz glass substrate by using argon ion beam assisted deposition, and the influences of the residual argon gas and thermal annealing processes on the optical property, stress, compositional and microstructure evolution of the thin films were investigated in this study. Ta2O5 thin films were analyzed by XPS indicated that the ratio value of oxygen to tantalum was insufficient, at the same time, the residual argon gas in the thin films might result in film and device instabilities. Adopting oxygen-thermal annealing treatment at the temperature of 425°C, the thin films not only decreased the residual argon gas and the surface roughness, but also provided the sufficient stoichiometric ratio. Simultaneously, microstructure examination indicated few nano-crystallized structures and voids existed in Ta2O5 thin films, and possessed reasonable refractive index and lower extinction coefficient. By the way, we also suggested the IBAD system using the film compositional gas ion beam to replace the argon ion beam for assisting deposited optical films. The designed (HL)6H6LH(LH)6 multi-layers indicated higher insertion loss than the designed (HL)68H(LH)6 multi-layers. Therefore, using the high refractive index as spacer material represented lower insertion loss.

  3. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    NASA Astrophysics Data System (ADS)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  4. Magnetic, thermal, and optical properties of single-crystalline CoTa2O6 and FeTa2O6 and their anisotropic magnetocaloric effect.

    PubMed

    Christian, A B; Schye, A T; White, K O; Neumeier, J J

    2018-05-16

    The magnetic, thermal, and optical properties of single-crystalline CoTa 2 O 6 and FeTa 2 O 6 are reported. Optical dichroism was observed in CoTa 2 O 6 . Magnetic susceptibility χ(T) measurements reveal long-range antiferromagnetic order with Néel temperatures [Formula: see text] K and 8.11(5) K, respectively, and anisotropy in χ. The thermal expansion coefficients exhibit significant anisotropy and the influence of the magnetic ions and long-range order. A structural phase transition to orthorhombic occurs below T N for FeTa 2 O 6 . Magnetic field H lowers T N with its affect largest when H is directed along either [1 1 0] or [1 [Formula: see text] 0], and smallest when directed along [0 0 1]. This leads to an anisotropic magnetocaloric effect that is investigated through measurements of the specific heat and magnetization in applied magnetic field.

  5. Effect of annealing temperature on microstructural evolution and electrical properties of sol-gel processed ZrO2/Si films

    NASA Astrophysics Data System (ADS)

    Hwang, Soo Min; Lee, Seung Muk; Park, Kyung; Lee, Myung Soo; Joo, Jinho; Lim, Jun Hyung; Kim, Hyoungsub; Yoon, Jae Jin; Kim, Young Dong

    2011-01-01

    High-permittivity (k) ZrO2/Si(100) films were fabricated by a sol-gel technique and the microstructural evolution with the annealing temperature (Ta) was correlated with the variation of their electrical performance. With increasing Ta, the ZrO2 films crystallized into a tetragonal (t) phase which was maintained until 700 °C at nanoscale thicknesses. Although the formation of the t-ZrO2 phase obviously enhanced the k value of the ZrO2 dielectric layer, the maximum capacitance in accumulation was decreased by the growth of a low-k interfacial layer (IL) between ZrO2 and Si with increasing Ta. On the other hand, the gate leakage current was remarkably depressed with increasing Ta probably due to the combined effects of the increased IL thickness, optical band gap of ZrO2, and density of ZrO2 and decreased remnant organic components.

  6. Dielectric relaxation study of amorphous TiTaO thin films in a large operating temperature range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rouahi, A.; Kahouli, A.; Laboratoire Materiaux, Organisation et Proprietes

    2012-11-01

    Two relaxation processes have been identified in amorphous TiTaO thin films deposited by reactive magnetron sputtering. The parallel angle resolved x-ray photoelectron spectroscopy and field emission scanning electron microscopy analyses have shown that this material is composed of an agglomerates mixture of TiO{sub 2}, Ta{sub 2}O{sub 5}, and Ti-Ta bonds. The first relaxation process appears at low temperature with activation energy of about 0.26 eV and is related to the first ionisation of oxygen vacancies and/or the reduction of Ti{sup 4+} to Ti{sup 3+}. The second relaxation process occurs at high temperature with activation energy of 0.95 eV. This lastmore » peak is associated to the diffusion of the doubly ionized oxygen vacancies V{sub O}e. The dispersion phenomena observed at high temperature can be attributed to the development of complex defect such as (V{sub O}e - 2Ti{sup 3+}).« less

  7. Core–shell grain structures and ferroelectric properties of Na0.5K0.5NbO3–LiTaO3–BiScO3 piezoelectric ceramics

    PubMed Central

    Zhu, Fangyuan; Ward, Michael B.; Li, Jing-Feng; Milne, Steven J.

    2015-01-01

    Legislation arising from health and environmental concerns has intensified research into finding suitable alternatives to lead-based piezoceramics. Recently, solid solutions based on sodium potassium niobate (K,Na)NbO3 (KNN) have become one of the globally-important lead-free counterparts, due to their favourable dielectric and piezoelectric properties. This data article provides information on the ferroelectric properties and core–shell grain structures for the system, (1−y)[(1−x)Na0.5K0.5NbO3 – xLiTaO3] – yBiScO3 (x=0–0.1, y=0.02, abbreviated as KNN–xLT–2BS). We show elemental analysis with aid of TEM spot-EDX to identify three-type grain-types in the KNN–LT–BS ternary system. Melting behaviour has been assessed using a tube furnace with build-in camera. Details for the ferroelectric properties and core–shell chemical segregation are illustrated. PMID:26217758

  8. Structural investigation of vanadium ions doped Li2Osbnd PbOsbnd B2O3sbnd P2O5 glasses by means of spectroscopic and dielectric studies

    NASA Astrophysics Data System (ADS)

    Yusub, S.; Narendrudu, T.; Suresh, S.; Krishna Rao, D.

    2014-11-01

    In the present investigation we report the synthesis of a series of transparent glasses of composition 20Li2Osbnd 20PbOsbnd 45B2O3sbnd (15-x) P2O5: xV2O5 with eight values of x ranging from 0 to 2.5 mol%, and their characterization. X-ray diffraction (XRD) spectra reflected the amorphous nature of the glasses. Optical absorption, electron paramagnetic resonance (EPR) spectra and FTIR study of vanadyl ions in the present glass network have been analyzed. The optical absorption and EPR investigations have revealed that vanadium ions do exist in both V4+ and V5+ states and the redox ratio (V4+/V5+) is observed to increase with the increase in concentration of V2O5. Dielectric properties viz., dielectric constant ε‧(ω), loss tan δ, electrical moduli M‧(ω), M″(ω), a.c. conductivity σac over an extensive scale of frequency and temperature have been investigated as a function of V2O5 concentration. The dispersion of dielectric constant ε‧(ω) with temperature has been interpreted by space charge polarization model. The dielectric loss and electrical moduli variation with frequency and temperature exhibited relaxation effects. These effects are ascribed to V4+ ions. The a.c. conductivity of the prepared glasses is perceived to escalate with the hike in V2O5 concentration whereas the activation energy for conduction exhibits a reverse trend. The conductivity mechanism is explained on the basis of polaronic transfer between V4+ and V5+ ions. The low temperature a.c. conductivity mechanism is elucidated by the quantum mechanical tunneling model. The growth in the values of dielectric parameters with raise in the concentration of V2O5 is due to V4+ ions which act as modifiers. The investigation of these results has indicated that at higher concentrations of V2O5, the VO2+ ions in the glasses were present in octahedral sites with tetragonal compression and belong to C4v symmetry.

  9. Solution processed lanthanum aluminate gate dielectrics for use in metal oxide-based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Esro, M.; Adamopoulos, G., E-mail: g.adamopoulos@lancaster.ac.uk; Mazzocco, R.

    2015-05-18

    We report on ZnO-based thin-film transistors (TFTs) employing lanthanum aluminate gate dielectrics (La{sub x}Al{sub 1−x}O{sub y}) grown by spray pyrolysis in ambient atmosphere at 440 °C. The structural, electronic, optical, morphological, and electrical properties of the La{sub x}Al{sub 1−x}O{sub y} films and devices as a function of the lanthanum to aluminium atomic ratio were investigated using a wide range of characterization techniques such as UV-visible absorption spectroscopy, impedance spectroscopy, spectroscopic ellipsometry, atomic force microscopy, x-ray diffraction, and field-effect measurements. As-deposited LaAlO{sub y} dielectrics exhibit a wide band gap (∼6.18 eV), high dielectric constant (k ∼ 16), low roughness (∼1.9 nm), and very low leakage currentsmore » (<3 nA/cm{sup 2}). TFTs employing solution processed LaAlO{sub y} gate dielectrics and ZnO semiconducting channels exhibit excellent electron transport characteristics with hysteresis-free operation, low operation voltages (∼10 V), high on/off current modulation ratio of >10{sup 6}, subthreshold swing of ∼650 mV dec{sup −1}, and electron mobility of ∼12 cm{sup 2} V{sup −1} s{sup −1}.« less

  10. Optical and dielectric properties of isothermally crystallized nano-KNbO3 in Er3+-doped K2O-Nb2O5-SiO2 glasses.

    PubMed

    Chaliha, Reenamoni Saikia; Annapurna, K; Tarafder, Anal; Tiwari, V S; Gupta, P K; Karmakar, Basudeb

    2010-01-01

    Precursor glass of composition 25K(2)O-25Nb(2)O(5)-50SiO(2) (mol%) doped with Er(2)O(3) (0.5 wt% in excess) was isothermally crystallized at 800 degrees C for 0-100 h to obtain transparent KNbO(3) nanostructured glass-ceramics. XRD, FESEM, TEM, FTIRRS, dielectric constant, refractive index, absorption and fluorescence measurements were carried out to analyze the morphology, dielectric, structure and optical properties of the glass-ceramics. The crystallite size of KNbO(3) estimated from XRD and TEM is found to vary in the range 7-23 nm. A steep rise in the dielectric constant of glass-ceramics with heat-treatment time reveals the formation of ferroelectric nanocrystalline KNbO(3) phase. The measured visible photoluminescence spectra have exhibited green emission transitions of (2)H(11/2), (4)S(3/2)-->(4)I(15/2) upon excitation at 377 nm ((4)I(15/2)-->(4)G(11/2)) absorption band of Er(3+) ions. The near infrared (NIR) emission transition (4)I(13/2)-->(4)I(15/2) is detected around 1550 nm on excitation at 980 nm ((4)I(15/2)-->(4)I(11/2)) of absorption bands of Er(3+) ions. It is observed that photoluminescent intensity at 526 nm ((2)H(11/2)-->(4)I(15/2)), 550 nm ((4)S(3/2)-->(4)I(15/2)) and 1550 nm ((4)I(13/2)-->(4)I(15/2)) initially decrease and then gradually increase with increase in heat-treatment time. The measured lifetime (tau(f)) of the (4)I(13/2)-->(4)I(15/2) transition also possesses a similar trend. The measured absorption and fluorescence spectra reveal that the Er(3+) ions gradually enter into the KNbO(3) nanocrystals. Copyright 2009 Elsevier B.V. All rights reserved.

  11. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    NASA Astrophysics Data System (ADS)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  12. Thin TiOx layer as a voltage divider layer located at the quasi-Ohmic junction in the Pt/Ta2O5/Ta resistance switching memory.

    PubMed

    Li, Xiang Yuan; Shao, Xing Long; Wang, Yi Chuan; Jiang, Hao; Hwang, Cheol Seong; Zhao, Jin Shi

    2017-02-09

    Ta 2 O 5 has been an appealing contender for the resistance switching random access memory (ReRAM). The resistance switching (RS) in this material is induced by the repeated formation and rupture of the conducting filaments (CFs) in the oxide layer, which are accompanied by the almost inevitable randomness of the switching parameters. In this work, a 1 to 2 nm-thick Ti layer was deposited on the 10 nm-thick Ta 2 O 5 RS layer, which greatly improved the RS performances, including the much-improved switching uniformity. The Ti metal layer was naturally oxidized to TiO x (x < 2) and played the role of a series resistor, whose resistance value was comparable to the on-state resistance of the Ta 2 O 5 RS layer. The series resistor TiO x efficiently suppressed the adverse effects of the voltage (or current) overshooting at the moment of switching by the appropriate voltage partake effect, which increased the controllability of the CF formation and rupture. The switching cycle endurance was increased by two orders of magnitude even during the severe current-voltage sweep tests compared with the samples without the thin TiO x layer. The Ti deposition did not induce any significant overhead to the fabrication process, making the process highly promising for the mass production of a reliable ReRAM.

  13. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  14. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  15. Czochralski growth of 2 in. Ca3Ta(Ga,Al)3Si2O14 single crystals for piezoelectric applications

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Shoji, Yasuhiro; Ohashi, Yuji; Yokota, Yuui; Chani, Valery I.; Kitahara, Masanori; Kudo, Tetsuo; Kamada, Kei; Kurosawa, Shunsuke; Medvedev, Andrey; Kochurikhin, Vladimir

    2016-10-01

    Growth of 2-in. diameter Al-substituted Ca3TaGa3Si2O14 crystals by Czochralski method is reported. The crystals were grown from the melt of Ca3TaGa1.5Al1.5Si2O14 composition and had langasite structure. No inclusions of secondary phases were detected in these crystals. The Ca3Ta(Ga,Al)3Si2O14 mixed crystals produced using non-substituted Ca3TaGa3Si2O14 seeds were defective. They had cracks and/or poly-crystalline structure. However, those grown on the seed of approximately Ca3TaGa1.5Al1.5Si2O14 composition were defect-free. Phase diagram of the Ca3TaGa3Si2O14-Ca3TaAl3Si2O14 pseudo-binary system and segregation phenomenon are discussed in some details. Homogeneity of the crystals was evaluated by measuring 2D-mapping of leaky surface acoustic wave (LSAW) velocities for Y-cut Ca3TaGa1.5Al1.5Si2O14 substrate. Although some inhomogeneities were observed due to slight variations in chemical composition, the crystal had acceptable homogeneity for applications in acoustic wave devices exhibiting the LSAW velocity variation within ±0.048%.

  16. Effects of TiO2 addition on microwave dielectric properties of Li2MgSiO4 ceramics

    NASA Astrophysics Data System (ADS)

    Rose, Aleena; Masin, B.; Sreemoolanadhan, H.; Ashok, K.; Vijayakumar, T.

    2018-03-01

    Silicates have been widely studied for substrate applications in microwave integrated circuits owing to their low dielectric constant and low tangent loss values. Li2MgSiO4 (LMS) ceramics are synthesized through solid-state reaction route using TiO2 as an additive to the pure ceramics. Variations in dielectric properties of LMS upon TiO2 addition in different weight percentages (0.5, 1.5, 2) are studied by keeping the sintering parameters constant. Crystalline structure, phase composition, and microstructure of LMS and LMS-TiO2 ceramics were studied using x-ray diffraction spectrometer and High Resolution Scanning electron microscope. Density was measured through Archimedes method and the microwave dielectric properties were examined by Cavity perturbation technique. LMS achieved relative permittivity (ε r) of 5.73 and dielectric loss (tan δ) of 5.897 × 10‑4 at 8 GHz. In LMS-TiO2 ceramics, 0.5 wt% TiO2 added LMS showed comparatively better dielectric properties than other weight percentages where ε r = 5.67, tan δ = 7.737 × 10‑4 at 8 GHz.

  17. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  18. Dielectric collapse at the LaAlO 3/SrTiO 3 (001) heterointerface under applied electric field

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minohara, M.; Hikita, Y.; Bell, C.

    The fascinating interfacial transport properties at the LaAlO 3/SrTiO 3 heterointerface have led to intense investigations of this oxide system. Exploiting the large dielectric constant of SrTiO 3 at low temperatures, tunability in the interfacial conductivity over a wide range has been demonstrated using a back-gate device geometry. In order to understand the effect of back-gating, it is crucial to assess the interface band structure and its evolution with external bias. In this study, we report measurements of the gate-bias dependent interface band alignment, especially the confining potential profile, at the conducting LaAlO 3/SrTiO 3 (001) heterointerface using soft andmore » hard x-ray photoemission spectroscopy in conjunction with detailed model simulations. Depth-profiling analysis incorporating the electric field dependent dielectric constant in SrTiO 3 reveals that a significant potential drop on the SrTiO 3 side of the interface occurs within ~2 nm of the interface under negative gate-bias. These results demonstrate gate control of the collapse of the dielectric permittivity at the interface, and explain the dramatic loss of electron mobility with back-gate depletion.« less

  19. Dielectric collapse at the LaAlO 3/SrTiO 3 (001) heterointerface under applied electric field

    DOE PAGES

    Minohara, M.; Hikita, Y.; Bell, C.; ...

    2017-08-25

    The fascinating interfacial transport properties at the LaAlO 3/SrTiO 3 heterointerface have led to intense investigations of this oxide system. Exploiting the large dielectric constant of SrTiO 3 at low temperatures, tunability in the interfacial conductivity over a wide range has been demonstrated using a back-gate device geometry. In order to understand the effect of back-gating, it is crucial to assess the interface band structure and its evolution with external bias. In this study, we report measurements of the gate-bias dependent interface band alignment, especially the confining potential profile, at the conducting LaAlO 3/SrTiO 3 (001) heterointerface using soft andmore » hard x-ray photoemission spectroscopy in conjunction with detailed model simulations. Depth-profiling analysis incorporating the electric field dependent dielectric constant in SrTiO 3 reveals that a significant potential drop on the SrTiO 3 side of the interface occurs within ~2 nm of the interface under negative gate-bias. These results demonstrate gate control of the collapse of the dielectric permittivity at the interface, and explain the dramatic loss of electron mobility with back-gate depletion.« less

  20. Dielectric properties of (CuO, CaO2, and BaO)y/CuTl-1223 composites

    NASA Astrophysics Data System (ADS)

    Mumtaz, M.; Kamran, M.; Nadeem, K.; Jabbar, Abdul; Khan, Nawazish A.; Saleem, Abida; Tajammul Hussain, S.; Kamran, M.

    2013-07-01

    We synthesized (CuO, CaO2, and BaO)y/Cu0.5Tl0.5Ba2Ca2Cu3O10-δ (y = 0, 5%, 10%, 15%) composites by solid-state reaction and characterized them by x-ray diffraction, scanning electron microscopy, dc-resistivity, and Fourier transform infrared spectroscopy. Frequency and temperature dependent dielectric properties, such as real and imaginary parts of the dielectric constant, dielectric loss, and ac-conductivity of these composites were studied by capacitance and conductance measurements as a function of frequency (10 kHz to 10 MHz) and temperature (78 to 300 K). X-ray diffraction analysis reveals that the characteristic behavior of the superconductor phase and the structure of Cu0.5Tl0.5Ba2Ca2Cu3O10-δ are nearly undisturbed by doping with nanoparticles. Scanning electron microscopy images show the improvement in the intergranular linking between the superconducting grains occurring with increasing nanoparticle concentration. Microcracks are healed up with these nanoparticles, and superconducting volume fraction is also increased. Dielectric properties of these composites strongly depend on the frequency and temperature. Zero resistivity critical temperature and dielectric properties show opposite trends with the addition of nanoparticles to the Cu0.5Tl0.5Ba2Ca2Cu3O10-δ superconductor matrix.

  1. A very promising piezoelectric property of Ta{sub 2}O{sub 5} thin films. II: Birefringence and piezoelectricity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Audier, M., E-mail: Marc.Audier@grenoble-inp.fr; Chenevier, B.; Roussel, H.

    2011-08-15

    Birefringent and piezoelectric properties of Ta{sub 2}O{sub 5} ceramic thin films of monoclinic and trigonal structures were analyzed. The birefringence, observed by reflected polarized light microscopy, yields information on thin film microstructures, crystal shapes and sizes and on crystallographic orientations of grains of trigonal structure. Such an information was considered for investigating piezoelectric properties by laser Doppler vibrometry and by piezoresponse force microscopy. The vibration velocity was measured by applying an oscillating electric field between electrodes on both sides of a Ta{sub 2}O{sub 5} film deposited on a Si substrate which was pasted on an isolating mica sheet. In thismore » case, it is shown that the vibration velocity results were not only from a converse piezoelectric effect, proportional to the voltage, but also from the Coulomb force, proportional to the square of the voltage. A huge piezoelectric strain effect, up to 7.6%, is found in the case of Ta{sub 2}O{sub 5} of trigonal structure. From an estimation of the electrical field through the Ta{sub 2}O{sub 5} thin film, this strain likely corresponds to a very high longitudinal coefficient d{sub 33} of several thousand picometers. Results obtained by piezoresponse force microscopy show that trigonal grains exhibit a polarization at zero field, which is probably due to stress caused expansion in the transition monoclinic-trigonal, presented in a previous article (part I). - Graphical abstract: Image of cross-polarized optical microscopy showing grains of trigonal structure embedded in the monoclinic phase (on the left); (a) mounting of the sample for Laser Doppler Vibrometry, sample constituted of several layers and its equivalent electrical circuit; (b) longitudinal displacements due to converse piezoelectric and Coulomb effects and corresponding piezoelectric strain-U{sub app.}. hystereses. Highlights: > A new Ta{sub 2}O{sub 5} trigonal phase is shown to be birefringent

  2. Structural manipulation and tailoring of dielectric properties in SrTi1−xFexTaxO3 perovskites: Design of new lead free relaxors

    PubMed Central

    Shukla, R.; Patwe, S. J.; Deshpande, S. K.; Achary, S. N.; Krishna, P. S. R.; Shinde, A. B.; Gopalakrishnan, J.; Tyagi, A. K.

    2016-01-01

    We report composition dependent structure evolution from SrTiO3 to SrFe0.5Ta0.5O3 by powder X-ray and neutron diffraction studies of SrTi1−2xFexTaxO3 (0.00 ≤ × ≤ 0.50) compositions. Structural studies reveal cubic (Pm3m) perovskite-type structure of the parent SrTiO3 for x up to 0.075 and cation disordered orthorhombic (Pbnm) perovskite-type structure for x ≥ 0.33. A biphasic region consisting of a mixture of cubic and orthorhombic structures is found in the range for 0.10 ≤ × ≤ 0.25. Dielectric studies reveal transformation from a normal dielectric to relaxor like properties with increasing Fe3+ and Ta5+ concentration. Dielectric response is maximum at x = 0.33 in the series. The results establish a protocol for designing new lead-free relaxor materials based on the co-substitution of Fe3+ and Ta5+ for Ti4+ in SrTiO3. A complex interplay of strain effects arising from distribution of cations at the octahedral sites of the perovskite structure controls the dielectric properties. PMID:27514668

  3. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  4. Frequency-Stable Ionic-Type Hybrid Gate Dielectrics for High Mobility Solution-Processed Metal-Oxide Thin-Film Transistors

    PubMed Central

    Heo, Jae Sang; Choi, Seungbeom; Jo, Jeong-Wan; Kang, Jingu; Park, Ho-Hyun; Kim, Yong-Hoon; Park, Sung Kyu

    2017-01-01

    In this paper, we demonstrate high mobility solution-processed metal-oxide thin-film transistors (TFTs) by using a high-frequency-stable ionic-type hybrid gate dielectric (HGD). The HGD gate dielectric, a blend of sol-gel aluminum oxide (AlOx) and poly(4-vinylphenol) (PVP), exhibited high dielectric constant (ε~8.15) and high-frequency-stable characteristics (1 MHz). Using the ionic-type HGD as a gate dielectric layer, an minimal electron-double-layer (EDL) can be formed at the gate dielectric/InOx interface, enhancing the field-effect mobility of the TFTs. Particularly, using the ionic-type HGD gate dielectrics annealed at 350 °C, InOx TFTs having an average field-effect mobility of 16.1 cm2/Vs were achieved (maximum mobility of 24 cm2/Vs). Furthermore, the ionic-type HGD gate dielectrics can be processed at a low temperature of 150 °C, which may enable their applications in low-thermal-budget plastic and elastomeric substrates. In addition, we systematically studied the operational stability of the InOx TFTs using the HGD gate dielectric, and it was observed that the HGD gate dielectric effectively suppressed the negative threshold voltage shift during the negative-illumination-bias stress possibly owing to the recombination of hole carriers injected in the gate dielectric with the negatively charged ionic species in the HGD gate dielectric. PMID:28772972

  5. Strain-Gated Field Effect Transistor of a MoS2-ZnO 2D-1D Hybrid Structure.

    PubMed

    Chen, Libo; Xue, Fei; Li, Xiaohui; Huang, Xin; Wang, Longfei; Kou, Jinzong; Wang, Zhong Lin

    2016-01-26

    Two-dimensional (2D) molybdenum disulfide (MoS2) is an exciting material due to its unique electrical, optical, and piezoelectric properties. Owing to an intrinsic band gap of 1.2-1.9 eV, monolayer or a-few-layer MoS2 is used for fabricating field effect transistors (FETs) with high electron mobility and on/off ratio. However, the traditional FETs are controlled by an externally supplied gate voltage, which may not be sensitive enough to directly interface with a mechanical stimulus for applications in electronic skin. Here we report a type of top-pressure/force-gated field effect transistors (PGFETs) based on a hybrid structure of a 2D MoS2 flake and 1D ZnO nanowire (NW) array. Once an external pressure is applied, the piezoelectric polarization charges created at the tips of ZnO NWs grown on MoS2 act as a gate voltage to tune/control the source-drain transport property in MoS2. At a 6.25 MPa applied stimulus on a packaged device, the source-drain current can be tuned for ∼25%, equivalent to the results of applying an extra -5 V back gate voltage. Another type of PGFET with a dielectric layer (Al2O3) sandwiched between MoS2 and ZnO also shows consistent results. A theoretical model is proposed to interpret the received data. This study sets the foundation for applying the 2D material-based FETs in the field of artificial intelligence.

  6. Thin film integrated capacitors with sputtered-anodized niobium pentoxide dielectric for decoupling applications

    NASA Astrophysics Data System (ADS)

    Jacob, Susan

    Electronics system miniaturization is a major driver for high-k materials. High-k materials in capacitors allow for high capacitance, enabling system miniaturization. Ta2O5 (k˜24) has been the dominant high-k material in the electronic industry for decoupling capacitors, filter capacitors, etc. In order to facilitate further system miniaturization, this project has investigated thin film integrated capacitors with Nb2O5 dielectric. Nb2O 5 has k˜41 and is a potential candidate for replacing Ta2O5. But, the presence of suboxides (NbO2 and NbO) in the dielectric deteriorates the electrical properties (leakage current, thermal instability of capacitance, etc.). Also, the high oxygen solubility of niobium results in oxygen diffusion from the dielectric to niobium metal, if any is present. The major purpose of this project was to check the ability of NbN as a diffusion barrier and fabricate thermally stable niobium capacitors. As a first step to produce niobium capacitors, the material characterizations of reactively sputtered Nb2O5 and NbN were done. Thickness and film composition, and crystal structures of the sputtered films were obtained and the deposition parameters for the desired stoichiometry were found. Also, anodized Nb2O5 was characterized for its stoichiometry and thickness. To study the effect of nitrides on capacitance and thermal stability, Ta2O5 capacitors were initially fabricated with and without TaN. The results showed that the nitride does not affect the capacitance, and that capacitors with TaN are stable up to 150°C. In the next step, niobium capacitors were first fabricated with anodized dielectric and the oxygen diffusion issues associated with capacitor processing were studied. Reactively sputtered Nb2O5 was anodized to form complete Nb2O5 (with few oxygen vacancies) and NbN was used to sandwich the dielectric. The capacitor fabrication was not successful due to the difficulties in anodizing the sputtered dielectric. Another method, anodizing

  7. Electrical characteristics and thermal stability of n+ polycrystalline- Si/ZrO2/SiO2/Si metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lim, Kwan-Yong; Park, Dae-Gyu; Cho, Heung-Jae; Kim, Joong-Jung; Yang, Jun-Mo; Ii, Choi-Sang; Yeo, In-Seok; Park, Jin Won

    2002-01-01

    We have investigated the thermal stability of n+ polycrystalline-Si(poly-Si)/ZrO2(50-140 Å)/SiO2(7 Å)/p-Si metal-oxide-semiconductor (MOS) capacitors via electrical and material characterization. The ZrO2 gate dielectric was prepared by atomic layer chemical vapor deposition using ZrCl4 and H2O vapor. Capacitance-voltage hysteresis as small as ˜12 mV with the flatband voltage of -0.5 V and the interface trap density of ˜5×1010cm-2 eV-1 were attained with activation anneal at 750 °C. A high level of gate leakage current was observed at the activation temperatures over 750 °C and attributed to the interfacial reaction of poly-Si and ZrO2 during the poly-Si deposition and the following high temperature anneal. Because of this, the ZrO2 gate dielectric is incompatible with the conventional poly-Si gate process. In the MOS capacitors having a smaller active area (<50×50 μm2), fortunately, the electrical degradation by further severe silicidation does not occur up to an 800 °C anneal in N2 for 30 min.

  8. Low-voltage back-gated atmospheric pressure chemical vapor deposition based graphene-striped channel transistor with high-κ dielectric showing room-temperature mobility > 11,000 cm(2)/V·s.

    PubMed

    Smith, Casey; Qaisi, Ramy; Liu, Zhihong; Yu, Qingkai; Hussain, Muhammad Mustafa

    2013-07-23

    Utilization of graphene may help realize innovative low-power replacements for III-V materials based high electron mobility transistors while extending operational frequencies closer to the THz regime for superior wireless communications, imaging, and other novel applications. Device architectures explored to date suffer a fundamental performance roadblock due to lack of compatible deposition techniques for nanometer-scale dielectrics required to efficiently modulate graphene transconductance (gm) while maintaining low gate capacitance-voltage product (CgsVgs). Here we show integration of a scaled (10 nm) high-κ gate dielectric aluminum oxide (Al2O3) with an atmospheric pressure chemical vapor deposition (APCVD)-derived graphene channel composed of multiple 0.25 μm stripes to repeatedly realize room-temperature mobility of 11,000 cm(2)/V·s or higher. This high performance is attributed to the APCVD graphene growth quality, excellent interfacial properties of the gate dielectric, conductivity enhancement in the graphene stripes due to low tox/Wgraphene ratio, and scaled high-κ dielectric gate modulation of carrier density allowing full actuation of the device with only ±1 V applied bias. The superior drive current and conductance at Vdd = 1 V compared to other top-gated devices requiring undesirable seed (such as aluminum and poly vinyl alcohol)-assisted dielectric deposition, bottom gate devices requiring excessive gate voltage for actuation, or monolithic (nonstriped) channels suggest that this facile transistor structure provides critical insight toward future device design and process integration to maximize CVD-based graphene transistor performance.

  9. Pressure-induced ferroelectric to paraelectric transition in LiTaO 3 and (Li,Mg)TaO 3

    DOE PAGES

    Yamanaka, Takamitsu; Nakamoto, Yuki; Takei, Fumihiko; ...

    2016-02-16

    X-ray powder diffraction and Raman scattering of LiTaO 3 (LT) and (Li,Mg)TaO 3 (LMT) have been measured under pressure up to 46 GPa. Above 30 GPa, the ferroelectric rhombohedral phase (R3c, Z – 6) of LiTaO 3 transforms to a paraelectric orthorhombic phase (Pnma with Z – 4) with a large hysteresis. Rietveld profile fitting analysis shows that the Li-O bond is compressed and approaches that of Ta-O with pressure. The cation distribution analysis of the orthorhombic perovskite structure shows that Li and Ta are located in the octahedral 8-fold coordination sites. Difference Fourier |F obs(hkl)| - |F cal(hkl)| mapsmore » of LiTaO 3 and (Li,Mg)TaO 3 indicate polarization in the c axis direction and a more distinct electron density distribution around the Ta position for (Li,Mg)TaO 3 compared to LiTaO 3. The observed effective charges indicate that for (Li,Mg)TaO 3 without vacancies Ta 5+ becomes less ionized as a function of Mg substitution. Considering both site occupancy and effective charge analysis, Ta 5+ is reduced to Ta 4.13+. Mg 2+ and O 2- change to Mg 1.643+ and O 1.732 -, respectively. The space- and time-averaged structures of the dynamical vibration of atoms can be elucidated from the electron density analysis by difference Fourier and temperature factors T(hkl) in the structure refinement. The refinement of the temperature factor is consistent with the cation distribution assuming full stoichiometry. The residual electron density induced from the excess electron in (Li,Mg)TaO 3 indicates more electrons around the Ta site, as confirmed by the effective charge analysis. Raman spectra of LiTaO 3 and (Li,Mg)TaO 3 show notable changes over the measured pressure range. Raman peaks centered at 250 cm –1 and 350 cm –1 at ambient pressure merge above 8 GPa, which we associate with the diminishing of difference in distances between Li-O and Ta-O bonds with pressure in both materials. Finally, Raman spectra show significant changes at 28 GPa and 33 GPa for

  10. AlN and Al oxy-nitride gate dielectrics for reliable gate stacks on Ge and InGaAs channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Y.; Li, H.; Robertson, J.

    2016-05-28

    AlN and Al oxy-nitride dielectric layers are proposed instead of Al{sub 2}O{sub 3} as a component of the gate dielectric stacks on higher mobility channels in metal oxide field effect transistors to improve their positive bias stress instability reliability. It is calculated that the gap states of nitrogen vacancies in AlN lie further away in energy from the semiconductor band gap than those of oxygen vacancies in Al{sub 2}O{sub 3}, and thus AlN might be less susceptible to charge trapping and have a better reliability performance. The unfavourable defect energy level distribution in amorphous Al{sub 2}O{sub 3} is attributed tomore » its larger coordination disorder compared to the more symmetrically bonded AlN. Al oxy-nitride is also predicted to have less tendency for charge trapping.« less

  11. Role of Co3O4 Nanoparticles in Dielectric Properties of Cu0.5Tl0.5Ba2Ca2Cu3O10-δ Superconducting Phase

    NASA Astrophysics Data System (ADS)

    Imran, M.; Mumtaz, M.; Naveed, M.; Khan, M. Nasir

    2018-04-01

    Cobalt oxide (Co3O4) nanoparticles and Cu0.5Tl0.5Ba2Ca2Cu3O10-δ (CuTl-1223) superconducting phase were prepared by sol-gel and solid-state reaction methods, respectively. Co3O4 nanoparticles were added in CuTl-1223 superconducting matrix to get (Co3O4)x/CuTl-1223, x = 0-2.0 wt.%, nanoparticles-superconductor composites. The unchanged crystal structure of the host CuTl-1223 superconducting phase (i.e. tetragonal) revealed that Co3O4 nanoparticles were settled at the grain boundaries. Superconducting properties of the CuTl-1223 phase were overall suppressed due to hole-charge carriers interaction at the grain boundaries. The dielectric properties of (Co3O4)x/CuTl-1223 composites were investigated by varying the test frequencies from 40 Hz to 100 MHz and operating temperatures from 77 to 298 K. The values of dielectric properties were found maximal at lower frequencies and started to decrease at higher frequencies. So, the dielectric properties of the CuTl-1223 superconducting phase can be tuned by varying the contents of (Co3O4) nanoparticles, test frequencies as well as operating temperatures.

  12. High-performance pentacene OTFT by incorporating Ti in LaON gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Y. X.; Han, C. Y.; Tang, W. M.; Lai, P. T.

    2017-07-01

    Pentacene organic thin-film transistors (OTFT) using high-k LaTiON gate dielectric with different Ti contents are investigated. The LaxTi(1-x)ON films (with x = 1, 0.87, 0.76, and 0.67) are deposited by reactive sputtering followed by an annealing in N2 at 200 °C. The OTFT with La0.87Ti0.13ON can achieve a high carrier mobility of 2.6 cm2/V.s, a small threshold voltage of -1.5 V, a small sub-threshold swing of 0.07 V/dec, and a small hysteresis of 0.17 V. AFM and X-ray photoelectron spectroscopy reveal that Ti can suppress the hygroscopicity of La oxide to achieve a smoother dielectric surface, which can result in larger pentacene grains and thus higher carrier mobility. All the devices show a clockwise hysteresis because both the LaOH formation and Ti incorporation can generate acceptor-like traps in the gate dielectric.

  13. Solution processed flexible organic thin film back-gated transistors based on polyimide dielectric films

    NASA Astrophysics Data System (ADS)

    Park, Janghoon; Min, Yoonki; Lee, Dongjin

    2018-04-01

    An organic thin film back-gated transistor (OBGT) was fabricated and characterized. The gate electrode was printed on the back side of substrate, and the dielectric layer was omitted by substituting the dielectric layer with the polyimide (PI) film substrate. Roll-to-roll (R2R) gravure printing, doctor blading, and drop casting methods were used to fabricate the OBGT. The printed OBGT device shows better performance compared with an OTFT device based on dielectric layer of BaTiO3. Additionally, a calendering process enhanced the performance by a factor of 3 to 7 (mobility: 0.016 cm2/V.s, on/off ratio: 9.17×103). A bending test was conducted to confirm the flexibility and durability of the OBGT device. The results show the fabricated device endures 20000-cyclic motions. The realized OBGT device was successfully fabricated and working, which is meaningful for production engineering from the viewpoint of process development.

  14. Role of oxygen vacancies in HfO2-based gate stack breakdown

    NASA Astrophysics Data System (ADS)

    Wu, X.; Migas, D. B.; Li, X.; Bosman, M.; Raghavan, N.; Borisenko, V. E.; Pey, K. L.

    2010-04-01

    We study the influence of multiple oxygen vacancy traps in the percolated dielectric on the postbreakdown random telegraph noise (RTN) digital fluctuations in HfO2-based metal-oxide-semiconductor transistors. Our electrical characterization results indicate that these digital fluctuations are triggered only beyond a certain gate stress voltage. First-principles calculations suggest the oxygen vacancies to be responsible for the formation of a subband in the forbidden band gap region, which affects the triggering voltage (VTRIG) for the RTN fluctuations and leads to a shrinkage of the HfO2 band gap.

  15. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for AdvancedCMOS Devices

    PubMed Central

    Suzuki, Masamichi

    2012-01-01

    A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3) high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT) of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al) atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process. PMID:28817057

  16. Colossal dielectric response in all-ceramic percolative composite 0.65Pb(Mg1/3Nb2/3)O3-0.35PbTiO3-Pb2Ru2O6.5

    NASA Astrophysics Data System (ADS)

    Bobnar, V.; Hrovat, M.; Holc, J.; Filipič, C.; Levstik, A.; Kosec, M.

    2009-02-01

    An exceptionally high dielectric constant was obtained by making use of the conductive percolative phenomenon in all-ceramic composite, comprising of Pb2Ru2O6.5 with high electrical conductivity denoted as the conductive phase and ferroelectric 0.65Pb(Mg1/3Nb2/3)O3-0.35PbTiO3 (PMN-PT) perovskite systems. Structural analysis revealed a uniform distribution of conductive ceramic grains within the PMN-PT matrix. Consequently, the dielectric response in the PMN-PT-Pb2Ru2O6.5 composite follows the predictions of the percolation theory. Thus, close to the percolation point exceptionally high values of the dielectric constant were obtained—values higher than 105 were detected at room temperature at 1 kHz. Fit of the data, obtained for samples of different compositions, revealed critical exponent and percolation point, which reasonably agree with the theoretically predicted values.

  17. Atomic layer deposition of dielectrics on graphene using reversibly physisorbed ozone.

    PubMed

    Jandhyala, Srikar; Mordi, Greg; Lee, Bongki; Lee, Geunsik; Floresca, Carlo; Cha, Pil-Ryung; Ahn, Jinho; Wallace, Robert M; Chabal, Yves J; Kim, Moon J; Colombo, Luigi; Cho, Kyeongjae; Kim, Jiyoung

    2012-03-27

    Integration of graphene field-effect transistors (GFETs) requires the ability to grow or deposit high-quality, ultrathin dielectric insulators on graphene to modulate the channel potential. Here, we study a novel and facile approach based on atomic layer deposition through ozone functionalization to deposit high-κ dielectrics (such as Al(2)O(3)) without breaking vacuum. The underlying mechanisms of functionalization have been studied theoretically using ab initio calculations and experimentally using in situ monitoring of transport properties. It is found that ozone molecules are physisorbed on the surface of graphene, which act as nucleation sites for dielectric deposition. The physisorbed ozone molecules eventually react with the metal precursor, trimethylaluminum to form Al(2)O(3). Additionally, we successfully demonstrate the performance of dual-gated GFETs with Al(2)O(3) of sub-5 nm physical thickness as a gate dielectric. Back-gated GFETs with mobilities of ~19,000 cm(2)/(V·s) are also achieved after Al(2)O(3) deposition. These results indicate that ozone functionalization is a promising pathway to achieve scaled gate dielectrics on graphene without leaving a residual nucleation layer. © 2012 American Chemical Society

  18. Self-Healing Polymer Dielectric for a High Capacitance Gate Insulator.

    PubMed

    Ko, Jieun; Kim, Young-Jae; Kim, Youn Sang

    2016-09-14

    Self-healing materials are required for development of various flexible electronic devices to repair cracks and ruptures caused by repetitive bending or folding. Specifically, a self-healing dielectric layer has huge potential to achieve healing electronics without mechanical breakdown in flexible operations. Here, we developed a high performance self-healing dielectric layer with an ionic liquid and catechol-functionalized polymer which exhibited a self-healing ability for both bulk and film states under mild self-healing conditions at 55 °C for 30 min. Due to the sufficient ion mobility of the ionic liquid in the polymer matrix, it had a high capacitance value above 1 μF/cm(2) at 20 Hz. Moreover, zinc oxide (ZnO) thin-film transistors (TFTs) with a self-healing dielectric layer exhibited a high field-effect mobility of 16.1 ± 3.07 cm(2) V(-1) s(-1) at a gate bias of 3 V. Even after repetitive self-healing of the dielectric layer from mechanical breaking, the electrical performance of the TFTs was well-maintained.

  19. Utilizing self-assembled-monolayer-based gate dielectrics to fabricate molybdenum disulfide field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawanago, Takamasa, E-mail: kawanago.t.ab@m.titech.ac.jp; Oda, Shunri

    In this study, we apply self-assembled-monolayer (SAM)-based gate dielectrics to the fabrication of molybdenum disulfide (MoS{sub 2}) field-effect transistors. A simple fabrication process involving the selective formation of a SAM on metal oxides in conjunction with the dry transfer of MoS{sub 2} flakes was established. A subthreshold slope (SS) of 69 mV/dec and no hysteresis were demonstrated with the ultrathin SAM-based gate dielectrics accompanied by a low gate leakage current. The small SS and no hysteresis indicate the superior interfacial properties of the MoS{sub 2}/SAM structure. Cross-sectional transmission electron microscopy revealed a sharp and abrupt interface of the MoS{sub 2}/SAM structure.more » The SAM-based gate dielectrics are found to be applicable to the fabrication of low-voltage MoS{sub 2} field-effect transistors and can also be extended to various layered semiconductor materials. This study opens up intriguing possibilities of SAM-based gate dielectrics in functional electronic devices.« less

  20. Investigation of Thermal Conductivities and Expansion Coefficients of (Yb1 - x La x )2AlTaO7 Ceramics

    NASA Astrophysics Data System (ADS)

    Xiaoge, Chen; Hongsong, Zhang; Sai, Su; Yongde, Zhao; An, Tang; Haoming, Zhang

    2017-12-01

    The (Yb1 - x La x )2AlTaO7 ( x = 0, 0.1, 0.3, 0.5) ceramics were prepared by solid-state reaction method. The phase composition, microstructure, thermophysical properties of (Yb1 - x La x )2AlTaO7 ceramics were investigated. Results reveal that (Yb1 - x La x )2AlTaO7 ( x = 0, 0.1, 0.3) ceramics exhibit a single pyrochlore-type structure, and the (Yb0.5La0.5)2AlTaO7 has an orthorhombic weberite structure. The thermal conductivities of (Yb1 - x La x )2AlTaO7 ( x = 0, 0.1, 0.3) ceramics decrease with increasing Yb2O3 contents. (Yb0.5La0.5)2AlTaO7 has the highest thermal conductivity among all the ceramics studied, within the range of 1.48-1.75 W/m K (20-1200 °C). The thermal expansion coefficients of (Yb1 - x La x )2AlTaO7 ceramics decrease gradually with increasing La2O3 fractions, and the thermal expansion coefficients are close to those of YSZ.

  1. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    PubMed

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  2. Copper drift in high-dielectric-constant tantalum oxide thin films under bias temperature stress

    NASA Astrophysics Data System (ADS)

    Jain, Pushkar; Juneja, Jasbir S.; Mallikarjunan, A.; Rymaszewski, E. J.; Lu, T.-M.

    2006-04-01

    The use of high-dielectric-constant (high-κ) materials for embedded capacitors is becoming increasingly important. Tantalum oxide (Ta2O5) is a prominent candidate as a high-κ material for embedded capacitor use. Metal drift in Ta2O5 (κ˜25) was investigated by bias temperature stress and triangular voltage sweep testing techniques on metal/Ta2O5/SiO2/Si structures. At a temperature of 300°C and 0.75MV/cm bias conditions, Al, Ta, and Ti do not diffuse in Ta2O5, but Cu clearly showed a drift. The Cu drift is attributed to the lack of a stable Cu oxide which can limit Cu ion generation and penetration.

  3. Simulation of temperature dependent dielectric breakdown in n{sup +}-polySi/SiO{sub 2}/n-6H-SiC structures during Poole-Frenkel stress at positive gate bias

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samanta, Piyas, E-mail: piyas@vcfw.org; Mandal, Krishna C., E-mail: mandalk@cec.sc.edu

    2016-08-14

    We present for the first time a thorough investigation of trapped-hole induced gate oxide deterioration and simulation results of time-dependent dielectric breakdown (TDDB) of thin (7–25 nm) silicon dioxide (SiO{sub 2}) films thermally grown on (0 0 0 1) silicon (Si) face of n-type 6H-silicon carbide (n-6H-SiC). Gate oxide reliability was studied during both constant voltage and current stress with positive bias on the degenerately doped n-type poly-crystalline silicon (n{sup +}-polySi) gate at a wide range of temperatures between 27 and 225 °C. The gate leakage current was identified as the Poole-Frenkel (PF) emission of electrons trapped at an energy 0.92 eV belowmore » the SiO{sub 2} conduction band. Holes were generated in the n{sup +}-polySi anode material as well as in the oxide bulk via band-to-band ionization depending on the film thickness t{sub ox} and the energy of the hot-electrons (emitted via PF mechanism) during their transport through oxide films at oxide electric fields E{sub ox} ranging from 5 to 10 MV/cm. Our simulated time-to-breakdown (t{sub BD}) results are in excellent agreement with those obtained from time consuming TDDB measurements. It is observed that irrespective of stress temperatures, the t{sub BD} values estimated in the field range between 5 and 9 MV/cm better fit to reciprocal field (1/E) model for the thickness range studied here. Furthermore, for a 10 year projected device lifetime, a good reliability margin of safe operating field from 8.5 to 7.5 MV/cm for 7 nm and 8.1 to 6.9 MV/cm for 25 nm thick SiO{sub 2} was observed between 27 and 225 °C.« less

  4. Studying tantalum-based high-κ dielectrics in terms of capacitance measurements

    NASA Astrophysics Data System (ADS)

    Stojanovska-Georgievska, L.

    2016-08-01

    The trend of rapid development of microelectronics towards nano-miniaturization dictates the inevitable introduction of dielectrics with high permittivity (high-κ dielectrics), as alternative material for replacing SiO2. Therefore, studying these materials in terms of their characteristics, especially in terms of reliability, is of great importance for proper design and manufacture of devices. In this paper, alteration of capacitance in different frequency regimes is used, in order to determine the overall behavior of the material. Samples investigated here are MOS structures containing nanoscale tantalum based dielectrics. Layers of pure Ta2O5, but also Hf and Ti doped tantalum pentoxide, i.e. Ta2O5:Hf and Ta2O5:Ti are studied here. All samples are considered as ultrathin oxide layers with thicknesses less than 15 nm, obtained by radio frequent sputtering on p-type silicon substrate. Measuring capacitive characteristics enables determination of several specific parameters of the structures. The obtained results for capacitance in accumulation, the thickness and time evolution of the interfacial SiO2 layer, values of flatband and threshold voltage, density of oxide charges, interfacial and border states, and reliability properties favor the possibilities for more intensive use of studied materials in new nanoelectronic technologies.

  5. Dielectric properties of Ba0.6Sr0.4TiO3-La(B0.5Ti0.5)O3 (B=Mg, Zn) ceramics.

    PubMed

    Xu, Yebin; Liu, Ting; He, Yanyan; Yuan, Xiao

    2009-11-01

    Ba(0.6)Sr(0.4)TiO(3)-La(B(0.5)Ti(0.5))O(3) (B = Mg, Zn) ceramics were prepared by a solid-state reaction method, and their microwave dielectric characteristics and tunability were investigated. The ferroelectric-dielectric solid solutions with cubic perovskite structures were obtained for compositions of 10 to 60 mol% La(Mg(0.5)Ti(0.5))O(3) and 10 to 50 mol% La(Zn(0.5)Ti(0.5))O(3). With the increase of linear oxide dielectric content, the dielectric constant and tunability were decreased and Qf was increased. Ba(0.6)Sr(0.4)TiO(3)-La(Mg(0.5)Ti(0.5))O(3) has better dielectric properties than Ba(0.6)Sr(0.4)TiO(3)-La(Zn(0.5)Ti(0.5))O(3). 0.9Ba(0.6)Sr(0.4)TiO(3)-0.1La(Mg(0.5)Ti(0.5))O(3) has a dielectric constant epsilon = 338.2, Qf = 979 GHz and a tunability of was 3.7% at 100 kHz under 1.67 kV/mm. The Qf value of 0.5Ba(0.6)Sr(0.4)TiO(3)- 0.5La(Mg(0.5)Ti(0.5))O(3) reached 9367 GHz, but the tunable properties were lost.

  6. Effect of Ta concentration on the refractive index of TiO{sub 2}:Ta studied by spectroscopic ellipsometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nurfani, Eka, E-mail: ekanurfani@gmail.com; Kurniawan, Robi; Muhammady, Shibghatullah

    2016-04-19

    We have investigated optical properties of Ta-doped TiO{sub 2} thin film on LaAlO{sub 3} (LAO) substrate using Spectroscopic Ellipsometry (SE) at room temperature. Amplitude ratio Ψ and phase difference L1 between p- and s- polarized light waves are obtained by multiple incident angles measurement (60°, 70°, and 80°) at energy range of 0.5 – 6.5 eV. In order to obtain optical properties for every Ta concentrations (0.01, 0.4, and 5 at. %), multilayer modelling was performed simultaneously by using Drude-Lorentz model. Refractive index and optical dispersion parameters were determined by Wemple-DiDomenico relation. In general, refractive index at zero photon energymore » n(0) increases by increasing Ta concentration. Furthermore, optical band gap shows a significant increasing due to presence of Ta dopant. In addition, other optical constants are discussed as well.« less

  7. Crystal structure study of dielectric oxynitride perovskites La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x} (x=0, 0.2)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Habu, Daiki; Masubuchi, Yuji; Torii, Shuki

    As is the case with SrTaO{sub 2}N, both cis-ordering of nitride anions and octahedral titling are also preferable in La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x} (x=0, 0.2) oxynitride perovskites. A larger dielectric constant of ε{sub r}≈5.0×10{sup 3} was estimated for the pure oxynitride with x=0.2, compared with ε{sub r}≈750 for the product with x=0, by extrapolating the ε{sub r} values obtained from powders mixed with paraffin at various mixing ratios. The crystal structure of x=0.2 with larger tolerance factor than x=0 increased the octahedral tilting, which contributes to the increased dielectric constant. The increased dielectric constant supports the exchange mechanism formore » the dielectric property between two kinds of –Ti–N– helical coils (clockwise and anticlockwise) derived from the above cis-ordering of nitride anions. - Graphical abstract: Very large dielectric constant values were estimated for La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x}; ε{sub r}≈5.0×10{sup 3} in x=0.2 and ε{sub r}≈750 in x=0. - Highlights: • Cis-configuration of nitride anions was confirmed in La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x} (x=0, 0.2). • Dielectric constant values were estimated to be 750 for x=0 and 5.0×10{sup 3} for x=0.2, respectively. • The large dielectric property is to the exchange mechanism between clockwise and anticlockwise –Ti–N– coil motifs.« less

  8. Characterization of SiO{sub 2}/SiN{sub x} gate insulators for graphene based nanoelectromechanical systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tóvári, E.; Csontos, M., E-mail: csontos@dept.phy.bme.hu; Kriváchy, T.

    2014-09-22

    The structural and magnetotransport characterization of graphene nanodevices exfoliated onto Si/SiO{sub 2}/SiN{sub x} heterostructures are presented. Improved visibility of the deposited flakes is achieved by optimal tuning of the dielectric film thicknesses. The conductance of single layer graphene Hall-bar nanostructures utilizing SiO{sub 2}/SiN{sub x} gate dielectrics were characterized in the quantum Hall regime. Our results highlight that, while exhibiting better mechanical and chemical stability, the effect of non-stoichiometric SiN{sub x} on the charge carrier mobility of graphene is comparable to that of SiO{sub 2}, demonstrating the merits of SiN{sub x} as an ideal material platform for graphene based nanoelectromechanical applications.

  9. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanne, A.; Movva, H. C. P.; Kang, S.

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriersmore » as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.« less

  10. Modification of FN tunneling provoking gate-leakage current in ZTO (zinc-tin oxide) TFT by regulating the ZTO/SiO2 area ratio

    NASA Astrophysics Data System (ADS)

    Li, Jeng-Ting; Tsai, Ho-Lin; Lai, Wei-Yao; Hwang, Weng-Sing; Chen, In-Gann; Chen, Jen-Sue

    2018-04-01

    This study addresses the variation in gate-leakage current due to the Fowler-Nordheim (FN) tunneling of electrons through a SiO2 dielectric layer in zinc-tin oxide (ZTO) thin film transistors. It is shown that the gate-leakage current is not related to the absolute area of the ZTO active layer, but it is reduced by reducing the ZTO/SiO2 area ratio. The ZTO/SiO2 area ratio modulates the ZTO-SiO2 interface dipole strength as well as the ZTO-SiO2 conduction band offset and subsequently affects the FN tunneling current through the SiO2 layer, which provides a route that modifies the gate-leakage current.

  11. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  12. Morphology, Structural and Dielectric Properties of Vacuum Evaporated V2O5 Thin Films

    NASA Astrophysics Data System (ADS)

    Sengodan, R.; Shekar, B. Chandar; Sathish, S.

    Vanadium pentoxide (V2O5) thin films were deposited on well cleaned glass substrate using evaporation technique under the pressure of 10-5 Torr. The thickness of the films was measured by the multiple beam interferometry technique and cross checked by using capacitance method. Metal-Insulator-Metal (MIM) structure was fabricated by using suitable masks to study dielectric properties. The dielectric properties were studied by employing LCR meter in the frequency range 12 Hz to 100 kHz for various temperatures. The temperature co- efficient of permittivity (TCP), temperature co-efficient of capacitance (TCC) and dielectric constant (ɛ) were calculated. The activation energy was calculated and found to be very low. The activation energy was found to be increasing with increase in frequency. The obtained low value of activation energy suggested that the hopping conduction may be due to electrons rather than ions.

  13. Abnormal positive bias stress instability of In–Ga–Zn–O thin-film transistors with low-temperature Al{sub 2}O{sub 3} gate dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Yu-Hong; Yu, Ming-Jiue; Lin, Ruei-Ping

    2016-01-18

    Low-temperature atomic layer deposition (ALD) was employed to deposit Al{sub 2}O{sub 3} as a gate dielectric in amorphous In–Ga–Zn–O thin-film transistors fabricated at temperatures below 120 °C. The devices exhibited a negligible threshold voltage shift (ΔV{sub T}) during negative bias stress, but a more pronounced ΔV{sub T} under positive bias stress with a characteristic turnaround behavior from a positive ΔV{sub T} to a negative ΔV{sub T}. This abnormal positive bias instability is explained using a two-process model, including both electron trapping and hydrogen release and migration. Electron trapping induces the initial positive ΔV{sub T}, which can be fitted using the stretchedmore » exponential function. The breakage of residual AlO-H bonds in low-temperature ALD Al{sub 2}O{sub 3} is triggered by the energetic channel electrons. The hydrogen atoms then diffuse toward the In–Ga–Zn–O channel and induce the negative ΔV{sub T} through electron doping with power-law time dependence. A rapid partial recovery of the negative ΔV{sub T} after stress is also observed during relaxation.« less

  14. Electrostatic bonding of thin (approximately 3 mil) 7070 cover glass to Ta2O5 AR-coated thin (approximately 2 mil) silicon wafers and solar cells

    NASA Technical Reports Server (NTRS)

    Egelkrout, D. W.; Horne, W. E.

    1980-01-01

    Electrostatic bonding (ESB) of thin (3 mil) Corning 7070 cover glasses to Ta2O5 AR-coated thin (2 mil) silicon wafers and solar cells is investigated. An experimental program was conducted to establish the effects of variations in pressure, voltage, temperature, time, Ta2O5 thickness, and various prebond glass treatments. Flat wafers without contact grids were used to study the basic effects for bonding to semiconductor surfaces typical of solar cells. Solar cells with three different grid patterns were used to determine additional requirements caused by the raised metallic contacts.

  15. Energy-loss return gate via liquid dielectric polarization.

    PubMed

    Kim, Taehun; Yong, Hyungseok; Kim, Banseok; Kim, Dongseob; Choi, Dukhyun; Park, Yong Tae; Lee, Sangmin

    2018-04-12

    There has been much research on renewable energy-harvesting techniques. However, owing to increasing energy demands, significant energy-related issues remain to be solved. Efforts aimed at reducing the amount of energy loss in electric/electronic systems are essential for reducing energy consumption and protecting the environment. Here, we design an energy-loss return gate system that reduces energy loss from electric/electronic systems by utilizing the polarization of liquid dielectrics. The use of a liquid dielectric material in the energy-loss return gate generates electrostatic potential energy while reducing the dielectric loss of the electric/electronic system. Hence, an energy-loss return gate can make breakthrough impacts possible by amplifying energy-harvesting efficiency, lowering the power consumption of electronics, and storing the returned energy. Our study indicates the potential for enhancing energy-harvesting technologies for electric/electronics systems, while increasing the widespread development of these systems.

  16. Native oxide transport and removal during the atomic layer deposition of Ta{sub 2}O{sub 5} on InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Henegar, Alex J.; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    Atomic layer deposition (ALD) was used to deposit Ta{sub 2}O{sub 5} on etched and native oxide-covered InAs(100) using pentakis dimethyl amino tantalum and H{sub 2}O at 200–300 °C. The transport and removal of the native oxides during the ALD process was investigated using x-ray photoelectron spectroscopy (XPS). Depositions above 200 °C on etched surfaces protected the interface from reoxidation. On native oxide-covered surfaces, depositions resulted in enhanced native oxide removal at higher temperatures. The arsenic oxides were completely removed above 250 °C after 3 nm of film growth, but some of the As{sub 2}O{sub 3} remained in the film at lower temperatures. Angle-resolved andmore » sputter depth profiling XPS confirmed indium and arsenic oxide migration into the Ta{sub 2}O{sub 5} film at deposition temperatures as low as 200 °C. Continuous removal of both arsenic and indium oxides was confirmed even after the deposition of several monolayers of a coalesced Ta{sub 2}O{sub 5} film, and it was demonstrated that native oxide transport is a prevalent component of the interface “clean-up” mechanism.« less

  17. Ferroelectric properties and polarization dynamics in Ba{sub 4}Sm{sub 2}Ti{sub 4}Ta{sub 6}O{sub 30} tungsten bronze ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Xiao Li, E-mail: xiaolizi0618@zju.edu.cn; Chen, Xiang Ming

    2016-04-11

    Ferroelectricity and polarization reversal dynamics in Ba{sub 4}Sm{sub 2}Ti{sub 4}Ta{sub 6}O{sub 30} tungsten bronze ceramics were investigated by measuring dielectric spectra and the evolution of hysteresis loops over a wide temperature range. With decreasing temperature, the dielectric properties and differential scanning calorimetry results showed diffuse peaks at ∼280 K with large thermal hysteresis, suggesting a first order ferroelectric transition. A dielectric relaxation was observed at low temperature that followed the Vogel–Fulcher relationship. The saturation and remanent polarizations of the Ba{sub 4}Sm{sub 2}Ti{sub 4}Ta{sub 6}O{sub 30} ceramics showed remarkable dependence on the applied field and temperature. The temperature dependence of the coercivemore » field was divided into three linear regions and fitted to the Vopsaroiu model. Activation energies for polarization reversal of 0.73, 0.79, and 0.65 eV were determined for the following three regions: (I) the diffuse ferroelectric transition region (323.15–293.15 K), (II) the region just below the ferroelectric transition temperature (293.15–233.15 K), and (III) the low temperature relaxation region (233.15–173.15 K), respectively. The decrease of the activation energy in region III was attributed to the low temperature relaxation of Ba{sub 4}Sm{sub 2}Ti{sub 4}Ta{sub 6}O{sub 30}.« less

  18. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric

    PubMed Central

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-01

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS2) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS2 and an ultra-thin HfO2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS2-HfO2 interface is responsible for the generation of interface states with a density (Dit) reaching ~7.03 × 1011 cm−2 eV−1. This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in Dit could be achieved by thermally diffusing S atoms to the MoS2-HfO2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS2 devices with carrier transport enhancement. PMID:28084434

  19. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    PubMed

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  20. Scaling of anomalous Hall effect in Ta/CoFeB/MgAl2O4/Ta multilayers

    NASA Astrophysics Data System (ADS)

    Wu, Yong; Zhang, Qimeng; Meng, Kangkang; Chen, Jikun; Xu, Xiaoguang; Miao, Jun; Jiang, Yong

    2017-06-01

    The anomalous Hall effect (AHE) is studied in Ta/CoFeB/MgAl2O4/Ta multilayers with different thicknesses of MgAl2O4 (t), which causes in-plane magnetic anisotropy (IMA) for t = 1.0 nm and perpendicular magnetic anisotropy (PMA) for t ≥ 1.2 nm. Conventional scaling was demonstrated to be not inadequate in our case. The origin of the AHE in Ta/CoFeB/MgAl2O4/Ta multilayers is mainly an extrinsic mechanism. The contribution of skew scattering (SS) is unneglectable, and both the SS and side jump are enhanced when the magnetic anisotropy changes from IMA to PMA, indicating that the oxidation at the interface of CoFeB/MgAl2O4 has a dominant influence on the AHE.

  1. Retention Model of TaO/HfO x and TaO/AlO x RRAM with Self-Rectifying Switch Characteristics.

    PubMed

    Lin, Yu-De; Chen, Pang-Shiu; Lee, Heng-Yuan; Chen, Yu-Sheng; Rahaman, Sk Ziaur; Tsai, Kan-Hsueh; Hsu, Chien-Hua; Chen, Wei-Su; Wang, Pei-Hua; King, Ya-Chin; Lin, Chrong Jung

    2017-12-01

    A retention behavior model for self-rectifying TaO/HfO x - and TaO/AlO x -based resistive random-access memory (RRAM) is proposed. Trapping-type RRAM can have a high resistance state (HRS) and a low resistance state (LRS); the degradation in a LRS is usually more severe than that in a HRS, because the LRS during the SET process is limited by the internal resistor layer. However, if TaO/AlO x elements are stacked in layers, the LRS retention can be improved. The LRS retention time estimated by extrapolation method is more than 5 years at room temperature. Both TaO/HfO x - and TaO/AlO x -based RRAM structures have the same capping layer of TaO, and the activation energy levels of both types of structures are 0.38 eV. Moreover, the additional AlO x switching layer of a TaO/AlO x structure creates a higher O diffusion barrier that can substantially enhance retention, and the TaO/AlO x structure also shows a quite stable LRS under biased conditions.

  2. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    PubMed

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  3. Flux-mediated syntheses, structural characterization and low-temperature polymorphism of the p-type semiconductor Cu2Ta4O11

    NASA Astrophysics Data System (ADS)

    King, Nacole; Sullivan, Ian; Watkins-Curry, Pilanda; Chan, Julia Y.; Maggard, Paul A.

    2016-04-01

    A new low-temperature polymorph of the copper(I)-tantalate, α-Cu2Ta4O11, has been synthesized in a molten CuCl-flux reaction at 665 °C for 1 h and characterized by powder X-ray diffraction Rietveld refinements (space group Cc (#9), a=10.734(1) Å, b = 6.2506(3) Å, c=12.887(1) Å, β = 106.070(4)°). The α-Cu2Ta4O11 phase is a lower-symmetry monoclinic polymorph of the rhombohedral Cu2Ta4O11 structure (i.e., β-Cu2Ta4O11 space group R 3 ̅ c (#167), a = 6.2190(2) Å, c=37.107(1) Å), and related crystallographically by ahex=amono/√3, bhex=bmono, and chex=3cmonosinβmono. Its structure is similar to the rhombohedral β-Cu2Ta4O11 and is composed of single layers of highly-distorted and edge-shared TaO7 and TaO6 polyhedra alternating with layers of nearly linearly-coordinated Cu(I) cations and isolated TaO6 octahedra. Temperature dependent powder X-ray diffraction data show the α-Cu2Ta4O11 phase is relatively stable under vacuum at 223 K and 298 K, but reversibly transforms to β-Cu2Ta4O11 by at least 523 K and higher temperatures. The symmetry-lowering distortions from β-Cu2Ta4O11 to α-Cu2Ta4O11 arise from the out-of-center displacements of the Ta 5d0 cations in the TaO7 pentagonal bipyramids. The UV-vis diffuse reflectance spectrum of the monoclinic α-Cu2Ta4O11 shows an indirect bandgap transition of ∼2.6 eV, with the higher-energy direct transitions starting at ∼2.7 eV. Photoelectrochemical measurements on polycrystalline films of α-Cu2Ta4O11 show strong cathodic photocurrents of ∼1.5 mA/cm2 under AM 1.5 G solar irradiation.

  4. An “ohmic-first” self-terminating gate-recess technique for normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Wang, Hongyue; Wang, Jinyan; Li, Mengjun; He, Yandong; Wang, Maojun; Yu, Min; Wu, Wengang; Zhou, Yang; Dai, Gang

    2018-04-01

    In this article, an ohmic-first AlGaN/GaN self-terminating gate-recess etching technique was demonstrated where ohmic contact formation is ahead of gate-recess-etching/gate-dielectric-deposition (GRE/GDD) process. The ohmic contact exhibits few degradations after the self-terminating gate-recess process. Besides, when comparing with that using the conventional fabrication process, the fabricated device using the ohmic-first fabrication process shows a better gate dielectric quality in terms of more than 3 orders lower forward gate leakage current, more than twice higher reverse breakdown voltage as well as better stability. Based on this proposed technique, the normally-off Al2O3/GaN MOSFET exhibits a threshold voltage (V th) of ˜1.8 V, a maximum drain current of ˜328 mA/mm, a forward gate leakage current of ˜10-6 A/mm and an off-state breakdown voltage of 218 V at room temperature. Meanwhile, high temperature characteristics of the device was also evaluated and small variations (˜7.6%) of the threshold voltage was confirmed up to 300 °C.

  5. Correlation between the transport mechanisms in conductive filaments inside Ta2O5-based resistive switching devices and in substoichiometric TaOx thin films

    NASA Astrophysics Data System (ADS)

    Rosário, Carlos M. M.; Thöner, Bo; Schönhals, Alexander; Menzel, Stephan; Wuttig, Matthias; Waser, Rainer; Sobolev, Nikolai A.; Wouters, Dirk J.

    2018-05-01

    Conductive filaments play a key role in redox-based resistive random access memory (ReRAM) devices based on the valence change mechanism, where the change of the resistance is ascribed to the modulation of the oxygen content in a local region of these conductive filaments. However, a deep understanding of the filaments' composition and structure is still a matter of debate. We approached the problem by comparing the electronic transport, at temperatures from 300 K down to 2 K, in the filaments and in TaOx films exhibiting a substoichiometric oxygen content. The filaments were created in Ta (15 nm)/Ta2O5 (5 nm)/Pt crossbar ReRAM structures. In the TaOx thin films with various oxygen contents, the in-plane transport was studied. There is a close similarity between the electrical properties of the conductive filaments in the ReRAM devices and of the TaOx films with x ˜ 1, evidencing also no dimensionality difference for the electrical transport. More specifically, for both systems there are two different conduction processes: one in the higher temperature range (from 50 K up to ˜300 K), where the conductivity follows a √{ T } dependence, and one at lower temperatures (<50 K), where the conductivity follows the exp(-1 / √{ T } ) dependence. This suggests a strong similarity between the material composition and structure of the filaments and those of the substoichiometric TaOx films. We also discuss the temperature dependence of the conductivity in the framework of possible transport mechanisms, mainly of those normally observed for granular metals.

  6. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  7. Structural and electronic investigations of PbTa4O11 and BiTa7O19 constructed from α-U3O8 types of layers

    NASA Astrophysics Data System (ADS)

    Boltersdorf, Jonathan; Maggard, Paul A.

    2015-09-01

    The PbTa4O11 and BiTa7O19 phases were prepared by ion-exchange and solid-state methods, respectively, and their structures were characterized by neutron time-of-flight diffraction and Rietveld refinement methods (PbTa4O11, R 3 (No. 146), a=6.23700(2) Å, c=36.8613(1) Å; BiTa7O19, P 6 bar c 2 (No. 188), a=6.2197(2) Å, c=20.02981(9) Å). Their structures are comprised of layers of TaO6 octahedra surrounded by three 7-coordinate Pb(II) cations or two 8-coordinate Bi(III) cations. These layers alternate down the c-axis with α-U3O8 types of single and double TaO7 pentagonal bipyramid layers. In contrast to earlier studies, both phases are found to crystallize in noncentrosymmetric structures. Symmetry-lowering structural distortions within PbTa4O11, i.e. R 3 bar c →R3, are found to be a result of the displacement of the Ta atoms within the TaO7 and TaO6 polyhedra, towards the apical and facial oxygen atoms, respectively. In BiTa7O19, relatively lower reaction temperatures leads to an ordering of the Bi/Ta cations within a lower-symmetry structure, i.e., P63/mcm→ P 6 bar c 2 . In the absence of Bi/Ta site disorder, the Ta-O-Ta bond angles decrease and the Ta-O bond distances increase within the TaO7 double layers. Scanning electron microscopy images reveal two particle morphologies for PbTa4O11, hexagonal rods and finer irregularly-shaped particles, while BiTa7O19 forms as aggregates of irregularly-shaped particles. Electronic-structure calculations confirm the highest-energy valence band states are comprised of O 2p-orbitals and the respective Pb 6s-orbital and Bi 6s-orbital contributions. The lowest-energy conduction band states are composed of Ta 5d-orbital contributions that are delocalized over the TaO6 octahedra and layers of TaO7 pentagonal bipyramids. The symmetry-lowering distortions in the PbTa4O11 structure, and the resulting effects on its electronic structure, lead to its relatively higher photocatalytic activity compared to similar structures without

  8. Four-Element Composite Triangular Dielectric Resonator Antenna Using Li2O-1.94MgO-0.02Al2O3-P2O5 Ceramic for Wideband Applications

    NASA Astrophysics Data System (ADS)

    Kumari, Preeti; Tripathi, Pankaj; Sahu, B.; Singh, S. P.; Kumar, Devendra

    2018-05-01

    A simulation and fabrication study of a coaxial probe-fed four-element composite triangular dielectric resonator antenna (TDRA) using low loss Li2O-1.94MgO-0.02Al2O3-P2O5 (LMAP) ceramic and Teflon. LMAP ceramic was carried out and the ceramic was synthesized using a solid-state sintering route. The phase, microstructure and microwave dielectric properties of LMAP were investigated using x-ray diffraction pattern, scanning electron microscopy and a network analyzer. A coaxial probe-fed four-element composite TDRA was designed and fabricated using LMAP as one section of each composite element of the proposed antenna. Each triangular element of the proposed dielectric resonator antenna (DRA) consists of two sections of different dielectric constant materials. The inner triangular section touching the coaxial probe at one of its corners is made of the LMAP ceramic (ɛ r = 6.2) while othe uter section is made of Teflon (ɛ r = 2.1). Four triangular DRA elements are excited bya centrally located 50-Ω coaxial probe. The parametric study of the proposed antenna was performed through simulation using Ansys High Frequency Structure Simulator software by varying the dimensions and dielectric constants of both sections of each triangular element of the TDRA to optimize the results for obtaining a wideband antenna. The simulated resonant frequency of 9.30 GHz with a percentage bandwidth of 61.65% for the proposed antenna is obtained within its operating frequency range of 7.82-14.8 GHz. Monopole-like radiation patterns with low cross-polarization levels and a peak gain of 5.63 dB are obtained for the proposed antenna through simulation. The antenna prototype having optimized dimensions has also been fabricated. The experimental resonant frequency of 9.10 GHz with a percentage bandwidth of 66.09% is obtained within its operating frequency range of 7.70-15.30 GHz. It is found that the simulation results for the proposed antenna are in close agreement with the measured data. The

  9. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  10. Optimization of reactive-ion etching (RIE) parameters for fabrication of tantalum pentoxide (Ta2O5) waveguide using Taguchi method

    NASA Astrophysics Data System (ADS)

    Muttalib, M. Firdaus A.; Chen, Ruiqi Y.; Pearce, S. J.; Charlton, Martin D. B.

    2017-11-01

    In this paper, we demonstrate the optimization of reactive-ion etching (RIE) parameters for the fabrication of tantalum pentoxide (Ta2O5) waveguide with chromium (Cr) hard mask in a commercial OIPT Plasmalab 80 RIE etcher. A design of experiment (DOE) using Taguchi method was implemented to find optimum RF power, mixture of CHF3 and Ar gas ratio, and chamber pressure for a high etch rate, good selectivity, and smooth waveguide sidewall. It was found that the optimized etch condition obtained in this work were RF power = 200 W, gas ratio = 80 %, and chamber pressure = 30 mTorr with an etch rate of 21.6 nm/min, Ta2O5/Cr selectivity ratio of 28, and smooth waveguide sidewall.

  11. On gate stack scalability of double-gate negative-capacitance FET with ferroelectric HfO2 for energy efficient sub-0.2 V operation

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2018-02-01

    We have investigated the gate stack scalability and energy efficiency of double-gate negative-capacitance FET (DGNCFET) with a CMOS-compatible ferroelectric HfO2 (FE:HfO2). Analytic model-based simulation is conducted to investigate the impacts of ferroelectric characteristic of FE:HfO2 and gate stack thickness on the I on/I off ratio of DGNCFET. DGNCFET has wider design window for the gate stack where higher I on/I off ratio can be achieved than DG classical MOSFET. Under a process-induced constraint with sub-10 nm gate length (L g), FE:HfO2-based DGNCFET still has a design point for high I on/I off ratio. With an optimized gate stack thickness for sub-10 nm L g, FE:HfO2-based DGNCFET has 2.5× higher energy efficiency than DG classical MOSFET even at ultralow operation voltage of sub-0.2 V.

  12. Nanocrystals embedded in hafnium dioxide-based dielectrics as charge storage nodes of nano-floating gate memory

    NASA Astrophysics Data System (ADS)

    Lee, Pui Fai

    2007-12-01

    Nanocrystals (NC) embedded in dielectrics have attracted a great deal of attention recently because they can potentially be applied in nonvolatile, high-speed, high-density and low-power memory devices. This device benefits from a relatively low operating voltage, high endurance, fast write-erase speeds and better immunity to soft errors. The nanocrystal materials suitable for such an application can be either metals or semiconductors. Recent studies have shown that high-k dielectrics, instead of SiO2 , for the tunneling layer in nanocrystal floating gate memory can improve the trade-off between data retention and program efficiency due to the unique band alignment of high-k dielectrics in the programming and retention modes. In this project, HfAlO has been selected as the high- k dielectric for the nanocrystal floating gate memory structure. The trilayer structure (HfAlO/Ge-NC/HfAlO) on Si was fabricated by PLD. Results revealed that relatively low substrate temperature and growth rate are favourable for the formation of smaller-size Ge nanocrystals. Effects of size/density of the Ge nanocrystal, the tunneling and control oxide layer thicknesses and the oxygen partial pressure during their growth on the charge storage and charge retention characteristics have also been studied. The island structure of the Ge nanocrystal suggests that the growth is based on the Volmer-Webber mode. The self-organized Ge nanocrystals so formed were uniform in size (5--20 nm diameter) and distribution with a density approaching 1012--1013cm-2. Flat-band voltage shift (DeltaVFB) of about 3.6 V and good retention property have been achieved. By varying aggregation distance, sputtering gas pressure and ionization power of the nanocluster source, nanoclusters of Ge with different sizes can be formed. The memory effect of the trilayer structure so formed with 10 nm Ge nanoclusters are manifested by the counter-clockwise hysteresis loop in the C-V curves and a maximum flat-band voltage

  13. Dielectric characterization of TiO2, Al2O3 - Nanoparticle loaded epoxy resin

    NASA Astrophysics Data System (ADS)

    Thakor, S. G.; Rana, V. A.; Vankar, H. P.

    2018-05-01

    In present work, the dielectric properties of two different nanoparticle loaded Bisphenol A-epoxy resin were carried out at room temperature. Sample of the neat epoxy resin and nanoparticle loaded epoxy resin in the form of disc were prepared of different weight fraction (i.e 0.5 wt%,0.7 wt%,1 wt%,1.5 wt%,1.7 wt%,2 wt%). TiO2 and Al2O3 nanoparticles were taken as filler in the epoxy resin. Complex permittivity of the prepared samples was measured using Agilent E4980A precision LCR meter in frequency range of 103 Hz to 106 Hz. The dependency of dielectric behavior on type and concentration of nanoparticle in considered frequency range are discussed in detail.

  14. High- k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.

    PubMed

    Wang, Binghao; Huang, Wei; Chi, Lifeng; Al-Hashimi, Mohammed; Marks, Tobin J; Facchetti, Antonio

    2018-05-22

    Recent advances in flexible and stretchable electronics (FSE), a technology diverging from the conventional rigid silicon technology, have stimulated fundamental scientific and technological research efforts. FSE aims at enabling disruptive applications such as flexible displays, wearable sensors, printed RFID tags on packaging, electronics on skin/organs, and Internet-of-things as well as possibly reducing the cost of electronic device fabrication. Thus, the key materials components of electronics, the semiconductor, the dielectric, and the conductor as well as the passive (substrate, planarization, passivation, and encapsulation layers) must exhibit electrical performance and mechanical properties compatible with FSE components and products. In this review, we summarize and analyze recent advances in materials concepts as well as in thin-film fabrication techniques for high- k (or high-capacitance) gate dielectrics when integrated with FSE-compatible semiconductors such as organics, metal oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D semiconductors. Since thin-film transistors (TFTs) are the key enablers of FSE devices, we discuss TFT structures and operation mechanisms after a discussion on the needs and general requirements of gate dielectrics. Also, the advantages of high- k dielectrics over low- k ones in TFT applications were elaborated. Next, after presenting the design and properties of high- k polymers and inorganic, electrolyte, and hybrid dielectric families, we focus on the most important fabrication methodologies for their deposition as TFT gate dielectric thin films. Furthermore, we provide a detailed summary of recent progress in performance of FSE TFTs based on these high- k dielectrics, focusing primarily on emerging semiconductor types. Finally, we conclude with an outlook and challenges section.

  15. Effects of background oxygen pressure on dielectric and ferroelectric properties of epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrTiO3

    NASA Astrophysics Data System (ADS)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-11-01

    Oxygen partial pressure (PO_2) in pulsed laser deposition significantly influences the composition, microstructure, and electrical properties of epitaxial misfit strain-relieved 450nm ⟨001⟩ oriented epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated SrTiO3. Films deposited at 400mTorr exhibit high remnant and saturated polarization of 7.5 and 16.5μC /cm2, respectively, which is ˜100% increase over the ones grown at 100mTorr. The dielectric constant linearly increases from 220 to 450 with increasing PO2. The observed changes in surface morphology of the films and their properties are shown to be due to the suppression of volatile A-site cation loss.

  16. Study of bulk Hafnium oxide (HfO2) under compression

    NASA Astrophysics Data System (ADS)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  17. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  18. Preparation of TiO2/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    NASA Astrophysics Data System (ADS)

    Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe

    2015-12-01

    We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.

  19. Structure and dielectric properties of Na0.5Bi0.5TiO3-CaTiO3 solid solutions

    NASA Astrophysics Data System (ADS)

    Birks, E.; Dunce, M.; Ignatans, R.; Kuzmin, A.; Plaude, A.; Antonova, M.; Kundzins, K.; Sternberg, A.

    2016-02-01

    Despite wide studies of Na0.5Bi0.5TiO3, structure of this material and its connection with the observed physical properties still raise numerous questions due to mutually contradicting results obtained. Here, structure and dielectric properties of poled and unpoled Na0.5Bi0.5TiO3-CaTiO3 solid solutions are studied, projecting the obtained concentration dependence of structure and dielectric properties on pure Na0.5Bi0.5TiO3 as the end member of this material group. X-ray diffraction patterns for Na0.5Bi0.5TiO3-CaTiO3 solid solutions reveal dominating of an orthorhombic Pnma phase, even for the compositions approaching the end composition (Na0.5Bi0.5TiO3), whereas structure of pure Na0.5Bi0.5TiO3 can be considered, assuming coexistence of rhombohedral and orthorhombic phases. This allows one to avoid appearance of a large difference of rhombohedral distortions between the unpoled and poled Na0.5Bi0.5TiO3, if the rhombohedral distortion is calculated as for single R3c phase. Features of dielectric permittivity, corresponding to the observed structural phase transition, are identified. It is discussed that the rhombohedral R3c phase is responsible for appearance of the frequency-dependent shoulder of dielectric permittivity temperature dependence, characteristic for unpoled Na0.5Bi0.5TiO3.

  20. Electrical and dielectric properties of ZnO and CeO{sub 2} doped ZrTi{sub 2}O{sub 6} ceramic

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    George, Aneesh; Thomas, Jijimon K.; John, Annamma

    2014-01-28

    Zirconium oxide (ZrO{sub 2}) and titanium dioxide (TiO{sub 2}) are the important catalyst supports, since it has acidic and basic properties. The intermediate phase zirconium titanate ZrTi{sub 2}O{sub 6}, which is a solid solution with Zr:Ti ratio 1:2 has outstanding dielectric properties. The effects of doping of ZnO and CeO{sub 2} on the dielectric and electrical properties of ZrTi{sub 2}O{sub 6} ceramic are investigated. On adding 0.5 wt% ZnO, the dielectric constant is increased but, on adding CeO{sub 2}, the dielectric constant is decreased. The bulk density of pure sample sintered at 1530 °C is 91% of theoretical density whilemore » that of the doped samples sintered at 1450 °C is more than 94% of theoretical density. Scanning electron micrographs reveal that the samples are well sintered with minimum porosity. The semicircle behavior in the Cole-Cole plots at room temperature reveals that the samples are good ionic conductor. The induced impedance is reduced for doped samples and this can be used as a material for electrolyte in Solid Oxide Fuel Cell.« less

  1. The dielectric behavior of Zn1-xNixO/NiO two-phase composites

    NASA Astrophysics Data System (ADS)

    Joshi, D. C.; Thota, S.; Nayak, S.; Harish, D. D.; Mahesh, P.; Kumar, A.; Pamu, D.; Qureshi, Md

    2014-10-01

    The effect of nickel content on the dielectric permittivity ‘ɛr’ and the ac-electrical conductivity of Zn1-xNixO/NiO (0 ≤ x ≤ 0.55) two-phase composites were investigated. The antiferro to the paramagnetic Néel temperature TN (~ 523 K) of the NiO associated with the structural phase transition from the rhombohedral to the cubic phase has been exploited to realize a dielectric anomaly across 523-541 K in the Zn1-xNixO/NiO composite system. Also, a giant dielectric peak across 410 °C in pure NiO was observed together with an anomaly across TN. The formation of tiny polar clusters due to the compositional heterogeneity for the samples with x ≥ 0.16 drove the system to exhibit a weakly coupled relaxor-like behavior with a locally varying maximum temperature of T* (~ 530 K at 106 Hz), obeying the Vogel-Fulcher law and the Uchino-Nomura criteria. The values of the diffuseness-exponent ‘γ’ (1.91) and the shape-parameter ‘δ’ (88 °C) were determined by using the empirical scaling relation (ɛA/ɛr = 1 + 0.5 (T - TA)2/ δ2), which is often used to describe relaxor-like behavior. Our results provide strong evidence for the variable-range-hopping of charge carriers between the localized states. The effects of non-ohmic sample-electrode contact impedance and negative-capacitance on the global dielectric behavior of a Zn1-xNixO/NiO composite system are discussed.

  2. Structurally Engineered Nanoporous Ta2O5-x Selector-Less Memristor for High Uniformity and Low Power Consumption.

    PubMed

    Kwon, Soonbang; Kim, Tae-Wook; Jang, Seonghoon; Lee, Jae-Hwang; Kim, Nam Dong; Ji, Yongsung; Lee, Chul-Ho; Tour, James M; Wang, Gunuk

    2017-10-04

    A memristor architecture based on metal-oxide materials would have great promise in achieving exceptional energy efficiency and higher scalability in next-generation electronic memory systems. Here, we propose a facile method for fabricating selector-less memristor arrays using an engineered nanoporous Ta 2 O 5-x architecture. The device was fabricated in the form of crossbar arrays, and it functions as a switchable rectifier with a self-embedded nonlinear switching behavior and ultralow power consumption (∼2.7 × 10 -6 W), which results in effective suppression of crosstalk interference. In addition, we determined that the essential switching elements, such as the programming power, the sneak current, the nonlinearity value, and the device-to-device uniformity, could be enhanced by in-depth structural engineering of the pores in the Ta 2 O 5-x layer. Our results, on the basis of the structural engineering of metal-oxide materials, could provide an attractive approach for fabricating simple and cost-efficient memristor arrays with acceptable device uniformity and low power consumption without the need for additional addressing selectors.

  3. Influence of Gate Dielectrics, Electrodes and Channel Width on OFET Characteristics

    NASA Astrophysics Data System (ADS)

    Liyana, V. P.; Stephania, A. M.; Shiju, K.; Predeep, P.

    2015-06-01

    Organic Field Effect Transistors (OFET) possess wide applications in large area electronics owing to their attractive features like easy fabrication process, light weight, flexibility, cost effectiveness etc. But instability, high operational voltages and low carrier mobility act as inhibitors to commercialization of OFETs and various approaches were tried on a regular basis so as to make it viable. In this work, Poly 3-hexylthiophene-2,5diyl (P3HT) based OFETs with bottom-contact top-gate configuration using Poly vinyl alcohol (PVA) and Poly (methyl methacrylate) (PMMA) as gate dielectrics, aluminium and copper as source-drain electrodes are investigated. An effort is made to compare the effect of these dielectric materials and electrodes on the performance of OFET. Also, an attempt has been made to optimize the channel width of the device. These devices are characterised with mobility (μ), threshold voltage (VT), on-off ratio (Ion/Ioff) and their comparative analysis is reported.

  4. Integration of perovskite oxide dielectrics into complementary metal-oxide-semiconductor capacitor structures using amorphous TaSiN as oxygen diffusion barrier

    NASA Astrophysics Data System (ADS)

    Mešić, Biljana; Schroeder, Herbert

    2011-09-01

    The high permittivity perovskite oxides have been intensively investigated for their possible application as dielectric materials for stacked capacitors in dynamic random access memory circuits. For the integration of such oxide materials into the CMOS world, a conductive diffusion barrier is indispensable. An optimized stack p++-Si/Pt/Ta21Si57N21/Ir was developed and used as the bottom electrode for the oxide dielectric. The amorphous TaSiN film as oxygen diffusion barrier showed excellent conductive properties and a good thermal stability up to 700 °C in oxygen ambient. The additional protective iridium layer improved the surface roughness after annealing. A 100-nm-thick (Ba,Sr)TiO3 film was deposited using pulsed laser deposition at 550 °C, showing very promising properties for application; the maximum relative dielectric constant at zero field is κ ≈ 470, and the leakage current density is below 10-6 A/cm2 for fields lower then ± 200 kV/cm, corresponding to an applied voltage of ± 2 V.

  5. Effects of crystal structure and composition on the photocatalytic performance of Ta-O-N functional materials.

    PubMed

    Liu, Qing-Lu; Zhao, Zong-Yan; Yi, Jian-Hong

    2018-05-07

    For photocatalytic applications, the response of a material to the solar spectrum and its redox capabilities are two important factors determined by the band gap and band edge position of the electronic structure of the material. The crystal structure and composition of the photocatalyst are fundamental for determining the above factors. In this article, we examine the functional material Ta-O-N as an example of how to discuss relationships among these factors in detail with the use of theoretical calculations. To explore how the crystal structure and composition influence the photocatalytic performance, two groups of Ta-O-N materials were considered: the first group included ε-Ta 2 O 5 , TaON, and Ta 3 N 5 ; the second group included β-Ta 2 O 5 , δ-Ta 2 O 5 , ε-Ta 2 O 5 , and amorphous-Ta 2 O 5 . Calculation results indicated that the band gap and band edge position are determined by interactions between the atomic core and valence electrons, the overlap of valence electronic states, and the localization of valence states. Ta 3 N 5 and TaON are suitable candidates for efficient photocatalysts owing to their photocatalytic water-splitting ability and good utilization efficiency of solar energy. δ-Ta 2 O 5 has a strong oxidation potential and a band gap suitable for absorbing visible light. Thus, it can be applied to photocatalytic degradation of most pollutants. Although a-Ta 2 O 5 , ε-Ta 2 O 5 , and β-Ta 2 O 5 cannot be directly used as photocatalysts, they can still be applied to modify conventional Ta-O-N photocatalysts, owing to their similar composition and structure. These calculation results will be helpful as reference data for analyzing the photocatalytic performance of more complicated Ta-O-N functional materials. On the basis of these findings, one could design novel Ta-O-N functional materials for specific photocatalytic applications by tuning the composition and crystal structure.

  6. Microstructure, Thermal, Mechanical, and Dielectric Properties of BaO-CaO-Al2O3-B2O3-SiO2 Glass-Ceramics

    NASA Astrophysics Data System (ADS)

    Li, Bo; Bian, Haibo; Fang, Yi

    2017-12-01

    BaO-CaO-Al2O3-B2O3-SiO2 (BCABS) glass-ceramics were prepared via the method of controlled crystallization. The effect of CaO modification on the microstructure, phase evolution, as well as thermal, mechanical, and dielectric properties was investigated. XRD identified that quartz is the major crystal phase; cristobalite and bazirite are the minor crystal phases. Moreover, the increase of CaO could inhibit the phase transformation from quartz to cristobalite, but excessive CaO would increase the porosity of the ceramics. Additionally, with increasing the amount of CaO, the thermal expansion curve tends to be linear, and subsequently the CTE value decreases gradually, which is attributed to the decrease of cristobalite with high CTE and the formation of CaSiO3 with low CTE. The results indicated that a moderate amount of CaO helps attaining excellent mechanical, thermal, and dielectric properties, that is, the specimen with 9 wt% CaO sintered at 950 °C has a high CTE value (11.5 × 10-6/°C), a high flexural strength (165.7 MPa), and good dielectric properties (ɛr = 6.2, tanδ = 1.8 × 10-4, ρ = 4.6 × 1011 Ω•cm).

  7. Cation deficient layered Ruddlesden-Popper-related oxysulfides La2LnMS2O5 (Ln=La, Y; M=Nb, Ta).

    PubMed

    Cario, Laurent; Popa, Aurelian Florin; Lafond, Alain; Guillot-Deudon, Catherine; Kabbour, Houria; Meerschaut, A; Clarke, Simon J; Adamson, Paul

    2007-11-12

    The structures of the new oxysulfide Ruddlesden-Popper phases La2LnMS2O5 (Ln=La, Y; M=Nb, Ta) are reported together with an iodide-containing variant: La3-xNb1+xS2O5I2x (02S2] alternating regularly with perovskite-type oxide slabs [LnMO5] or [La1-xNb1+xO5I2x]. In the oxide slabs, the trivalent and pentavalent cations are disordered on the long-length scale probed by diffraction methods, but bond length considerations suggest that they must be ordered at least on the length scale of the unit cell. The [LnMO5] block of the iodide-free compounds derive from the ideal [Ti2O5] blocks found in Ln2Ti2S2O5 (Ln=Nd-Er; Y) by the formal substitution of two Ti4+ ions with one Ln3+ and one M5+ion. The unusual partial insertion of iodide in the perovskite voids of the [LaNbO5] block in La3NbS2O5 was found to be coupled to a La/Nb substitution, maintaining the charge balance within the [La1-xNb1+xO5I2x]2- block. The Nb5+ ions were found to be too resistant to reduction to undergo the intercalation of alkali metals observed in the Ln2Ti2S2O5 series.

  8. Effect of A-site La and Ba doping on threshold field and characteristic temperatures of PbSc0.5Ta0.5O3 relaxor studied by acoustic emission

    NASA Astrophysics Data System (ADS)

    Dul'kin, E.; Mihailova, B.; Gospodinov, M.; Roth, M.

    2012-09-01

    The structural transitions in Pb1-xLaxSc(1+x)/2Ta(1-x)/2O3, x = 0.08 (PLST) relaxor crystals were studied by means of acoustic emission (AE) under an external electric field (E) and compared with those observed in pure PbSc0.5Ta0.5O3 (PST) and Pb0.78Ba0.22Sc0.5Ta0.5O3 (PBST) [E. Dul'kin et al., EPL 94, 57002 (2011)]. Similar to both the PST and PBST compounds, in zero field PLST exhibits AE corresponding to a para-to-antiferroelectric incommensurate phase transition at Tn = 276 K, lying in the vicinity of dielectric temperature maximum (Tm). This AE signal exhibits a nontrivial behavior when applying E resembling the electric-field-dependence of Tn previously observed for both the PST and PBST, namely, Tn initially decreases with the increase of E, attains a minimum at a threshold field Eth = 0.5 kV/cm, accompanied by a pronounced maximum of the AE count rate Ṅ = 12 s-1, and then starts increasing as E enhances. The similarities and difference between PST, PLST, and PBST with respect to Tn, Eth, and Ṅ are discussed from the viewpoint of three mechanisms: (i) chemically induced random local electric field due to the extra charge on the A-site ion, (ii) disturbance of the system of stereochemically active lone-pair electrons of Pb2+ by the isotropic outermost electron shell of substituting ion, and (iii) change in the tolerance factor and elastic field to the larger ionic radius of the substituting A-site ion due to the different radius of the substituting ion. The first two mechanisms influence the actual values of Tn and Eth, whereas the latter is shown to affect the normalized Ṅ, indicating the fractions undergoing a field-induced crossover from a modulated antiferroelectric to a ferroelectric state. Creation of secondary random electric field, caused by doping-induced A-site-O ionic chemical bonding, is discussed.

  9. Dielectric Properties of BST/(Y 2O 3) x(ZrO 2) 1-x/BST Trilayer Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Santosh K.; Misra, D.

    2011-01-31

    Thin films of Ba1-xSrxTiO3 (BST) are being actively investigated for applications in dynamic random access memories (DRAM) because of their properties such as high dielectric constant, low leakage current, and high dielectric breakdown strength. Various approaches have been used to improve the dielectric properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found that inserting a ZrO2 layer in between two BST layers results in a significant reduction in dielectric constant as well as dielectric loss. In this work the effect of Y2O3 doped ZrO2 on the dielectric properties of BST/ZrO2/BST trilayer structure ismore » studied. The structure Ba0.8Sr0.2TiO3/(Y2O3)x(ZrO2)1-x/Ba0.8Sr0.2TiO3 is deposited by a sol-gel process on platinized Si substrate. The composition (x) of the middle layer is varied while keeping the total thickness of the trilayer film constant. The dielectric constant of the multilayer film decreases with the increase of Y2O3 amount in the film whereas there is a slight variation in dielectric loss. In Y2O3 doped multilayer thin films, the dielectric loss is lower in comparison to other films and also there is good frequency stability in the loss in the measured frequency range and hence very suitable for microwave device applications.« less

  10. A novel approach for the improvement of electrostatic behaviour of physically doped TFET using plasma formation and shortening of gate electrode with hetero-gate dielectric

    NASA Astrophysics Data System (ADS)

    Soni, Deepak; Sharma, Dheeraj; Aslam, Mohd.; Yadav, Shivendra

    2018-04-01

    This article presents a new device configuration to enhance current drivability and suppress negative conduction (ambipolar conduction) with improved RF characteristics of physically doped TFET. Here, we used a new approach to get excellent electrical characteristics of hetero-dielectric short gate source electrode TFET (HD-SG SE-TFET) by depositing a metal electrode of 5.93 eV work function over the heavily doped source (P+) region. Deposition of metal electrode induces the plasma (thin layer) of holes under the Si/HfO2 interface due to work function difference of metal and semiconductor. Plasma layer of holes is advantageous to increase abruptness as well as decrease the tunneling barrier at source/channel junction for attaining higher tunneling rate of charge carriers (i.e., electrons), which turns into 86.66 times higher ON-state current compared with the conventional physically doped TFET (C-TFET). Along with metal electrode deposition, gate electrode is under-lapped for inducing asymmetrical concentration of charge carriers in the channel region, which is helpful for widening the tunneling barrier width at the drain/channel interface. Consequently, HD-SG SE-TFET shows suppression of ambipolar behavior with reduction in gate-to-drain capacitance which is beneficial for improvement in RF performance. Furthermore, the effectiveness of hetero-gate dielectric concept has been used for improving the RF performance. Furthermore, reliability of C-TFET and proposed structures has been confirmed in term of linearity.

  11. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  12. Sintering characteristic and microwave dielectric properties of 0.45Ca0.6Nd0.267TiO3-0.55Li0.5Nd0.5TiO3 ceramics with La2O3-B2O3-ZnO additive

    NASA Astrophysics Data System (ADS)

    Chen, Yawei; Zhang, Shuren; Li, Enzhu; Niu, Na; Yang, Hongcheng

    2018-02-01

    The La2O3-B2O3-ZnO (LBZ) glass was proved to be an effective sintering aid of the 0.45Ca0.6Nd0.26TiO3-0.55Li0.5Nd0.5TiO3 (CNT-LNT) ceramics. The influence of LBZ glass on the phase composition, low temperature sintering process, microstructure, activation energy, and dielectric properties of CNT-LNT ceramics was investigated in detail. The LBZ glass induced an obvious decrease of the CNT-LNT ceramics sintering temperature from 1350 to 1000 °C due to the liquid phase formation, which reduced the activation energy ( E a) of the CNT-LNT ceramics. In addition, the near zero temperature coefficient of resonant frequency (τƒ) value was obtained by adding moderate quantity of LBZ glass. CNT-LNT + 5 wt% LBZ (CNT-LNT + 5L) ceramics sintered at 1000°C/4 h displayed good microwave dielectric properties of: ɛ r = 101.7, Q × f = 1560 GHz ( f = 3.25 GHz) and τ ƒ = 2.3 ppm °C-1.

  13. Selective photocatalytic reduction of CO2 to methanol in CuO-loaded NaTaO3 nanocubes in isopropanol

    PubMed Central

    Xiang, Tianyu; Chen, Jingshuai; Wang, Yuwen; Yin, Xiaohong; Shao, Xiao

    2016-01-01

    Summary A series of NaTaO3 photocatalysts were prepared with Ta2O5 and NaOH via a hydrothermal method. CuO was loaded onto the surface of NaTaO3 as a cocatalyst by successive impregnation and calcination. The obtained photocatalysts were characterized by XRD, SEM, UV–vis, EDS and XPS and used to photocatalytically reduce CO2 in isopropanol. This worked to both absorb CO2 and as a sacrificial reagent to harvest CO2 and donate electrons. Methanol and acetone were generated as the reduction product of CO2 and the oxidation product of isopropanol, respectively. NaTaO3 nanocubes loaded with 2 wt % CuO and synthesized in 2 mol/L NaOH solution showed the best activity. The methanol and acetone yields were 137.48 μmol/(g·h) and 335.93 μmol/(g·h), respectively, after 6 h of irradiation. Such high activity could be attributed to the good crystallinity, morphology and proper amount of CuO loading, which functioned as reductive sites for selective formation of methanol. The reaction mechanism was also proposed and explained by band theory. PMID:27335766

  14. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  15. Synthesis, crystal structure, and photocatalytic activity of a new two-layer Ruddlesden-Popper phase, Li{sub 2}CaTa{sub 2}O{sub 7}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liang Zhenhua; Department of Chemistry, University of Science and Technology of China, Hefei, Anhui 230026; Tang Kaibin

    2008-04-15

    A new two-layer Ruddlesden-Popper phase Li{sub 2}CaTa{sub 2}O{sub 7} has been synthesized for the first time. The detailed structure determination of Li{sub 2}CaTa{sub 2}O{sub 7} performed by powder X-ray diffraction (XRD) and electron microscopy (ED) shows that it crystallizes in the space group Fmmm [a{approx}5.5153(1), b{approx}5.4646(1), c{approx}18.2375(3)A]. UV-visible diffuse reflection spectrum of the prepared Li{sub 2}CaTa{sub 2}O{sub 7} indicates that it had absorption in the UV region. The photocatalytic activity of the Li{sub 2}CaTa{sub 2}O{sub 7} powders was evaluated by degradation of RhB molecules in water under ultra visible light irradiation. The results showed that Li{sub 2}CaTa{sub 2}O{sub 7} hasmore » high photocatalytic activity at room temperature. Therefore, the preparation and properties studies of Li{sub 2}CaTa{sub 2}O{sub 7} with a two-layer Ruddlesden-Popper structure suggest potential future applications in photocatalysis. - Graphical abstract: Crystal structure of a two-layer Ruddlesden-Popper phase Li{sub 2}CaTa{sub 2}O{sub 7} A new two-layer Ruddlesden-Popper phase Li{sub 2}CaTa{sub 2}O{sub 7} has been synthesized for the first time. Li{sub 2}CaTa{sub 2}O{sub 7} crystallizes in the space group Fmmm determined by powder X-ray and electron diffraction. UV-visible diffuse reflection spectra and the photocatalytic degradation of RhB molecules in water under ultra visible light irradiation show that Li{sub 2}CaTa{sub 2}O{sub 7} is a potential material in photocatalysis.« less

  16. O-GlcNAc-mediated interaction between VER2 and TaGRP2 elicits TaVRN1 mRNA accumulation during vernalization in winter wheat

    PubMed Central

    Xiao, Jun; Xu, Shujuan; Li, Chunhua; Xu, Yunyuan; Xing, Lijing; Niu, Yuda; Huan, Qing; Tang, Yimiao; Zhao, Changping; Wagner, Doris; Gao, Caixia; Chong, Kang

    2014-01-01

    Vernalization, sensing of prolonged cold, is important for seasonal flowering in eudicots and monocots. While vernalization silences a repressor (FLC, MADS-box transcription factor) in eudicots, it induces an activator (TaVRN1, an AP1 clade MADS-box transcription factor) in monocots. The mechanism for TaVRN1 induction during vernalization is not well understood. Here we reveal a novel mechanism for controlling TaVRN1 mRNA accumulation in response to prolonged cold sensing in wheat. The carbohydrate-binding protein VER2, a jacalin lectin, promotes TaVRN1 upregulation by physically interacting with the RNA-binding protein TaGRP2. TaGRP2 binds to TaVRN1 pre-mRNA and inhibits TaVRN1 mRNA accumulation. The physical interaction between VER2 and TaGRP2 is controlled by TaGRP2 O-GlcNAc modification, which gradually increases during vernalization. The interaction between VER2 and O-GlcNAc-TaGRP2 reduces TaGRP2 protein accumulation in the nucleus and/or promotes TaGRP2 dissociation from TaVRN1, leading to TaVRN1 mRNA accumulation. Our data reveal a new mechanism for sensing prolonged cold in temperate cereals. PMID:25091017

  17. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  18. Effect of gate bias sweep rate on the threshold voltage of in-plane gate nanowire transistor

    NASA Astrophysics Data System (ADS)

    Liu, H. X.; Li, J.; Tan, R. R.

    2018-01-01

    In2O3 nanowire electric-double-layer (EDL) transistors with in-plane gate gated by SiO2 solid-electrolyte are fabricated on transparent glass substrates. The gate voltage sweep rates can effectively modulate the threshold voltage (Vth) of nanowire device. Both depletion mode and enhancement mode are realized, and the Vth shift of the nanowire transistors is estimated to be 0.73V (without light). This phenomenon is due to increased adsorption of oxygen on the nanowire surface by the slower gate voltage sweep rates. Adsorbed oxygens capture electrons and cause a surface of nanowire channel was depleted. The operation voltage of transistor was 1.0 V, because the EDL gate dielectric can lead to high gate dielectric capacitance. These transparent in-plane gate nanowire transistors are promising for “see-through” nanoscale sensors.

  19. A solid dielectric gated graphene nanosensor in electrolyte solutions.

    PubMed

    Zhu, Yibo; Wang, Cheng; Petrone, Nicholas; Yu, Jaeeun; Nuckolls, Colin; Hone, James; Lin, Qiao

    2015-03-23

    This letter presents a graphene field effect transistor (GFET) nanosensor that, with a solid gate provided by a high- κ dielectric, allows analyte detection in liquid media at low gate voltages. The gate is embedded within the sensor and thus is isolated from a sample solution, offering a high level of integration and miniaturization and eliminating errors caused by the liquid disturbance, desirable for both in vitro and in vivo applications. We demonstrate that the GFET nanosensor can be used to measure pH changes in a range of 5.3-9.3. Based on the experimental observations and quantitative analysis, the charging of an electrical double layer capacitor is found to be the major mechanism of pH sensing.

  20. Role of Al2O3 thin layer on improving the resistive switching properties of Ta5Si3-based conductive bridge random accesses memory device

    NASA Astrophysics Data System (ADS)

    Kumar, Dayanand; Aluguri, Rakesh; Chand, Umesh; Tseng, Tseung-Yuen

    2018-04-01

    Ta5Si3-based conductive bridge random access memory (CBRAM) devices have been investigated to improve their resistive switching characteristics for their application in future nonvolatile memory technology. Changes in the switching characteristics by the addition of a thin Al2O3 layer of different thicknesses at the bottom electrode interface of a Ta5Si3-based CBRAM devices have been studied. The double-layer device with a 1 nm Al2O3 layer has shown improved resistive switching characteristics over the single layer one with a high on/off resistance ratio of 102, high endurance of more than 104 cycles, and good retention for more than 105 s at the temperature of 130 °C. The higher thermal conductivity of Al2O3 over Ta5Si3 has been attributed to the enhanced switching properties of the double-layer devices.

  1. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    NASA Astrophysics Data System (ADS)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  2. An Al2O3 Gating Substrate for the Greater Performance of Field Effect Transistors Based on Two-Dimensional Materials

    PubMed Central

    Zheng, Xiaoming; Wang, Guang; Tan, Yuan; Zhang, Xueao

    2017-01-01

    We fabricated 70 nm Al2O3 gated field effect transistors based on two-dimensional (2D) materials and characterized their optical and electrical properties. Studies show that the optical contrast of monolayer graphene on an Al2O3/Si substrate is superior to that on a traditional 300 nm SiO2/Si substrate (2.4 times). Significantly, the transconductance of monolayer graphene transistors on the Al2O3/Si substrate shows an approximately 10-fold increase, due to a smaller dielectric thickness and a higher dielectric constant. Furthermore, this substrate is also suitable for other 2D materials, such as WS2, and can enhance the transconductance remarkably by 61.3 times. These results demonstrate a new and ideal substrate for the fabrication of 2D materials-based electronic logic devices. PMID:28937619

  3. Remote interfacial dipole scattering and electron mobility degradation in Ge field-effect transistors with GeO x /Al2O3 gate dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Xiaolei; Xiang, Jinjuan; Wang, Shengkai; Wang, Wenwu; Zhao, Chao; Ye, Tianchun; Xiong, Yuhua; Zhang, Jing

    2016-06-01

    Remote Coulomb scattering (RCS) on electron mobility degradation is investigated experimentally in Ge-based metal-oxide-semiconductor field-effect-transistors (MOSFETs) with GeO x /Al2O3 gate stacks. It is found that the mobility increases with greater GeO x thickness (7.8-20.8 Å). The physical origin of this mobility dependence on GeO x thickness is explored. The following factors are excluded: Coulomb scattering due to interfacial traps at GeO x /Ge, phonon scattering, and surface roughness scattering. Therefore, the RCS from charges in gate stacks is studied. The charge distributions in GeO x /Al2O3 gate stacks are evaluated experimentally. The bulk charges in Al2O3 and GeO x are found to be negligible. The density of the interfacial charge is  +3.2  ×  1012 cm-2 at the GeO x /Ge interface and  -2.3  ×  1012 cm-2 at the Al2O3/GeO x interface. The electric dipole at the Al2O3/GeO x interface is found to be  +0.15 V, which corresponds to an areal charge density of 1.9  ×  1013 cm-2. The origin of this mobility dependence on GeO x thickness is attributed to the RCS due to the electric dipole at the Al2O3/GeO x interface. This remote dipole scattering is found to play a significant role in mobility degradation. The discovery of this new scattering mechanism indicates that the engineering of the Al2O3/GeO x interface is key for mobility enhancement and device performance improvement. These results are helpful for understanding and engineering Ge mobility enhancement.

  4. Dielectric Properties of PMMA and its Composites with ZrO2

    NASA Astrophysics Data System (ADS)

    Sannakki, Basavaraja; Anita

    The polymer films of PMMA with different thickness and its composites with ZrO2 at various weight percentages but of same thickness have been studied. The determination of its dielectric properties, dielectric loss, a.conductivity and dielectric modulus were carried out using capacitance measurements of the above samples as a function of frequency, over the range 50 Hz - 5 MHz at room temperature. The films of PMMA and its composites have been characterized using X-Ray Diffractometer. The dielectric permittivity of films of PMMA behaves nonlinearly as frequency increases over the range 50-300 Hz, where as above 300 Hz the values of dielectric constant remains constant. But it is observed that the dielectric constant of PMMA increases as thickness of the film increases. In case of composite films of PMMA with ZrO2 the values of dielectric permittivity decreases gradually up to frequency of around 1 KHz and at higher frequencies it remains constant for all the weight percentages of ZrO2. The complex form of dielectric modulus of PMMA is obtained from the experimentally measured data of dielectric constant and dielectric loss values. The relaxation time of the orientation of dipoles is obtained from the peak value of angular frequency through the plots of imaginary part of electrical modulus as function of frequency. The impedance of PMMA polymer increases as thickness of the films increases. The a c conductivity of PMMA film remains constant up to frequency of 1 MHz and above. It shows a nonlinear phenomenon with peak values at frequency 4 MHz. Shape and size of the nanoparticles of composite film of PMMA with ZrO2 was analyzed by Field Emission Scanning Electron Microscope (FESEM).

  5. Impact of total ionizing dose irradiation on Pt/SrBi2Ta2O9/HfTaO/Si memory capacitors

    NASA Astrophysics Data System (ADS)

    Yan, S. A.; Zhao, W.; Guo, H. X.; Xiong, Y.; Tang, M. H.; Li, Z.; Xiao, Y. G.; Zhang, W. L.; Ding, H.; Chen, J. W.; Zhou, Y. C.

    2015-01-01

    In this work, metal-ferroelectric-insulator-semiconductor (MFIS) structure capacitors with SrBi2Ta2O9 (300 nm) as ferroelectric thin film and HfTaO (6 nm, 8 nm, 10 nm, and 12 nm) as insulating buffer layer were proposed and investigated. The prepared capacitors were fabricated and characterized before radiation and then subjected to 60Co gamma irradiation in steps of two dose levels. Significant irradiation-induced degradation of the electrical characteristics was observed. The radiation experimental results indicated that stability and reliability of as-fabricated MFIS capacitors for nonvolatile memory applications could become uncontrollable under strong irradiation dose and/or long irradiation time.

  6. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  7. Anomalous red luminescence of Sm3+ ions in Sm3+:LaKNaTaO5 single crystals

    NASA Astrophysics Data System (ADS)

    Korzeniowski, Kamil; Sobczyk, Marcin

    2018-05-01

    For the first time much more intense 4G5/2 → 6H9/2 transition than others 4G5/2→6HJ/2 transitions of the Sm3+-doped oxides have been observed. The Sm3+-doped LaKNaTaO5 single crystals have been grown by the flux growth method. The emission and excitation spectra as well as decay profiles of the 4G5/2 luminescent level of the Sm3+ ion have been measured. The decay curve has been fitted by the Inokuti-Hirayama energy transfer model which revealed that electric dipole-dipole interaction is responsible for the energy transfer processes in the Sm3+:LaKNaTaO5 single crystals. The title phosphors may be potentially used as red phosphor for white light-emitting diodes.

  8. Processing of Al2O3/SrTiO3/PDMS Composites With Low Dielectric Loss

    NASA Astrophysics Data System (ADS)

    Yao, J. L.; Guo, M. J.; Qi, Y. B.; Zhu, H. X.; Yi, R. Y.; Gao, L.

    2018-05-01

    Polydimethylsiloxane (PDMS) is widely used in the electrical and electronic industries due to its excellent electrical insulation and biocompatible characteristics. However, the dielectric constant of pure PDMS is very low which restricts its applications. Herein, we report a series of PDMS/Al2O3/strontium titanate (ST) composites with high dielectric constant and low loss prepared by a simple experimental method. The composites exhibit high dielectric constant (relative dielectric constant is 4) after the composites are coated with insulated Al2O3 particles, and the dielectric constant gets further improved for composites with ST particles (dielectric constant reaches 15.5); a lower dielectric loss (tanδ= 0.05) is also found at the same time which makes co-filler composites suitable for electrical insulation products, and makes the experimental method more interesting in modern teaching.

  9. Preparation and Dielectric Measurements of the Rare Earth Green Phases R2BaCuO(5-x) (R = Y, Sm, Gd, Dy, Ho, Er, Yb)

    NASA Technical Reports Server (NTRS)

    Gonzalez-Titman, Carlos

    1994-01-01

    It has been demonstrated that R2BaCuO(5-x) (R = Y, Sm, Gd, Dy, Ho, Er, Yb) does not undergo significant densification unless the sintering temperatures are near the incongruent melting point or the sintering times are long. Good quality powders of Y2BaCuO(5-x) have been synthesized by using oxide raw materials or precursors such as acetates and nitrates. The acetates- and the nitrates-derived yttrium green phase resulted in finer particle sizes, acceptable dielectric properties and lower melting temperatures than those processed via oxide raw materials. The hot pressing technique has been employed to produce a dense R2BaCuO(5-x) (R=Y,Gd) substrate with satisfactory dielectric properties. Reactivity to reducing conditions, i.e. graphite die, limited the optimization of the properties. A high sensitivity to the annealing atmosphere has been demonstrated in Y2BaCuO,.,,. Oxygen treatment at 950 OC has been shown to improve the dielectric properties while treatment in nitrogen, at the same temperature, degraded desirable properties. A high sensitivity to the annealing atmosphere has been demonstrated in Y2BaCuO(5-x). Oxygen treatment at 950 C has been shown to improve the dielectric properties while treatment in nitrogen, at the same temperature, degraded desirable properties. The dielectric constants of the rare earth green phases R2BaCuO(5-x) were found to be low. Relaxation peaks were detected at low temperatures (T less than 150 K) and at high temperatures (150 less than T greater than 420 K). The dielectric losses and conductivities at 77 K were measured to be in the range of 10(exp -4) and 10(exp -12) (Omega-cm)(exp -1), respectively. Many parameters were found to exhibit dependencies on the rare earth cation sizes.

  10. Efficient Visible-Light-Driven Z-Scheme Overall Water Splitting Using a MgTa2O(6-x)N(y)/TaON Heterostructure Photocatalyst for H2 Evolution.

    PubMed

    Chen, Shanshan; Qi, Yu; Hisatomi, Takashi; Ding, Qian; Asai, Tomohiro; Li, Zheng; Ma, Su Su Khine; Zhang, Fuxiang; Domen, Kazunari; Li, Can

    2015-07-13

    An (oxy)nitride-based heterostructure for powdered Z-scheme overall water splitting is presented. Compared with the single MgTa2O(6-x)N(y) or TaON photocatalyst, a MgTa2O(6-x)N(y)/TaON heterostructure fabricated by a simple one-pot nitridation route was demonstrated to effectively suppress the recombination of carriers by efficient spatial charge separation and decreased defect density. By employing Pt-loaded MgTa2O(6-x)N(y)/TaON as a H2-evolving photocatalyst, a Z-scheme overall water splitting system with an apparent quantum efficiency (AQE) of 6.8% at 420 nm was constructed (PtO(x)-WO3 and IO3(-)/I(-) pairs were used as an O2-evolving photocatalyst and a redox mediator, respectively), the activity of which is circa 7 or 360 times of that using Pt-TaON or Pt-MgTa2O(6-x)N)y) as a H2-evolving photocatalyst, respectively. To the best of our knowledge, this is the highest AQE among the powdered Z-scheme overall water splitting systems ever reported. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Optical phonon characteristics of an orthorhombic-transformed polymorph of CaTa2O6 single crystal fibre

    NASA Astrophysics Data System (ADS)

    Almeida, R. M.; Andreeta, M. R. B.; Hernandes, A. C.; Dias, A.; Moreira, R. L.

    2014-03-01

    Infrared-reflectivity spectroscopy and micro-Raman scattering were used to determine the optical phonon features of orthorhombic calcium tantalite (CaTa2O6) single crystal fibres. The fibres, obtained by the Laser-Heated Pedestal Growth method, grew into an ordered cubic structure \\left( Pm\\bar{3} \\right). Long-time annealing was used to induce a polymorphic transformation to an aeschynite orthorhombic structure (Pnma space group). The phase transformation led to the appearance of structural domains and micro-cracks, responsible for diffuse scattering and depolarization of the scattered light in the visible range, but not in the infrared region. Thus, polarized infrared spectroscopy could be performed within oriented single domains, with an appropriate microscope, allowing us to determine all relevant polar phonons of the orthorhombic CaTa2O6. The obtained phononic dielectric response, {{\\epsilon }_{r}} = 22.4 and = 86 × 103 GHz, shows the appropriateness of the material for microwave applications. Totally symmetric Raman modes could be resolved by polarization, after re-polishing the cracked sample surface.

  12. Mo and P co-doped Ba5Ta4O15 for hydrogen evolution under solar light

    NASA Astrophysics Data System (ADS)

    Li, Songjie; Cao, Wenbo; Wang, Chengduo; Du, Xueshan; Lu, Shufen

    2018-07-01

    Based on density functional calculations, Mo and P co-doped Ba5Ta4O15 compared with their mono-doping was studied for splitting water. The results showed that Mo-P co-doping significantly reduced the energy gap of Ba5Ta4O15 from 4.05 eV to 2.15 eV, being almost the optimum value for utilizing solar energy as much as possible. The top of valence band and the bottom of conduction band are both compatible with the oxidation-reduction potentials of water. More importantly, Mo-P co-doping prevents the filled spin-down states of Mo and the empty spin-down states of P from arising due to the charge compensation of Mo-P pairs. We propose that Mo-P co-doped Ba5Ta4O15 is one of the most promising photocatalyst candidates for solar water splitting.

  13. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks

    NASA Astrophysics Data System (ADS)

    Ritzenthaler, R.; Schram, T.; Bury, E.; Spessot, A.; Caillat, C.; Srividya, V.; Sebaai, F.; Mitard, J.; Ragnarsson, L.-Å.; Groeseneken, G.; Horiguchi, N.; Fazan, P.; Thean, A.

    2013-06-01

    In this work, the possibility of integration of High-k/Metal Gate (HKMG), Replacement Metal Gate (RMG) gate stacks for low power DRAM compatible transistors is studied. First, it is shown that RMG gate stacks used for Logic applications need to be seriously reconsidered, because of the additional anneal(s) needed in a DRAM process. New solutions are therefore developed. A PMOS stack HfO2/TiN with TiN deposited in three times combined with Work Function metal oxidations is demonstrated, featuring a very good Work Function of 4.95 eV. On the other hand, the NMOS side is shown to be a thornier problem to solve: a new solution based on the use of oxidized Ta as a diffusion barrier is proposed, and a HfO2/TiN/TaOX/TiAl/TiN/TiN gate stack featuring an aggressive Work Function of 4.35 eV (allowing a Work Function separation of 600 mV between NMOS and PMOS) is demonstrated. This work paves the way toward the integration of gate-last options for DRAM periphery transistors.

  14. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  15. Use of a hard mask for formation of gate and dielectric via nanofilament field emission devices

    DOEpatents

    Morse, Jeffrey D.; Contolini, Robert J.

    2001-01-01

    A process for fabricating a nanofilament field emission device in which a via in a dielectric layer is self-aligned to gate metal via structure located on top of the dielectric layer. By the use of a hard mask layer located on top of the gate metal layer, inert to the etch chemistry for the gate metal layer, and in which a via is formed by the pattern from etched nuclear tracks in a trackable material, a via is formed by the hard mask will eliminate any erosion of the gate metal layer during the dielectric via etch. Also, the hard mask layer will protect the gate metal layer while the gate structure is etched back from the edge of the dielectric via, if such is desired. This method provides more tolerance for the electroplating of a nanofilament in the dielectric via and sharpening of the nanofilament.

  16. Colossal Dielectric Behavior of Ga+Nb Co-Doped Rutile TiO2.

    PubMed

    Dong, Wen; Hu, Wanbiao; Berlie, Adam; Lau, Kenny; Chen, Hua; Withers, Ray L; Liu, Yun

    2015-11-18

    Stimulated by the excellent colossal permittivity (CP) behavior achieved in In+Nb co-doped rutile TiO2, in this work we investigate the CP behavior of Ga and Nb co-doped rutile TiO2, i.e., (Ga(0.5)Nb(0.5))(x)Ti(1-x)O2, where Ga(3+) is from the same group as In(3+) but with a much smaller ionic radius. Colossal permittivity of up to 10(4)-10(5) with an acceptably low dielectric loss (tan δ = 0.05-0.1) over broad frequency/temperature ranges is obtained at x = 0.5% after systematic synthesis optimizations. Systematic structural, defect, and dielectric characterizations suggest that multiple polarization mechanisms exist in this system: defect dipoles at low temperature (∼10-40 K), polaronlike electron hopping/transport at higher temperatures, and a surface barrier layer capacitor effect. Together these mechanisms contribute to the overall dielectric properties, especially apparent observed CP. We believe that this work provides comprehensive guidance for the design of new CP materials.

  17. Structural Stability of Diffusion Barriers in Cu/Ru/MgO/Ta/Si

    PubMed Central

    Hsieh, Shu-Huei; Chen, Wen Jauh; Chien, Chu-Mo

    2015-01-01

    Various structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si were prepared by sputtering and electroplating techniques, in which the ultra-thin trilayer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is used as the diffusion barrier against the interdiffusion between Cu film and Si substrate. The various structures of Cu/Ru/MgO/Ta/Si were characterized by four-point probes for their sheet resistances, by X-ray diffractometers for their crystal structures, by scanning electron microscopes for their surface morphologies, and by transmission electron microscopes for their cross-section and high resolution views. The results showed that the ultra-thin tri-layer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is an effective diffusion barrier against the interdiffusion between Cu film and Si substrate. The MgO, and Ta layers as deposited are amorphous. The mechanism for the failure of the diffusion barrier is that the Ru layer first became discontinuous at a high temperature and the Ta layer sequentially become discontinuous at a higher temperature, the Cu atoms then diffuse through the MgO layer and to the substrate at the discontinuities, and the Cu3Si phases finally form. The maximum temperature at which the structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si are annealed and still have low sheet resistance is from 550 to 750 °C for the annealing time of 5 min and from 500 to 700 °C for the annealing time of 30 min. PMID:28347099

  18. Impedance spectroscopy of V2O5-Bi2O3-BaTiO3 glass-ceramics

    NASA Astrophysics Data System (ADS)

    Al-syadi, Aref M.; Yousef, El Sayed; El-Desoky, M. M.; Al-Assiri, M. S.

    2013-12-01

    The glasses within composition as: (80 - x)V2O5/20Bi2O3/xBaTiO3 with x = 2.5, 5, 7.5 and 10 mol% have been prepared. The glass transition (Tg) increases with increasing BaTiO3 content. Synthesized glasses ceramic containing BaTi4O9, Ba3TiV4O15 nanoparticles of the order of 25-35 nm and 30-46 nm, respectively were estimated using XRD. The dielectric properties over wide ranges of frequencies and temperatures were investigated as a function of BaTiO3 content by impedance spectroscopy measurements. The hopping frequency, ωh, dielectric constant, ɛ', activation energies for the DC conduction, Eσ, the relaxation process, Ec, and stretched exponential parameter β of the glasses samples have been estimated. The, ωh,β, decrease from 51.63 to 0.31 × 106 (s-1), 0.84 to 0.79 with increasing BaTiO3 respectively. Otherwise, the Eσ, increase from 0.279 to 0.306 eV with increasing BaTiO3. The value of dielectric constant equal 9.5·103 for the 2.5BaTiO3/77.5V2O5/20Bi2O3 glasses-ceramic at 330 K for 1 KHz which is ten times larger than that of same glasses composition. Finally the relaxation properties of the investigated glasses are presented in the electric modulus formalism, where the relaxation time and the respective activation energy were determined.

  19. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    NASA Astrophysics Data System (ADS)

    Roeckerath, M.; Lopes, J. M. J.; Özben, E. Durǧun; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D. G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of <1 nA/cm2. Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated with a gate-last process. The devices show inverse subthreshold slopes of 80 mV/dec and a carrier mobility for electrons of 225 cm2/V•s was extracted.

  20. Performance investigation of bandgap, gate material work function and gate dielectric engineered TFET with device reliability improvement

    NASA Astrophysics Data System (ADS)

    Raad, Bhagwan Ram; Nigam, Kaushal; Sharma, Dheeraj; Kondekar, P. N.

    2016-06-01

    This script features a study of bandgap, gate material work function and gate dielectric engineering for enhancement of DC and Analog/RF performance, reduction in the hot carriers effect (HCEs) and drain induced barrier lowering (DIBL) for better device reliability. In this concern, the use of band gap and gate material work function engineering improves the device performance in terms of the ON-state current and suppressed ambipolar behaviour with maintaining the low OFF-state current. With these advantages, the use of gate material work function engineering imposes restriction on the high frequency performance due to increment in the parasitic capacitances and also introduces the hot carrier effects. Hence, the gate dielectric engineering with bandgap and gate material work function engineering are used in this paper to overcome the cons of the gate material work function engineering by obtaining a superior performance in terms of the current driving capability, ambipolar conduction, HCEs, DIBL and high frequency parameters of the device for ultra-low power applications. Finally, the optimization of length for different work function is performed to get the best out of this.

  1. Effect of NiO and Light Intensity on Dielectric Constant of SiO2-B2O3-Bi2O3-Na2CO3 Glass Based on Silica Gel of Natural Sands

    NASA Astrophysics Data System (ADS)

    Diantoro, M.; Muniroh, Z.; Zaini, B.; Mustikasari, A. A.; Nasikhudin; Hidayat, A.; Taufiq, A.; Sunaryono; Mufti, N.

    2017-05-01

    The use of silica in various fields is significantly increasing. One common application is silica based functional glass which has naturally show specific dielectric, optical, and magnetic properties. Many studies have been performing to explore the influence of dopant, composition, and other processing parameters as well as employing various characterization. In the previous work, we report the use of silica from silica sands. To reduce the melting temperature, we used silica sol-gel beside the utilization of some oxides such as B2O3, Na2CO3, and Bi3O3. We also used NiO as dopant explore the glass properties. We have prepared a series of sample with the composition of 50SiO2-25B2O3-(6.5-x) Bi3O3-18.5 Na2CO3-xNiO (x = 0, 1, 2, 3 and 4 wt%). After weighting process, the composition was blended, then heated to 450 °C for 120 minutes and then raised at 950 °C for 60 minutes in the crucible. Then samples of glass separated from the crucible and in the characterization of the structure using the DTA, XRD, SEM-EDAX and FTIR and measuring dielectric constant using a capacitance meter. The increase of NiO dopant resulted in increasing the dielectric constant of glass. On the other hand, the dielectric constant gradually decreases with the increase of light intensity. One can be noted that the applied intensity give rise to the step-like decrease of the dielectric constant. Whereas, the increasing magnetic field indicate the increase of dielectric constant.

  2. Electrical Characterization of Semiconductor and Dielectric Materials with a Non-Damaging FastGateTM Probe

    NASA Astrophysics Data System (ADS)

    Robert, Hillard; William, Howland; Bryan, Snyder

    2002-03-01

    Determination of the electrical properties of semiconductor materials and dielectrics is highly desirable since these correlate best to final device performance. The properties of SiO2 and high k dielectrics such as Equivalent Oxide Thickness(EOT), Interface Trap Density(Dit), Oxide Effective Charge(Neff), Flatband Voltage Hysteresis(Delta Vfb), Threshold Voltage(VT) and, bulk properties such as carrier density profile and channel dose are all important parameters that require monitoring during front end processing. Conventional methods for determining these parameters involve the manufacturing of polysilicon or metal gate MOS capacitors and subsequent measurements of capacitance-voltage(CV) and/or current-voltage(IV). These conventional techniques are time consuming and can introduce changes to the materials being monitored. Also, equivalent circuit effects resulting from excessive leakage current, series resistance and stray inductance can introduce large errors in the measured results. In this paper, a new method is discussed that provides rapid determination of these critical parameters and is robust against equivalent circuit errors. This technique uses a small diameter(30 micron), elastically deformed probe to form a gate for MOSCAP CV and IV and can be used to measure either monitor wafers or test areas within scribe lines on product wafers. It allows for measurements of dielectrics thinner than 10 Angstroms. A detailed description and applications such as high k dielectrics, will be presented.

  3. Electrical properties of solution processed highly transparent ZnO TFT with organic gate dielectric

    NASA Astrophysics Data System (ADS)

    Pandya, Nirav C.; Joshi, Nikhil G.; Trivedi, U. N.; Joshi, U. S.

    2013-02-01

    All oxide thin film transistors (TFT) with zinc oxide active layer were fabricated by chemical solution deposition (CSD) using aqueous solutions on glass substrate. Thin film transistors (TFTs) with amorphous zinc oxide as channel layers and poly-vinyl alcohol as dielectric layers were fabricated at low temperatures by chemical solution deposition (CSD). Atomic force microscopy (AFM) confirmed nano grain size with fairly smooth surface topography. Very small leakage currents were achieved in the transfer curves, while soft saturation was observed in the output current voltage (I-V) characteristics of the device. Optical transmission of better than 87% in the visible region was estimated, which is better than the organic gate insulator based ZnO TFTs reported so far. Our results offer lot of promise to TFT based display and optoelectronics.

  4. Enhancement of thermal stability and water resistance in yttrium-doped GeO{sub 2}/Ge gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Hyun Lee, Choong; Zhang, Wenfeng

    2014-03-03

    We have systematically investigated the material and electrical properties of yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) on Germanium (Ge). A significant improvement of both thermal stability and water resistance were demonstrated by Y-GeO{sub 2}/Ge stack, compared to that of pure GeO{sub 2}/Ge stack. The excellent electrical properties of Y-GeO{sub 2}/Ge stacks with low D{sub it} were presented as well as enhancement of dielectric constant in Y-GeO{sub 2} layer, which is beneficial for further equivalent oxide thickness scaling of Ge gate stack. The improvement of thermal stability and water resistance are discussed both in terms of the Gibbs free energy lowering andmore » network modification of Y-GeO{sub 2}.« less

  5. Preparation and characterisation of isotopically enriched Ta2O5 targets for nuclear astrophysics studies

    NASA Astrophysics Data System (ADS)

    Caciolli, A.; Scott, D. A.; Di Leva, A.; Formicola, A.; Aliotta, M.; Anders, M.; Bellini, A.; Bemmerer, D.; Broggini, C.; Campeggio, M.; Corvisiero, P.; Depalo, R.; Elekes, Z.; Fülöp, Zs.; Gervino, G.; Guglielmetti, A.; Gustavino, C.; Gyürky, Gy.; Imbriani, G.; Junker, M.; Marta, M.; Menegazzo, R.; Napolitani, E.; Prati, P.; Rigato, V.; Roca, V.; Rolfs, C.; Rossi Alvarez, C.; Somorjai, E.; Salvo, C.; Straniero, O.; Strieder, F.; Szücs, T.; Terrasi, F.; Trautvetter, H. P.; Trezzi, D.

    2012-10-01

    The direct measurement of reaction cross-sections at astrophysical energies often requires the use of solid targets of known thickness, isotopic composition, and stoichiometry that are able to withstand high beam currents for extended periods of time. Here, we report on the production and characterisation of isotopically enriched Ta2O5 targets for the study of proton-induced reactions at the Laboratory for Underground Nuclear Astrophysics facility of the Laboratori Nazionali del Gran Sasso. The targets were prepared by anodisation of tantalum backings in enriched water (up to 66% in 17O and up to 96% in 18O. Special care was devoted to minimising the presence of any contaminants that could induce unwanted background reactions with the beam in the energy region of astrophysical interest. Results from target characterisation measurements are reported, and the conclusions for proton capture measurements with these targets are drawn.

  6. Giant dielectric constant dominated by Maxwell-Wagner relaxation in Al{sub 2}O{sub 3}/TiO{sub 2} nanolaminates synthesized by atomic layer deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, W.; Auciello, O.; Premnath, R. N.

    2010-01-01

    Nanolaminates consisting of Al{sub 2}O{sub 3} and TiO{sub 2} oxide sublayers were synthesized by using atomic layer deposition to produce individual layers with atomic scale thickness control. The sublayer thicknesses were kept constant for each multilayer structure, and were changed from 50 to 0.2 nm for a series of different samples. Giant dielectric constant ({approx}1000) was observed when the sublayer thickness is less than 0.5 nm, which is significantly larger than that of Al{sub 2}O{sub 3} and TiO{sub 2} dielectrics. Detailed investigation revealed that the observed giant dielectric constant is originated from the Maxwell-Wagner type dielectric relaxation.

  7. Yb:Lu2SiO5 crystal : characterization of the laser emission along the three dielectric axes

    NASA Astrophysics Data System (ADS)

    Toci, Guido; Pirri, Angela; Beitlerova, Alena; Shoji, Yasuhiro; Yoshikawa, Akira; Hybler, Jiri; Nikl, Martin; Vannini, Matteo

    2015-05-01

    Yb:doped Lu2SiO5 (Lutetium orthosilicate, LSO) is an optically biaxial crystal with laser emission in the range 1000- 1100 nm. It features different absorption and emission spectra for polarization along its three dielectric axes. In this work we have characterized the laser emission properties of Yb:LSO along all the three dielectric axis, evidencing differences that can be exploited in the design of ultrafast laser sources. The material was tested in a longitudinally pumped laser cavity. The laser emission efficiency was found similar along all the three dielectric axes, with slope efficiencies around 90% in most cases. Regarding the tuning range, for the most favourable polarization direction we obtained a continuously tunable emission between 993 and 1088 nm (i. e. 95 nm) peaked at 1040 nm. The tuning curves along the three dielectric axes spanned similar ranges but with relevant differences in the shape.

  8. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    NASA Astrophysics Data System (ADS)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  9. Enhanced switching stability in Ta2O5 resistive RAM by fluorine doping

    NASA Astrophysics Data System (ADS)

    Sedghi, N.; Li, H.; Brunell, I. F.; Dawson, K.; Guo, Y.; Potter, R. J.; Gibbon, J. T.; Dhanak, V. R.; Zhang, W. D.; Zhang, J. F.; Hall, S.; Robertson, J.; Chalker, P. R.

    2017-08-01

    The effect of fluorine doping on the switching stability of Ta2O5 resistive random access memory devices is investigated. It shows that the dopant serves to increase the memory window and improve the stability of the resistive states due to the neutralization of oxygen vacancies. The ability to alter the current in the low resistance state with set current compliance coupled with large memory window makes multilevel cell switching more favorable. The devices have set and reset voltages of <1 V with improved stability due to the fluorine doping. Density functional modeling shows that the incorporation of fluorine dopant atoms at the two-fold O vacancy site in the oxide network removes the defect state in the mid bandgap, lowering the overall density of defects capable of forming conductive filaments. This reduces the probability of forming alternative conducting paths and hence improves the current stability in the low resistance states. The doped devices exhibit more stable resistive states in both dc and pulsed set and reset cycles. The retention failure time is estimated to be a minimum of 2 years for F-doped devices measured by temperature accelerated and stress voltage accelerated retention failure methods.

  10. The role of nitrogen doping in ALD Ta2O5 and its influence on multilevel cell switching in RRAM

    NASA Astrophysics Data System (ADS)

    Sedghi, N.; Li, H.; Brunell, I. F.; Dawson, K.; Potter, R. J.; Guo, Y.; Gibbon, J. T.; Dhanak, V. R.; Zhang, W. D.; Zhang, J. F.; Robertson, J.; Hall, S.; Chalker, P. R.

    2017-03-01

    The role of nitrogen doping on the stability and memory window of resistive state switching in N-doped Ta2O5 deposited by atomic layer deposition is elucidated. Nitrogen incorporation increases the stability of resistive memory states which is attributed to neutralization of electronic defect levels associated with oxygen vacancies. The density functional simulations with the screened exchange hybrid functional approximation show that the incorporation of nitrogen dopant atoms in the oxide network removes the O vacancy midgap defect states, thus nullifying excess defects and eliminating alternative conductive paths. By effectively reducing the density of vacancy-induced defect states through N doping, 3-bit multilevel cell switching is demonstrated, consisting of eight distinctive resistive memory states achieved by either controlling the set current compliance or the maximum voltage during reset. Nitrogen doping has a threefold effect: widening the switching memory window to accommodate the more intermediate states, improving the stability of states, and providing a gradual reset for multi-level cell switching during reset. The N-doped Ta2O5 devices have relatively small set and reset voltages (< 1 V) with reduced variability due to doping.

  11. Kinetics of Ta ions penetration into porous low-k dielectrics under bias-temperature stress

    NASA Astrophysics Data System (ADS)

    He, Ming; Ou, Ya; Wang, Pei-I.; Lu, Toh-Ming

    2010-05-01

    It is known that Ta, a popular diffusion barrier material, can itself penetrate into low-k dielectrics under bias-temperature stress. In this work, we derived a model which directly correlates the diffusivity of Ta ions to the rate of flatband voltage shift (FBS) of the Ta/methyl silsesquixane (MSQ)/Si capacitors. From our experimentally measured constant FBS rate, the Ta diffusivity and activation energy were determined. It appears that an increase in the porosity of MSQ film enhances the Ta diffusivity but does not affect the associated activation energy. This suggests the Ta ion diffusion is mainly through interconnected pore surfaces.

  12. Effect of dielectric stoichiometry and interface chemical state on band alignment between tantalum oxide and platinum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lebedinskii, Yu. Yu.; National Research Nuclear University MEPhI; Chernikova, A. G.

    2015-10-05

    The tantalum oxide–platinum interface electronic properties determined by X-ray photoelectron spectroscopy are found to depend on the dielectric stoichiometry and platinum chemical state. We demonstrate the slow charging of the tantalum oxide in cases of Ta{sub 2}O{sub 5}/Pt and Ta{sub 2}O{sub 5−y}/Pt interfaces under the X-ray irradiation. This behavior is proposed to be related to the charge accumulation at oxygen vacancies induced traps. Based on the proposed methodology, we define the intrinsic conductive band offset (CBO) ∼1.3 eV (both for Ta{sub 2}O{sub 5}/Pt and Ta{sub 2}O{sub 5−y}/Pt) and CBO after the full saturation of the traps charging ∼0.5 eV, while the lastmore » one defines the energy position of charged traps below the bottom of conduction band. We demonstrate also the pining at the both Ta{sub 2}O{sub 5}/Pt and Ta{sub 2}O{sub 5−y}/Pt interfaces even in the “intrinsic” state, apparently induced by the presence of additional interfacial states. No shifts of Ta4f line and band alignment in over stoichiometric Ta{sub 2}O{sub 5+x}/Pt structure during X-ray irradiation, as well as the absence of pinning, resulting in increase of CBO up to 2.3 eV are found. This behavior is related to the PtO{sub 2} interfacing layer formation at Ta{sub 2}O{sub 5+x}/Pt, blocking the charging of the surface states and associated dipole formation.« less

  13. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  14. Rietveld refinement and dielectric properties of (Na{sub 0.5}Bi{sub 0.5}TiO{sub 3})-(Bi{sub 0.8}Ba{sub 0.2}FeO{sub 3}) ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaswan, Kavita, E-mail: kaswan.kavita@gmail.com; Agarwal, Ashish; Sanghi, Sujata

    2015-06-24

    (1-x)(Na{sub 0.5}Bi{sub 0.5}TiO{sub 3})-x(Bi{sub 0.8}Ba{sub 0.2}FeO{sub 3}) lead free ceramics (NBT, NBT-BBFO; x = 0.0, 0.1 respectively) have been synthesized by conventional solid state reaction method. Crystalline phase of sintered ceramics was investigated at room temperature using X-ray diffraction. Rietveld refinement of XRD data performed by FullProf revealed that both the samples exhibited rhombohedral structure with R3c space group. Dielectric properties of these ceramics were studied at different temperatures in a wide frequency range using impedance analyzer. Dielectric constant and dielectric loss were found to be increase with increase of BBFO content. The prepared ceramics exhibit a broad maximum inmore » dielectric permittivity at 593K and dispersive permittivity at high temperatures. The NBT-BBFO sample shows a relaxor ferroelectric behavior at different frequencies.« less

  15. Comparative Dielectric and Ferroelectric Characteristics of Bi0.5Na0.5TiO3, CaCu3Ti4O12, and 0.5Bi0.5Na0.5TiO3-0.5CaCu3Ti4O12 Electroceramics

    NASA Astrophysics Data System (ADS)

    Singh, Laxman; Yadava, Shiva Sundar; Sin, Byung Cheol; Rai, Uma Shanker; Mandal, K. D.; Lee, Youngil

    2016-06-01

    The dielectric and ferroelectric characteristics of Bi0.5Na0.5TiO3 (BNT), CaCu3Ti4O12 (CCTO), and 0.5Bi0.5Na0.5TiO3-0.5CaCu3Ti4O12 (BNT/CCTO) ceramics are compared. X-ray diffraction patterns confirmed the formation of single phase of all the ceramics after sintering at 950°C for 15 h. Scanning electron microscopy images of the sintered ceramics reveal average grain sizes in the range from 200 nm to 2.5 μm. Energy-dispersive x-ray mapping and x-ray photoelectron spectroscopy show the presence of the elements Bi, Na, Ca, Cu, Ti, and O with uniform distribution in the ceramics. BNT/CCTO exhibits high dielectric constant ( ɛ r ˜ 6.9 × 104) compared with BNT ( ɛ r ˜ 0.13 × 104) and CCTO ( ɛ r ˜ 1.68 × 104) ceramics at 1 kHz and 503 K. The high dielectric constant of BNT/CCTO compared with BNT and CCTO is associated with a major contribution from grain boundaries, as confirmed by impedance and modulus analyses. The P- E hysteresis loop of all the ceramics measured at room temperature and 50°C exhibited typical ferroelectric nature. The remanent polarization ( P r) of BNT (1.58 μC/cm2) and CCTO (0.654 μC/cm2) ceramics are higher than that of BNT/CCTO (0.267 μC/cm2) ceramic.

  16. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    PubMed

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  17. An Investigation of Dielectric, Piezoelectric Properties and Microstructures of Bi0.5Na0.5TiO3-BaTiO3-Bi0.5K0.5TiO3 Lead-Free Piezoelectric Ceramics Doped with K2AlNbO5 Compound

    NASA Astrophysics Data System (ADS)

    Liu, Gang; Jiang, Wentao; Liu, Kaihua; Liu, Xiaokui; Song, Chunlin; Yan, Yan; Jin, Li

    2017-08-01

    The effect of K2AlNbO5 compound acting as both donor and accepter on the phase, microstructures and electrical properties of the 0.9362(Bi0.5Na0.5)TiO3-0.0637BaTiO3-0.02(Bi0.5K0.5)TiO3 [(1- x)(0.9163BNT-0.0637BT-0.02BKT)- x(K2AlNbO5)] (BNKBT-1000 xKAN) ternary lead-free piezoelectric ceramics was systematically investigated. When doping content of K2AlNbO5 was varied from 0 to 0.009, the BNKBT-1000 xKAN ceramics showed a single perovskite structure, and the phase structure transferred from a rhombohedral-tetragonal coexistent morphotropic phase boundaries zone to a tetragonal zone. The x-ray photoelectron spectroscopy analysis indicated that the chemical valence of the Nb and Al element are 5+ and 3+, respectively. Strong relaxor characteristics were revealed by the temperature-dependent dielectric properties of the ceramics. Typical square polarization-electric field ( P- E) hysteresis loops were observed in the samples with doping content lower than 0.005. However, with further increasing the doping content ( x = 0.007 and 0.009), round P- E hysteresis loops were observed due to the high conductivity of these samples. Moreover, when the doping content was less than 0.005, the ceramic samples exhibited good piezoelectric properties. Specially, when the doping content was 0.001, the piezoelectric constant d 33 and electromechanical coupling coefficient k p of the sample were 197 pC/N and 22%, respectively. However, further addition would deteriorate both the dielectric and piezoelectric properties.

  18. Structural, dielectric and ferroelectric studies of BZT doped Mg0.2Cu0.3Zn0.5Fe2O4 magnetoelectric composites

    NASA Astrophysics Data System (ADS)

    Khader, S. Abdul; Parveez, Asiya; Giridharan, N. V.; Sankarappa, T.

    2018-05-01

    The composites of ferrite-ferroelectric system (x) Mg0.2Cu0.3Zn0.5Fe2O4+ (1-x) Ba0.8Zr0.2TiO3 (x=15%, 30%, 45%) were synthesized by sintering mixtures of ferroelectric Ba0.8Zr0.2TiO3 (BZT) and ferrite component Mg0.2Cu0.3Zn0.5Fe2O4 (MCZF). The presences of two phases in magneto-electric composites were probed by X-ray diffraction (XRD) studies. The peaks observed in the XRD spectrum indicated spinel cubic structure for MCZF ferrite and tetragonal perovskite structure for BZT and, both spinel and pervoskite structures for synthesized composites. Surface morphology of the samples has been investigated using Field Emission Scanning Electron Microscope (FESEM). Frequency dependent dielectric properties of synthesized composites were measured from 100 Hz to 1 MHz at RT using HIOKI LCR HI-TESTER. The dielectric dispersion is observed at lower frequencies for the synthesized ME composites. The ferroelectric properties of synthesized composites were analyzed using a Precision ferroelectric tester. It is observed that the composites exhibited ferroelectric hysteresis with wide loops indicating lossy nature of composites.

  19. ALD TiO x as a top-gate dielectric and passivation layer for InGaZnO115 ISFETs

    NASA Astrophysics Data System (ADS)

    Pavlidis, S.; Bayraktaroglu, B.; Leedy, K.; Henderson, W.; Vogel, E.; Brand, O.

    2017-11-01

    The suitability of atomic layer deposited (ALD) titanium oxide (TiO x ) as a top gate dielectric and passivation layer for indium gallium zinc oxide (InGaZnO115) ion sensitive field effect transistors (ISFETs) is investigated. TiO x is an attractive barrier material, but reports of its use for InGaZnO thin film transistor (TFT) passivation have been conflicting thus far. In this work, it is found that the passivated TFT’s behavior depends on the TiO x deposition temperature, affecting critical device characteristics such as threshold voltage, field-effect mobility and sub-threshold swing. An O2 annealing step is required to recover TFT performance post passivation. It is also observed that the positive bias stress response of the passivated TFTs improves compared the original bare device. Secondary ion mass spectroscopy excludes the effects of hydrogen doping and inter-diffusion as sources of the temperature-dependent performance change, therefore indicating that oxygen gettering induced by TiO x passivation is the likely source of oxygen vacancies and, consequently, carriers in the InGaZnO film. It is also shown that potentiometric sensing using ALD TiO x exhibits a near Nernstian response to pH change, as well as minimizes V TH drift in TiO x passivated InGaZnO TFTs immersed in an acidic liquid. These results add to the understanding of InGaZnO passivation effects and underscore the potential for low-temperature fabricated InGaZnO ISFETs to be used as high-performance mobile chemical sensors.

  20. Possible origin of nonlinear conductivity and large dielectric constant in the commensurate charge-density-wave phase of 1 T -TaS2

    NASA Astrophysics Data System (ADS)

    Ma, Yongchang; Hou, Yanhui; Lu, Cuimin; Li, Lijun; Petrovic, Cedomir

    2018-05-01

    The electric field dependence of the dielectric properties and the nonlinear conductance of 1 T -TaS2 below 50 K has been investigated. A large dielectric constant of about 104 is obtained up to 107 Hz, which cannot be attributed to hopping of the localized carriers alone, the collective excitations of the commensurate charge-density-wave must be another contributor. The dielectric spectra disperse slightly in our measured temperature and frequency range. At a moderate dc bias field, the real part of the dielectric constant ɛ1(ω ) decreases. We propose that the separation of bound soliton-antisoliton pairs may be a contributor to the reduction of ɛ1(ω ) and the accompanying nonlinear conductivity with increasing dc bias.

  1. First-principles study on leakage current caused by oxygen vacancies at HfO2/SiO2/Si interface

    NASA Astrophysics Data System (ADS)

    Takagi, Kensuke; Ono, Tomoya

    2018-06-01

    The relationship between the position of oxygen vacancies in HfO2/SiO2/Si gate stacks and the leakage current is studied by first-principles electronic-structure and electron-conduction calculations. We find that the increase in the leakage current due to the creation of oxygen vacancies in the HfO2 layer is much larger than that in the SiO2 interlayer. According to previous first-principles total energy calculations, the formation energy of oxygen vacancies is smaller in the SiO2 interlayer than that in the HfO2 layer under the same conditions. Therefore, oxygen vacancies will be attracted from the SiO2 interlayer to minimize the energy, thermodynamically justifying the scavenging technique. Thus, the scavenging process efficiently improves the dielectric constant of HfO2-based gate stacks without increasing the number of oxygen vacancies, which cause the dielectric breakdown.

  2. Cluster synthesis of monodisperse rutile-TiO2 nanoparticles and dielectric TiO2-vinylidene fluoride oligomer nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Balasubramanian, B; Kraemer, KL; Valloppilly, SR

    2011-09-13

    The embedding of oxide nanoparticles in polymer matrices produces a greatly enhanced dielectric response by combining the high dielectric strength and low loss of suitable host polymers with the high electric polarizability of nanoparticles. The fabrication of oxide-polymer nanocomposites with well-controlled distributions of nanoparticles is, however, challenging due to the thermodynamic and kinetic barriers between the polymer matrix and nanoparticle fillers. In the present study, monodisperse TiO2 nanoparticles having an average particle size of 14.4 nm and predominant rutile phase were produced using a cluster-deposition technique without high-temperature thermal annealing and subsequently coated with uniform vinylidene fluoride oligomer (VDFO) moleculesmore » using a thermal evaporation source, prior to deposition as TiO2-VDFO nanocomposite films on suitable substrates. The molecular coatings on TiO2 nanoparticles serve two purposes, namely to prevent the TiO2 nanoparticles from contacting each other and to couple the nanoparticle polarization to the matrix. Parallel-plate capacitors made of TiO2-VDFO nanocomposite film as the dielectric exhibit minimum dielectric dispersion and low dielectric loss. Dielectric measurements also show an enhanced effective dielectric constant in TiO2-VDFO nanocomposites as compared to that of pure VDFO. This study demonstrates for the first time a unique electroactive particle coating in the form of a ferroelectric VDFO that has high-temperature stability as compared to conventionally used polymers for fabricating dielectric oxide-polymer nanocomposites.« less

  3. The Impact of Dielectric Material and Temperature on Dielectric Charging in RF MEMS Capacitive Switches

    NASA Astrophysics Data System (ADS)

    Papaioannou, George

    The present work attempts to provide a better insight on the dielectric charging in RF-MEMS capacitive switches that constitutes a key issue limiting parameter of their commercialization. The dependence of the charging process on the nature of dielectric materials widely used in these devices, such as SiO2, Si3N4, AlN, Al2O3, Ta2O5, HfO2, which consist of covalent or ionic bonds and may exhibit piezoelectric properties is discussed taking into account the effect of deposition conditions and resulting material stoichiometry. Another key issue parameter that accelerates the charging and discharging processes by providing enough energy to trapped charges to be released and to dipoles to overcome potential barriers and randomize their orientation is the temperature will be investigated too. Finally, the effect of device structure will be also taken into account.

  4. Tandem Core–Shell Si–Ta 3N 5 Photoanodes for Photoelectrochemical Water Splitting

    DOE PAGES

    Narkeviciute, Ieva; Chakthranont, Pongkarn; Mackus, Adriaan J. M.; ...

    2016-11-22

    Here, nanostructured core–shell Si–Ta 3N 5 photoanodes were designed and synthesized to overcome charge transport limitations of Ta 3N 5 for photoelectrochemical water splitting. The core–shell devices were fabricated by atomic layer deposition of amorphous Ta 2O 5 onto nanostructured Si and subsequent nitridation to crystalline Ta 3N 5. Nanostructuring with a thin shell of Ta 3N 5 results in a 10-fold improvement in photocurrent compared to a planar device of the same thickness. In examining thickness dependence of the Ta 3N 5 shell from 10 to 70 nm, superior photocurrent and absorbed-photon-to-current efficiencies are obtained from the thinner Tamore » 3N 5 shells, indicating minority carrier diffusion lengths on the order of tens of nanometers. The fabrication of a heterostructure based on a semiconducting, n-type Si core produced a tandem photoanode with a photocurrent onset shifted to lower potentials by 200 mV. CoTiO x and NiO x water oxidation cocatalysts were deposited onto the Si–Ta 3N 5 to yield active photoanodes that with NiO x retained 50–60% of their maximum photocurrent after 24 h chronoamperometry experiments and are thus among the most stable Ta 3N 5 photoanodes reported to date.« less

  5. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  6. UTa 2O(S 2) 3Cl 6: A ribbon structure containing a heterobimetallic 5 d-5 f M 3 cluster

    NASA Astrophysics Data System (ADS)

    Wells, Daniel M.; Chan, George H.; Ellis, Donald E.; Ibers, James A.

    2010-02-01

    A new solid-state compound containing a heterobimetallic cluster of U and Ta, UTa 2O(S 2) 3Cl 6, has been synthesized and its structure has been characterized by single-crystal X-ray diffraction methods. UTa 2O(S 2) 3Cl 6 was synthesized from UCl 4 and Ta 1.2S 2 at 883 K. The O is believed to have originated in the Ta 1.2S 2 reactant. The compound crystallizes in the space group P1¯ of the triclinic system. The structure comprises a UTa 2 unit bridged by μ 2-S 2 and μ 3-O groups. Each Ta atom bonds to two μ 2-S 2, the μ 3-O, and two terminal Cl atoms. Each U atom bonds to two μ 2-S 2, the μ 3-O, and four Cl atoms. The Cl atoms bridge in pairs to neighboring U atoms to form a ribbon structure. The bond distances are normal and are consistent with formal oxidation states of +IV/+V/-II/-I/-I for U/Ta/O/S/Cl, respectively. The optical absorbance spectrum displays characteristic transition peaks near the absorption edge. Density functional theory was used to assign these peaks to transitions between S 1- valence-band states and empty U 5 f-6 d hybrid bands. Density-of-states analysis shows overlap between Ta 5 d and U bands, consistent with metal-metal interactions.

  7. Ultrathin gas permeable oxide membranes for chemical sensing: Nanoporous Ta 2O 5 test study

    DOE PAGES

    Imbault, Alexander; Wang, Yue; Kruse, Peter; ...

    2015-09-25

    Conductometric gas sensors made of gas permeable metal oxide ultrathin membranes can combine the functions of a selective filter, preconcentrator, and sensing element and thus can be particularly promising for the active sampling of diluted analytes. Here we report a case study of the electron transport and gas sensing properties of such a membrane made of nanoporous Ta 2O 5. These membranes demonstrated a noticeable chemical sensitivity toward ammonia, ethanol, and acetone at high temperatures above 400 °C. Furthermore, different from traditional thin films, such gas permeable, ultrathin gas sensing elements can be made suspended enabling advanced architectures of ultrasensitivemore » analytical systems operating at high temperatures and in harsh environments.« less

  8. Deposition and dielectric characterization of strontium and tantalum-based oxide and oxynitride perovskite thin films

    NASA Astrophysics Data System (ADS)

    Jacq, S.; Le Paven, C.; Le Gendre, L.; Benzerga, R.; Cheviré, F.; Tessier, F.; Sharaiha, A.

    2016-04-01

    We have synthesized the composition x = 0.01 of the (Sr1-xLax)2(Ta1-xTix)2O7 solid solution, mixing the ferroelectric perovskite phases Sr2Ta2O7 and La2Ti2O7. Related oxide and oxynitride materials have been produced as thin films by magnetron radio frequency sputtering. Reactive sputter deposition was conducted at 750 °C under a 75 vol.% (Ar) + 25 vol.% (N2,O2) mixture. An oxygen-free plasma leads to the deposition of an oxynitride film (Sr0.99La0.01) (Ta0.99Ti0.01)O2N, characterized by a band gap Eg = 2.30 eV and a preferential (001) epitaxial growth on (001) SrTiO3 substrate. Its dielectric constant and loss tangent are respectively Epsilon' = 60 (at 1 kHz) and tanDelta = 62.5 × 10-3. In oxygen-rich conditions (vol.%N2 ≤ 15%), (110) epitaxial (Sr0.99La0.01)2(Ta0.99Ti0.01)2O7 oxides films are deposited, associated to a larger band gap value (Eg = 4.55 eV). The oxide films permittivity varies from 45 to 25 (at 1 kHz) in correlation with the decrease in crystalline orientation; measured losses are lower than 5.10-3. For 20 ≤ vol.% N2 ≤ 24.55, the films are poorly crystallized, leading to very low permittivities (minimum Epsilon' = 3). A correlation between the dielectric losses and the presence of an oxynitride phase in the samples is highlighted.

  9. The effect of Cr2O3 doping on structures and dielectric constants of SiO2-Bi2O3-B2O3-Na2CO3 glass based on silica gel of natural sand

    NASA Astrophysics Data System (ADS)

    Diantoro, M.; Zaini, M. B.; Muniroh, Z.; Nasikhudin; Hidayat, A.

    2017-05-01

    One of the abundant natural resources along the coastal lines of Indonesia is silica sand. One of the beaches which has a lot of silica content is Bancar-Tuban beach. Silica can be used as a raw material of glass that has multiple properties in optic, dielectric, and other physical properties by introducing specific dopants. Some oxides have been used as dopant e.g. Al2O3, Fe3O4, and NiO. However, there has not been any comprehensive study discussing the multiple properties of natural silica-sand-based glass with Cr2O3 dopant so far. A series of samples have been prepared, which mean two solid steps to state melting technique. Cr2O3 was selected as a dopant due to its potential to control its color and to increase the dielectric constant of the glass. The synthesis of silica (SiO2) sand from BancarTuban beach was conducted through the sol-gel process. The composition varied as the addition of Cr2O3on 50SiO2-25B2O3-(6.5-x) Bi2O3-18.5Na2CO3-xCr2O3 (x = 0, 0.02, 0.04, 0.06 and 0.08mol), later called SBBN glass. The samples’ characterizations of the structure and morphology were conducted through the use of XRD, and SEM-EDX. The measurements were done by using a DC capacitance meter in order to investigate the dielectric properties of the sample, under the influence of light. It is shown that addition of Cr2O3 did not alter the crystal structure but changed the structure of the functional bond formation. It is also revealed that the dielectric constant increased along with the increasing of Cr2O3. An interesting result was that the dielectric constant of the glass was quantized decreasingly as the increase of light.

  10. Switchable and tunable film bulk acoustic resonator fabricated using barium strontium titanate active layer and Ta{sub 2}O{sub 5}/SiO{sub 2} acoustic reflector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sbrockey, N. M., E-mail: sbrockey@structuredmaterials.com; Tompa, G. S.; Kalkur, T. S.

    2016-08-01

    A solidly mounted acoustic resonator was fabricated using a Ba{sub 0.60}Sr{sub 0.40}TiO{sub 3} (BST) film deposited by metal organic chemical vapor deposition. The device was acoustically isolated from the substrate using a Bragg reflector consisting of three pairs of Ta{sub 2}O{sub 5}/SiO{sub 2} layers deposited by chemical solution deposition. Transmission electron microscopy verified that the Bragg reflector was not affected by the high temperatures and oxidizing conditions necessary to process high quality BST films. Electrical characterization of the resonator demonstrated a quality factor (Q) of 320 and an electromechanical coupling coefficient (K{sub t}{sup 2}) of 7.0% at 11 V.

  11. Electronic structures of anatase (TiO2)1-x(TaON)x solid solutions: a first-principles study.

    PubMed

    Dang, Wenqiang; Chen, Hungru; Umezawa, Naoto; Zhang, Junying

    2015-07-21

    Sensitizing wide band gap photo-functional materials under visible-light irradiation is an important task for efficient solar energy conversion. Although nitrogen doping into anatase TiO2 has been extensively studied for this purpose, it is hard to increase the nitrogen content in anatase TiO2 because of the aliovalent nitrogen substituted for oxygen, leading to the formation of secondary phases or defects that hamper the migration of photoexcited charge carriers. In this paper, electronic structures of (TiO2)1-x(TaON)x (0 ≤ x ≤ 1) solid solutions, in which the stoichiometry is satisfied with the co-substitution of Ti for Ta along with O for N, are investigated within the anatase crystal structure using first-principles calculations. Our computational results show that the solid solutions have substantially narrower band gaps than TiO2, without introducing any localized energy states in the forbidden gap. In addition, in comparison with the pristine TiO2, the solid solution has a direct band gap when the content of TaON exceeds 0.25, which is advantageous to light absorption. The valence band maximum (VBM) of the solid solutions, which is mainly composed of N 2p states hybridized with O 2p, Ti 3d or Ta 5d orbitals, is higher in energy than that of pristine anatase TiO2 consisting of non-bonding O 2p states. On the other hand, incorporating TaON into TiO2 causes the formation of d-d bonding states through π interactions and substantially lowers the conduction band minimum (CBM) because of the shortened distance between some metal atoms. As a result, the anatase (TiO2)1-x(TaON)x is expected to become a promising visible-light absorber. In addition, some atomic configurations are found to possess exceptionally narrow band gaps.

  12. ZrO2 Layer Thickness Dependent Electrical and Dielectric Properties of BST/ZrO2/BST Multilayer Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, S. K.; Misra, D.; Agrawal, D. C.

    2011-01-01

    Recently, high K materials play an important role in microelectronic devices such as capacitors, memory devices, and microwave devices. Now a days ferroelectric barium strontium titanate [Ba{sub x}Sr{sub 1-x}TiO{sub 3}, (BST)] thin film is being actively investigated for applications in dynamic random access memories (DRAM), field effect transistor (FET), and tunable devices because of its properties such as high dielectric constant, low leakage current, low dielectric loss, and high dielectric breakdown strength. Several approaches have been used to optimize the dielectric and electrical properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found thatmore » inserting a ZrO{sub 2} layer in between two BST layers results in a significant reduction in dielectric constant, loss tangent, and leakage current in the multilayer thin films. Also it is shown that the properties of multilayer structure are found to depend strongly on the sublayer thicknesses. In this work the effect of ZrO{sub 2} layer thickness on the dielectric, ferroelectric as well as electrical properties of BST/ZrO{sub 2}/BST multilayer structure is studied. The multilayer Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3}/ZrO{sub 2}/Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} film is deposited by a sol-gel process on the platinized Si substrate. The thickness of the middle ZrO{sub 2} layer is varied while keeping the top and bottom BST layer thickness as fixed. It is observed that the dielectric constant, dielectric loss tangent, and leakage current of the multilayer films reduce with the increase of ZrO{sub 2} layer thickness and hence suitable for memory device applications. The ferroelectric properties of the multilayer film also decrease with the ZrO{sub 2} layer thickness.« less

  13. Oxygen-vacancy-mediated dielectric property in perovskite Eu0.5Ba0.5TiO3-δ epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Li, Weiwei; Gu, Junxing; He, Qian; Zhang, Kelvin H. L.; Wang, Chunchang; Jin, Kuijuan; Wang, Yongqiang; Acosta, Matias; Wang, Haiyan; Borisevich, Albina Y.; MacManus-Driscoll, Judith L.; Yang, Hao

    2018-04-01

    Dielectric relaxation in ABO3 perovskite oxides can result from many different charge carrier-related phenomena. Despite a strong understanding of dielectric relaxations, a detailed investigation of the relationship between the content of oxygen vacancies (VO) and dielectric relaxation has not been performed in perovskite oxide films. In this work, we report a systematic investigation of the influence of the VO concentration on the dielectric relaxation of Eu0.5Ba0.5TiO3-δ epitaxial thin films. Nuclear resonance backscattering spectrometry was used to directly measure the oxygen concentration in Eu0.5Ba0.5TiO3-δ films. We found that dipolar defects created by VO interact with the off-centered Ti ions, which results in the dielectric relaxation in Eu0.5Ba0.5TiO3-δ films. Activation energy gradually increases with the increasing content of VO. The present work significantly extends our understanding of relaxation properties in oxide films.

  14. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  15. Giant dielectric permittivity and weak ferromagnetic behavior in Bi{sub 0.5}La{sub 0.5}Fe{sub 0.5}Cr{sub 0.5}O{sub 3} ceramic

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tirupathi, Patri; Raju, K.; Peetla, Naresh

    A pervoskite (Bi{sub 0.5}La{sub 0.5})(Fe{sub 0.5}Cr{sub 0.5})O{sub 3} (BLFC) nanoparticles were synthesized by high energy ball milling. Rietveld refined X-ray diffraction studies revealed that this compound shows orthorhombic structure with Pbnm space group. The dielectric studies were investigated in wide frequency (10{sup 2}-10{sup 6}) range indicating giant dielectric permittivity behavior similar to LaFeO{sub 3} ceramic. The noted dielectric loss relaxation frequency dependent is as following the Arrhenius law can be ascribed as polaronic conduction. Further, magnetic transition at around 337 K and coexistence of weak ferromagnetic and antiferromagnetic behavior is observed below magnetic transition.

  16. Evaluation of border traps and interface traps in HfO2/MoS2 gate stacks by capacitance–voltage analysis

    NASA Astrophysics Data System (ADS)

    Zhao, Peng; Khosravi, Ava; Azcatl, Angelica; Bolshakov, Pavel; Mirabelli, Gioele; Caruso, Enrico; Hinkle, Christopher L.; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2018-07-01

    Border traps and interface traps in HfO2/few-layer MoS2 top-gate stacks are investigated by C–V characterization. Frequency dependent C–V data shows dispersion in both the depletion and accumulation regions for the MoS2 devices. The border trap density is extracted with a distributed model, and interface traps are analyzed using the high-low frequency and multi-frequency methods. The physical origins of interface traps appear to be caused by impurities/defects in the MoS2 layers, performing as band tail states, while the border traps are associated with the dielectric, likely a consequence of the low-temperature deposition. This work provides a method of using multiple C–V measurements and analysis techniques to analyze the behavior of high-k/TMD gate stacks and deconvolute border traps from interface traps.

  17. Al{sub 2}O{sub 3}/GeO{sub x} gate stack on germanium substrate fabricated by in situ cycling ozone oxidation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Xu; Zeng, Zhen-Hua; Microwave Device and IC Department, Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029

    2014-09-01

    Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stack fabricated by an in situ cycling ozone oxidation (COO) method in the atomic layer deposition (ALD) system at low temperature is systematically investigated. Excellent electrical characteristics such as minimum interface trap density as low as 1.9 × 10{sup 11 }cm{sup −2 }eV{sup −1} have been obtained by COO treatment. The impact of COO treatment against the band alignment of Al{sub 2}O{sub 3} with respect to Ge is studied by x-ray photoelectron spectroscopy (XPS) and spectroscopic ellipsometry (SE). Based on both XPS and SE studies, the origin of gate leakage in the ALD-Al{sub 2}O{sub 3} is attributed to themore » sub-gap states, which may be correlated to the OH-related groups in Al{sub 2}O{sub 3} network. It is demonstrated that the COO method is effective in repairing the OH-related defects in high-k dielectrics as well as forming superior high-k/Ge interface for high performance Ge MOS devices.« less

  18. Microstructure and dielectric properties of pyrochlore Bi2Ti2O7 thin films

    NASA Astrophysics Data System (ADS)

    Cagnon, Joël; Boesch, Damien S.; Finstrom, Nicholas H.; Nergiz, Saide Z.; Keane, Sean P.; Stemmer, Susanne

    2007-08-01

    Bi2Ti2O7 thin films were grown by radio-frequency magnetron sputtering on bare and Pt-coated sapphire substrates at low substrate temperatures (˜200 °C). Postdeposition anneals were carried out at different temperatures to crystallize the films. Nearly phase-pure Bi2Ti2O7 thin films with the cubic pyrochlore structure were obtained at annealing temperatures up to 800 °C. Impurity phases, in particular Bi4Ti3O12, formed at higher temperatures. At 1 MHz, the dielectric constants were about 140-150 with a very small tunability and the dielectric loss was about 4×10-3. The dielectric loss increased with frequency. The dielectric properties of Bi2Ti2O7 films are compared to those of pyrochlore bismuth zinc niobate films.

  19. Dependence of Pentacene Crystal Growth on Dielectric Roughness for Fabrication of Flexible Field-Effect Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, H.; Yang, C; Kim, S

    2010-01-01

    The dependence of pentacene nanostructures on gate dielectric surfaces were investigated for flexible organic field-effect transistor (OFET) applications. Two bilayer types of polymer/aluminum oxide (Al{sub 2}O{sub 3}) gate dielectrics were fabricated on commercial Al foils laminated onto a polymer back plate. Some Al foils were directly used as gate electrodes, and others were smoothly polished by an electrolytic etching. These Al surfaces were then anodized and coated with poly({alpha}-methyl styrene) (PAMS). For PAMS/Al{sub 2}O{sub 3} dielectrics onto etched Al foils, surface roughness up to 1 nm could be reached, although isolated dimples with a lateral diameter of several micrometers weremore » still present. On PAMS/Al{sub 2}O{sub 3} dielectrics (surface roughness >40 nm) containing mechanical grooves of Al foil, average hole mobility ({mu}FET) of 50 nm thick pentacene-FETs under the low operating voltages (|V| < 6 V) was {approx}0.15 cm{sup 2} V{sup -1} s{sup -1}. In contrast, pentacene-FETs employing the etched Al gates exhibited {mu}FET of 0.39 cm{sup 2} V{sup -1} s{sup -1}, which was comparable to that of reference samples with PAMS/Al{sub 2}O{sub 3} dielectrics onto flat sputtered Al gates. Conducting-probe atomic force microscopy and two-dimensional X-ray diffraction of pentacene films with various thicknesses revealed different out-of-plane and in-plane crystal orderings of pentacene, depending on the surface roughness of the gate dielectrics.« less

  20. Temperature compensation effects of TiO2 on Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ microwave dielectric ceramic

    NASA Astrophysics Data System (ADS)

    Hu, Mingzhe; Wei, Huanghe; Xiao, Lihua; Zhang, Kesheng; Hao, Yongde

    2017-10-01

    The crystal structure and dielectric properties of TiO2-modified Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ microwave ceramics are investigated in the present paper. The crystal structure is probed by XRD patterns and their Rietveld refinement, results show that a single perovskite phase is formed in TiO2-modified Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ ceramics with the crystal structure belonging to the orthorhombic Pbnm 62 space group. Raman spectra results indicate that the B-site order-disorder structure transition is a key point to the dielectric loss of TiO2-modified Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ ceramics at microwave frequencies. After properly modified by TiO2, the large negative temperature coefficient of Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ ceramic can be compensated and the optimal microwave dielectric properties can reach 𝜀r = 25.66, Qf = 18,894 GHz and TCF = -6.3 ppm/∘C when sintered at 1170∘C for 2.5 h, which manifests itself for potential use in microwave dielectric devices for modern wireless communication.

  1. High kappa Dielectrics on InGaAs and GaN - Growth, Interfacial Structural Studies, and Surface Fermi Level Unpinning

    DTIC Science & Technology

    2011-04-20

    ALD-Al2O3 and in-situ MBE-Al2O3/ Ga2O3 (Gd2O3) [GGO] as the gate dielectrics. The advances of the InGaAs MOSFETs achieved will enable future CMOS...and GaN MOSFETs:  High-performance self-aligned inversion-channel In0.53Ga0.47As and In0.75Ga0.25As MOSFET’s with Al2O3/ Ga2O3 (Gd2O3) as gate... Ga2O3 (Gd2O3) as gate dielectrics Key accomplishments in devices of 1m gate length: High drain current of 1.23 mA/m High transcoductance of 714

  2. SnO2, IrO2, Ta2O5, Bi2O3, and TiO2 nanoparticle anodes: electrochemical oxidation coupled with the cathodic reduction of water to yield molecular H2

    NASA Astrophysics Data System (ADS)

    Choi, Jina; Qu, Yan; Hoffmann, Michael R.

    2012-08-01

    In recent years, the search for environmentally friendly alternative energy sources with reduced carbon footprints has increased. The coupling of photovoltaic power sources with advanced electrolysis systems for hydrogen production via water splitting using organic contaminants as sacrificial electron donors has been considered to a be viable alternative. In this report, we demonstrated the feasibility of a scaled-up rooftop prototype of the proposed hybrid photovoltaic-electrolysis system, which utilizes semiconductor nanoparticles coated on to metal substrates as electrodes for the generation of hydrogen coupled with the oxidation of wastewater. Application of an anodic bias of >2.0 V to bismuth-doped TiO2 (BiO x -TiO2) on Ti metal anodes with a sequential under-coatings of nanoparticulate SnO2, IrO2, Ta2O5, and Bi2O3 results in the electrochemical degradation of a variety of organic chemical contaminants in water (i.e., rhodamine B (Rh.B), methylene blue (MB), salicylic acid, triclosan, and phenol) and actual wastewater from a chemical manufacturing plant, while at the same time, molecular hydrogen is produced at stainless steel (SS) cathodes. The kinetics of the anodic substrates oxidation is investigated as a function of the cell current ( I cell), substrate concentration, and background electrolyte composition (e.g., NaCl, Na2SO4, or seawater). Average current efficiencies were found to be in the range of 4-22 %, while the cathodic current and energy efficiencies for hydrogen production were found to be in the range of 50-70 % and 20-40 %, respectively.

  3. Effect of annealing temperature on the electrical properties of Au/Ta2O5/n-GaN metal-insulator-semiconductor (MIS) structure

    NASA Astrophysics Data System (ADS)

    Prasanna Lakshmi, B.; Rajagopal Reddy, V.; Janardhanam, V.; Siva Pratap Reddy, M.; Lee, Jung-Hee

    2013-11-01

    We report on the effect of an annealing temperature on the electrical properties of Au/Ta2O5/n-GaN metal-insulator-semiconductor (MIS) structure by current-voltage ( I- V) and capacitance-voltage ( C- V) measurements. The measured Schottky barrier height ( Φ bo) and ideality factor n values of the as-deposited Au/Ta2O5/n-GaN MIS structure are 0.93 eV ( I- V) and 1.19. The barrier height (BH) increases to 1.03 eV and ideality factor decreases to 1.13 upon annealing at 500 ∘C for 1 min under nitrogen ambient. When the contact is annealed at 600 ∘C, the barrier height decreases and the ideality factor increases to 0.99 eV and 1.15. The barrier heights obtained from the C- V measurements are higher than those obtained from I- V measurements, and this indicates the existence of spatial inhomogeneity at the interface. Cheung’s functions are also used to calculate the barrier height ( Φ bo), ideality factor ( n), and series resistance ( R s ) of the Au/Ta2O5/n-GaN MIS structure. Investigations reveal that the Schottky emission is the dominant mechanism and the Poole-Frenkel emission occurs only in the high voltage region. The energy distribution of interface states is determined from the forward bias I- V characteristics by taking into account the bias dependence of the effective barrier height. It is observed that the density value of interface states for the annealed samples with interfacial layer is lower than that of the density value of interface states of the as-deposited sample.

  4. Fluorinated Graphene as High Performance Dielectric Materials and the Applications for Graphene Nanoelectronics

    PubMed Central

    Ho, Kuan-I; Huang, Chi-Hsien; Liao, Jia-Hong; Zhang, Wenjing; Li, Lain-Jong; Lai, Chao-Sung; Su, Ching-Yuan

    2014-01-01

    There is broad interest in surface functionalization of 2D materials and its related applications. In this work, we present a novel graphene layer transistor fabricated by introducing fluorinated graphene (fluorographene), one of the thinnest 2D insulator, as the gate dielectric material. For the first time, the dielectric properties of fluorographene, including its dielectric constant, frequency dispersion, breakdown electric field and thermal stability, were comprehensively investigated. We found that fluorographene with extremely thin thickness (5 nm) can sustain high resistance at temperature up to 400°C. The measured breakdown electric field is higher than 10 MV cm−1, which is the heightest value for dielectric materials in this thickness. Moreover, a proof-of-concept methodology, one-step fluorination of 10-layered graphene, is readily to obtain the fluorographene/graphene heterostructures, where the top-gated transistor based on this structure exhibits an average carrier mobility above 760 cm2/Vs, higher than that obtained when SiO2 and GO were used as gate dielectric materials. The demonstrated fluorographene shows excellent dielectric properties with fast and scalable processing, providing a universal applications for the integration of versatile nano-electronic devices. PMID:25081226

  5. Effect of the addition of B{sub 2}O{sub 3} and BaO-B{sub 2}O{sub 3}-SiO{sub 2} glasses on the microstructure and dielectric properties of giant dielectric constant material CaCu{sub 3}Ti{sub 4}O{sub 12}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shri Prakash, B.; Varma, K.B.R.

    2007-06-15

    The effect of the addition of glassy phases on the microstructure and dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} (CCTO) ceramics was investigated. Both single-component (B{sub 2}O{sub 3}) and multi-component (30 wt% BaO-60 wt% B{sub 2}O{sub 3}-10 wt% SiO{sub 2} (BBS)) glass systems were chosen to study their effect on the density, microstructure and dielectric properties of CCTO. Addition of an optimum amount of B{sub 2}O{sub 3} glass facilitated grain growth and an increase in dielectric constant. However, further increase in the B{sub 2}O{sub 3} content resulted in its segregation at the grain boundaries associated with a reduction in themore » grain size. In contrast, BBS glass addition resulted in well-faceted grains and increase in the dielectric constant and decrease in the dielectric loss. An internal barrier layer capacitance (IBLC) model was invoked to correlate the dielectric constant with the grain size in these samples. - Graphical abstract: Scanning electron micrograph of 30 wt% BaO-60 wt% B{sub 2}O{sub 3}-10 wt% SiO{sub 2} (BBS) glass-added CaCu{sub 3}Ti{sub 4}O{sub 12} ceramic on sintering.« less

  6. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  7. Investigation on interfacial and electrical properties of Ge MOS capacitor with different NH3-plasma treatment procedure

    NASA Astrophysics Data System (ADS)

    Liu, Xiaoyu; Xu, Jingping; Liu, Lu; Cheng, Zhixiang; Huang, Yong; Gong, Jingkang

    2017-08-01

    The effects of different NH3-plasma treatment procedures on interfacial and electrical properties of Ge MOS capacitors with stacked gate dielectric of HfTiON/TaON were investigated. The NH3-plasma treatment was performed at different steps during fabrication of the stacked gate dielectric, i.e. before or after interlayer (TaON) deposition, or after deposition of high-k dielectric (HfTiON). It was found that the excellent interface quality with an interface-state density of 4.79 × 1011 eV-1 cm-2 and low gate leakage current (3.43 × 10-5 A/cm2 at {V}{{g}}=1 {{V}}) could be achieved for the sample with NH3-plasma treatment directly on the Ge surface before TaON deposition. The involved mechanisms are attributed to the fact that the NH3-plasma can directly react with the Ge surface to form more Ge-N bonds, i.e. more GeO x Ny, which effectively blocks the inter-diffusion of elements and suppresses the formation of unstable GeO x interfacial layer, and also passivates oxygen vacancies and dangling bonds near/at the interface due to more N incorporation and decomposed H atoms from the NH3-plasma. Project supported by the National Natural Science Foundation of China (Nos. 61176100, 61274112).

  8. Effect of Variable Oxidation States of Vanadium on the Structural, Optical, and Dielectric Properties of B2O3-Li2O-ZnO-V2O5 Glasses.

    PubMed

    Arya, S K; Danewalia, S S; Arora, Manju; Singh, K

    2016-12-01

    In the present study, the effect of variable vanadium oxidation states on the structural, optical, and dielectric properties of vanadium oxide containing lithium borate glasses has been investigated. Electron paramagnetic resonance studies indicate that vanadium in these glasses is mostly in the V 4+ state, having a tetragonal symmetry. As the glass composition of V 2 O 5 increases, tetragonality also increases at the cost of octahedral symmetry. The photoluminescence (PL) spectra of these glasses are dominated by zinc oxide transition, whereas the peaks pertaining to the vanadyl group are not visible in the PL spectra. The optical absorption spectra show a single wide absorption band, which is attributed to V 4+ ions in these glasses. The ac conductivity of the glasses increases with an increase in vanadium content. The highest electrical conductivity observed is ∼10 -5 S cm -1 at 250 °C for the glass with 2.5 mol % V 2 O 5 . Electrical conductivity is dominated by electron conduction, as indicated by the activation energy calculation.

  9. Understanding the magnetic behavior of heat treated CaO-P2O5-Na2O-Fe2O3-SiO2 bioactive glass using electron paramagnetic resonance studies

    NASA Astrophysics Data System (ADS)

    Shankhwar, Nisha; Kothiyal, G. P.; Srinivasan, A.

    2014-09-01

    Bioactive glass of composition 41CaO-44SiO2-4P2O5-8Fe2O3-3Na2O has been heat treated in the temperature (TA) range of 750-1150 °C for time periods (tA) ranging from 1 h to 3 h to yield magnetic bioactive glass ceramics (MBCs). X-ray diffraction studies indicate the presence of bone mineral (hydroxyapatite and wollastonite) and magnetic (magnetite and α-hematite) phases in nanocrystalline form in the MBCs. Electron paramagnetic resonance (EPR) study was carried out to understand the variation in saturation magnetization and coercivity of the MBCs with TA and tA. These studies reveal the nature and amount of iron ions present in the MBCs and their interaction in the glassy oxide matrix as a function of annealing parameters. The deterioration in the magnetic properties of the glass heat treated above 1050 °C is attributed to the crystallization of the non-magnetic α-hematite phase. These results are expected to be useful in the application of these MBCs as thermoseeds in hyperthermia treatment of cancer.

  10. Measurement of Thicknesses of High-κ Gate-Dielectric Films on Silicon by Angle-Resolved XPS

    NASA Astrophysics Data System (ADS)

    Powell, Cedric; Smekal, Werner; Werner, Wolfgang

    2006-03-01

    We report on the use of a new NIST database for the Simulation of Electron Spectra for Surface Analysis (SESSA) in measuring thicknesses of candidate high-κ gate-dielectric materials (HfO2, HfSiO4, ZrO2, and ZrSiO4) on silicon by angle-resolved XPS. For conventional measurements of film thicknesses, effective attenuation lengths (EALs) have been computed for these materials from SESSA as a function of film thickness and photoelectron emission angle (i.e., to simulate the effects of tilting the sample). These EALs are believed to be more accurate than similar EALs obtained from the transport approximation because realistic cross sections are used for both elastic and inelastic scattering in the film and substrate materials. We also present ``calibration curves'' showing calculated ratios of selected photoelectron intensities from thin films of HfO2 on Si with an intermediate SiO2 layer. These ratios provide a simple and convenient means of determining the thicknesses of SiO2 and HfO2 films for particular measurement conditions.

  11. Field-induced dielectric response saturation in $o$ -TaS 3

    DOE PAGES

    Ma, Yongchang; Lu, Cuimin; Wang, Xuewei; ...

    2016-08-03

    The temperature and electric field dependent conductivity spectra of o-TaS 3 sample with 10 μm 2 in cross section were measured. Besides the classical electric threshold E T₋Cl, we observed another novel threshold E T₋N at a larger electric field, where an S-shaped I-V relation revealed. The appearance of E T₋N may be due to the establishment of coherence among small charge-density- wave domains. Under a stable field E > E T-N, a sharp dispersion emerged below kHz. At a fixed temperature, the scattering rate of the charged condensate was extremely small and decreased with increasing field. With decreasing temperature,more » the scattering Fröhlic-mode conductivity would be consistent with the meta-stable state.« less

  12. The glass-like thermal conductivity in ZrO2-Dy3TaO7 ceramic for promising thermal barrier coating application

    NASA Astrophysics Data System (ADS)

    Wu, Peng; Hu, Ming Yu; Chong, Xiao Yu; Feng, Jing

    2018-03-01

    Using the solid-state reaction method, the (ZrO2)x-(Dy3TaO7)1-x (x = 0, 0.02, 0.04, 0.06, 0.08, and 0.1) ceramics are synthesized in this work. The identification of the crystal structures indicates that the (ZrO2)x-(Dy3TaO7)1-x ceramics belong to the orthorhombic system, and the space group is C2221 in spite of the value of x increasing to 0.1. The thermal conductivities of the (ZrO2)x-(Dy3TaO7)1-x ceramics range from 1.3 W/(m K) to 1.8 W/(m K), and this value is much lower than that of 7-8 YSZ (yttria-stabilized zirconia). Besides, the (ZrO2)x-(Dy3TaO7)1-x ceramics possess the glass-like thermal conductivity caused by intrinsic oxygen vacancies existing in the lattice of Dy3TaO7. Moreover, the results of thermal expansion rates demonstrate that the (ZrO2)x-(Dy3TaO7)1-x ceramics possess excellent high temperature phase stability, and the thermal expansion coefficients [(9.7-11) × 10-6 K-1] are comparable to that of 7-8 YSZ.

  13. Structural, dielectric and ferroelectric studies of (x) Mg0.25Cu0.25Zn0.5Fe2O4 + (1-x) BaTiO3 magnetoelectric nano-composites

    NASA Astrophysics Data System (ADS)

    Khader, S. Abdul; Muneeswaran, M.; Giridharan, N. V.; Sankarappa, T.

    2016-05-01

    The Particulate nano-composites of ferrite and ferroelectric phases having the general formula (x) Mg0.25Cu0.25Zn0.5Fe2O4 + (1-x) BaTiO3 (x=15%, 30% and 45%) were synthesized by sintering mixtures of highly ferroelectric BaTiO3 (BT) and highly magneto-strictive magnetic component Mg0.25Cu0.25Zn0.5Fe2O4(MCZF). The presence of constituent phases of ferrite, ferroelectric and their composites were probed and confirmed by X-ray diffraction (XRD) studies. Surface morphology of the samples has been investigated using Field Emission Scanning Electron Microscope (FESEM). The variation of dielectric constant and dissipation factor as a function of frequency from 100 Hz to 1 MHz at room temperature were carried out using a Hioki LCR Hi-Tester. The dielectric constant and dielectric loss were found to decrease rapidly in the low frequency region and became almost constant in the high frequency region. The electrical conductivity deduced from the measured dielectric data has been thoroughly analyzed and found that the conduction mechanism in these composites is in conformity with small polaron hopping model. The ferroelectric properties of synthesized magneto-electric nano-composites were measured using P-E loop tracer.

  14. Single layer of Ge quantum dots in HfO2 for floating gate memory capacitors.

    PubMed

    Lepadatu, A M; Palade, C; Slav, A; Maraloiu, A V; Lazanu, S; Stoica, T; Logofatu, C; Teodorescu, V S; Ciurea, M L

    2017-04-28

    High performance trilayer memory capacitors with a floating gate of a single layer of Ge quantum dots (QDs) in HfO 2 were fabricated using magnetron sputtering followed by rapid thermal annealing (RTA). The layer sequence of the capacitors is gate HfO 2 /floating gate of single layer of Ge QDs in HfO 2 /tunnel HfO 2 /p-Si wafers. Both Ge and HfO 2 are nanostructured by RTA at moderate temperatures of 600-700 °C. By nanostructuring at 600 °C, the formation of a single layer of well separated Ge QDs with diameters of 2-3 nm at a density of 4-5 × 10 15 m -2 is achieved in the floating gate (intermediate layer). The Ge QDs inside the intermediate layer are arranged in a single layer and are separated from each other by HfO 2 nanocrystals (NCs) about 8 nm in diameter with a tetragonal/orthorhombic structure. The Ge QDs in the single layer are located at the crossing of the HfO 2 NCs boundaries. In the intermediate layer, besides Ge QDs, a part of the Ge atoms is segregated by RTA at the HfO 2 NCs boundaries, while another part of the Ge atoms is present inside the HfO 2 lattice stabilizing the tetragonal/orthorhombic structure. The fabricated capacitors show a memory window of 3.8 ± 0.5 V and a capacitance-time characteristic with 14% capacitance decay in the first 3000-4000 s followed by a very slow capacitance decrease extrapolated to 50% after 10 years. This high performance is mainly due to the floating gate of a single layer of well separated Ge QDs in HfO 2 , distanced from the Si substrate by the tunnel oxide layer with a precise thickness.

  15. Improved performance of Ta2O5-x resistive switching memory by Gd-doping: Ultralow power operation, good data retention, and multilevel storage

    NASA Astrophysics Data System (ADS)

    Shi, K. X.; Xu, H. Y.; Wang, Z. Q.; Zhao, X. N.; Liu, W. Z.; Ma, J. G.; Liu, Y. C.

    2017-11-01

    Resistive-switching memory with ultralow-power consumption is very promising technology for next-generation data storage and high-energy-efficiency neurosynaptic chips. Herein, Ta2O5-x-based multilevel memories with ultralow-power consumption and good data retention were achieved by simple Gd-doping. The introduction of a Gd ion, as an oxygen trapper, not only suppresses the generation of oxygen vacancy defects and greatly increases the Ta2O5-x resistance but also increases the oxygen-ion migration barrier. As a result, the memory cells can operate at an ultralow current of 1 μA with the extrapolated retention time of >10 years at 85 °C and the high switching speeds of 10 ns/40 ns for SET/RESET processes. The energy consumption of the device is as low as 60 fJ/bit, which is comparable to emerging ultralow-energy consumption (<100 fJ/bit) memory devices.

  16. Comparative analysis of full-gate and short-gate dielectric modulated electrically doped Tunnel-FET based biosensors

    NASA Astrophysics Data System (ADS)

    Sharma, Dheeraj; Singh, Deepika; Pandey, Sunil; Yadav, Shivendra; Kondekar, P. N.

    2017-11-01

    In this work, we have done a comprehensive study between full-gate and short-gate dielectrically modulated (DM) electrically doped tunnel field-effect transistor (SGDM-EDTFET) based biosensors of equivalent dimensions. However, in both the structures, dielectric constant and charge density are considered as a sensing parameter for sensing the charged and non-charged biomolecules in the given solution. In SGDM-EDTFET architecture, the reduction in gate length results a significant improvement in the tunneling current due to occurrence of strong coupling between gate and channel region which ensures higher drain current sensitivity for detection of the biomolecules. Moreover, the sensitivity of dual metal SGDM-EDTFET is compared with the single metal SGDM-EDTFET to analyze the better sensing capability of both the devices for the biosensor application. Further, the effect of sensing parameter i.e., ON-current (ION), and ION/IOFF ratio is analysed for dual metal SGDM-EDTFET in comparison with dual metal SGDM-EDFET. From the comparison, it is found that dual metal SGDM-EDTFET based biosensor attains relatively better sensitivity and can be utilized as a suitable candidate for biosensing applications.

  17. Structural, dielectric and magnetic studies of (x) Mg0.2Cu0.3Zn0.5Fe2O4 + (1-x) Ba0.8Zr0.2TiO3 magnetoelectric composites

    NASA Astrophysics Data System (ADS)

    Khader, S. Abdul; Giridharan, N. V.; Chaudhuri, Arka; Sankarappa, T.

    2016-05-01

    The Magneto-electric composites (x) Mg0.2Cu0.3Zn0.5Fe2O4 + (1-x) Ba0.8Zr0.2TiO3 (x=15%,30%,45%) were synthesized by sintering mixtures of highly ferroelectric Ba0.8Zr0.2TiO3 (BZT) and highly magneto-strictive component Mg0.2Cu0.3Zn0.5Fe2O4 (MCZF). The presences of two phases in magneto-electric composites were probed by X-ray diffraction (XRD) studies. The peaks observed in the XRD spectrum indicated spinel cubic structure for MCZF ferrite and tetragonal perovskite structure for BZT and, both spinel and pervoskite structures for synthesized composites. Surface morphology of the samples has been investigated using Field Emission Scanning Electron Microscope (FESEM). Frequency dependent dielectric properties of synthesized composites were measured from 100 Hz to 1 MHz at RT using HIOKI LCR HI-TESTER. The dielectric dispersion is observed at lower frequencies for the synthesized ME composites. The magnetic properties of synthesized composites were analyzed using a Vibrating Sample Magnetometer (VSM). It is observed that the values of saturation magnetization increases along with the ferrite content.

  18. Structural, thermal, optical and dielectric studies of Dy3+: B2O3-ZnO-PbO-Na2O-CaO glasses for white LEDs application

    NASA Astrophysics Data System (ADS)

    Mohammed, Al-B. F. A.; Lakshminarayana, G.; Baki, S. O.; Halimah, M. K.; Kityk, I. V.; Mahdi, M. A.

    2017-11-01

    Dy3+-doped borate glasses with nominal composition (60-x) B2O3-10 ZnO-10 PbO-10 Na2O-10 CaO-(x) Dy2O3 (x = 0, 0.1, 0.2, 0.5, 0.75, 1.0, 1.5 and 2.0 mol%) were prepared by the melt quenching technique. The XRD and SEM confirm the amorphous nature of the glasses and through EDAX, all the related elements were found in the synthesized glasses. The vibrations of metal cations such as Pb2+ and Zn2+, B-O-B bond bending vibrations from pentaborate groups, bending vibrations of BO3 triangles, and stretching vibrations of tetrahedral BO4- units etc. are identified from the respective FTIR and Raman spectra including the non-hygroscopic nature of the synthesized glasses. The TGA and DSC measurements were performed to study thermal properties, where ΔT >100 °C (ΔT = Tx - Tg) for all the glasses. Among all the Dy3+-doped glasses, the 0.75 mol% Dy3+-doped glass shows the highest PL intensity with four emissions, where the two transitions corresponding to 4F9/2 → 6H15/2 (blue) and 4F9/2 → 6H13/2 (yellow) are observed more intense than the others. The CIE chromaticity (x,y) coordinates for BZPNCDy 0.1 mol% glass are (0.398, 0.430), close to the white light region in the CIE 1931 chromaticity diagram. The dielectric properties of the 0.75 mol% Dy3+-doped glass such as dielectric constant, dielectric loss and AC conductivity were studied in the various frequencies and temperature.

  19. Ferroelectric and dielectric properties of BaTi0.9Zr0.1O3 doped with Li0.5Fe2.5O4 ceramics

    NASA Astrophysics Data System (ADS)

    Gajula, Ganapathi Rao; Buddiga, Lakshmi Rekha; Chidambara Kumar, K. N.; Ch, Arun Kumar; Samatha, K.; Kokkiragadda, Sreeramachandra Murthy; Dasari, Madhava Prasad

    2018-06-01

    We have prepared a composite BaTi0.9Zr0.1O3 (BTZr) doped with Li0.5Fe2.5O4 (LF) having chemical formulae (1- x) BTZr + (x) LF (x=0, 0.05, 0.1 and 0.15) conventional solid state reaction technique. We have sintered the grown composites at 1150 °C for 3 h. We have characterized the grown composites using XRD, FESEM, P-E loop tracer and LCR meter. The XRD measurements reveal the tetragonal nature of the composites. The morphological studies reveal that the composite exhibits dense microstructure with small pores. The P-E loops confirm that the composites exhibit remnant polarization and the coercive field increases with increasing concentration of Lithium Ferrite (LF). We have studied dielectric property of the composites by varying the temperature of the sample from 30 °C to 500 °C at 1 kHz, 10 kHz and also by varying the frequency from 1 Hz to 10 MHz at 30 °C. The dielectric property of BTZr has increased after doping LF in BTZr which reveals the enhancement of electrical properties of the grown composite.

  20. The TAED/H2O2/NaHCO3 system as an approach to low-temperature and near-neutral pH bleaching of cotton.

    PubMed

    Long, Xiaoxia; Xu, Changhai; Du, Jinmei; Fu, Shaohai

    2013-06-05

    A low-temperature and near-neutral pH bleaching system was conceived for cotton by incorporating TAED, H2O2 and NaHCO3. The TAED/H2O2/NaHCO3 system was investigated and optimized for bleaching of cotton using a central composite design (CCD) combined with response surface methodology (RSM). CCD experimental data were fitted to create a response surface quadratic model (RSQM) describing the degree of whiteness of bleached cotton fabric. Analysis of variance for the RSQM revealed that temperature was the most significant variable, followed by [TAED] and time, while [NaHCO3] was insignificant. An effective system was conducted by adding 5.75 g L(-1) TAED together with H2O2 and NaHCO3 at a molar ratio of 1:2.4:2.8 and applied to bleaching of cotton at 70 °C for 40 min. Compared to a commercial bleaching method, the TAED/H2O2/NaHCO3 system provided cotton with comparable degree of whiteness, slightly inferior water absorbency and acceptable dyeability, but had competitive advantage in protecting cotton from severe chemical damage in bleaching. Copyright © 2013 Elsevier Ltd. All rights reserved.

  1. The incommensurately modulated(1 - x)Ta 2O 5· xWO 3, 0 ≤ x ≤ 0.267 solid solution

    NASA Astrophysics Data System (ADS)

    Schmid, Siegbert; Withers, Ray L.; Thompson, John G.

    1992-08-01

    The phase(1 - x)Ta 2O 5 · WO 3, 0 ≤ x ≤ 0.267 has been studied by X-ray powder diffraction and transmission electron microscopy. It was previously described as an infinite series of anion-deficient, α-UO 3-type "line phases," with compositions resulting from intergrowths of different blocks made up by small numbers of α-UO 3-type cells. More correctly(1 - x)Ta 2O 5· xWO 3, 0 ≤ x ≤ 0.267 is described as an incommensurately modulated structure with a linearly composition-dependent primary modulation wave-vector qprim. = qb*. The underlying orthorhombically distorted α-UO 3-type parent structure has space group symmetry Cmmm ( a ≈ 6.20-6.14, b ≈ 3.66, c ≈ 3.89-3.85Å). Characteristic extinction conditions imply a superspace group symmetry of P : Cmmmm : s, -1,1. The four previously reported crystal structures in the solid solution field are examined by means of apparent valence calculations. Crystal chemical reasons are proposed for the width of the composition range,0 ≤ x ≤ 0.267, observed for the title phase.

  2. Impedance and dielectric relaxation spectroscopy studies on the calcium modified Na0.5Bi0.44Ca0.06TiO2.97 ceramics

    NASA Astrophysics Data System (ADS)

    Wang, W. G.; Li, X. Y.

    2017-12-01

    Na0.5Bi0.44Ca0.06TiO2.97 ceramics were synthetized by conventional solid-state reaction. XRD measurement analysis shows that the Na0.5Bi0.44Ca0.06TiO2.97 sample is the single perovskite structures. The oxide ion conductivity of the Na0.5Bi0.44Ca0.06TiO2.97 sample was investigated by AC impedance spectroscopy measurement. The bulk conductivity of Na0.5Bi0.44Ca0.06TiO2.97 sample can arrive at 2.22×10-4 S/cm at 573 K in air atmosphere. By changing measuring temperature of dielectric frequency spectroscopy measurement, the activation energy E and pre-exponential factor τ0 are E= 0.81 eV, τ0=1.5×10-13 s for Na0.5Bi0.44Ca0.06TiO2.97 sample, respectively. Judging from the relaxation parameters, the dielectric loss peaks correspond to oxide ion via vacancies diffusion in Na0.5Bi0.44Ca0.06TiO2.97 sample. Compared with the same dopant amount Na0.5Bi0.5Ti0.94Mg0.06O2.94 compound, the better oxygen vacancy mobility and larger specific free volume Vsf might be responsible for the favourable oxide ion conductivity in the Na0.5Bi0.44Ca0.06TiO2.97 sample, indicating that calcium modified Na0.5Bi0.5TiO3 materials are promising for intermediate-temperature solid electrochemical devices.

  3. The origin of excellent gate-bias stress stability in organic field-effect transistors employing fluorinated-polymer gate dielectrics.

    PubMed

    Kim, Jiye; Jang, Jaeyoung; Kim, Kyunghun; Kim, Haekyoung; Kim, Se Hyun; Park, Chan Eon

    2014-11-12

    Tuning of the energetic barriers to charge transfer at the semiconductor/dielectric interface in organic field-effect transistors (OFETs) is achieved by varying the dielectric functionality. Based on this, the correlation between the magnitude of the energy barrier and the gate-bias stress stability of the OFETs is demonstrated, and the origin of the excellent device stability of OFETs employing fluorinated dielectrics is revealed. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. The effect of group IIIA metal ion dopants on the photocatalytic activities of nanocrystalline Sr0.25H1.5Ta2O6·H2O.

    PubMed

    Liang, Shijing; Zhu, Shuying; Zhu, Jia; Chen, Yan; Zhang, Yongfan; Wu, Ling

    2012-01-21

    A series of group IIIA metal ion electron acceptors doped into Sr(0.25)H(1.5)Ta(2)O(6)·H(2)O (HST) samples have been prepared by an impregnation and calcination method for the first time. The samples are characterized by XRD, TEM, DRS and XPS. The variations in the electronic structure and photoelectric response after metal ion doping are investigated by theoretical calculations and photocurrent experiments, respectively. Results show that the metal ions can be efficiently incorporated into the HST crystal structure, which is reflected in the lattice contraction. Meanwhile, the photoabsorption edges of the metal-doped HST samples are red shifted to a longer wavelength. Taking into account the ionic radii and electronegativities of the dopants, as well as the XRD and XPS results, it is concluded that Ta(5+) ions may be partially substituted by the Al(3+) and Ga(3+) ions in the framework, while In(3+) ions are the favourable substitutes for Sr(2+) sites in the cavity. The first-principles DFT calculations confirm that the variation of the band structure is sensitive to the type of group IIIA metal ion. Introducing the dopant only at the Ta site induces an obvious variation in the band structure and the band gap becomes narrow. Meanwhile, an ''extra step'' appeared in the band gap, which can trap photogenerated electrons from the valance band (VB) and could enhance the charge mobility and the photocurrent. For the photocatalytic degradation of methyl orange in an aqueous solution and in benzene in the gas phase, the doped samples show superior photocatalytic activities compared with both undoped samples and TiO(2). The enhanced photocatalytic activities can be well explained by their electronic structure, photoabsorption performance, photoelectric response, and the concentration of the active species. Due to the fact that Ga ion doping can create an acceptor impurity level and change the electronic band, efficiently narrowing the band gap, the Ga-doped sample shows

  5. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  6. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    NASA Astrophysics Data System (ADS)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  7. Small signal measurement of Sc 2O 3 AlGaN/GaN moshemts

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kang, B. S.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J. K.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2004-02-01

    The rf performance of 1 × 200 μm 2 AlGaN/GaN MOS-HEMTs with Sc 2O 3 used as both the gate dielectric and as a surface passivation layer is reported. A maximum fT of ˜11 GHz and fMAX of 19 GHz were obtained. The equivalent device parameters were extracted by fitting this data to obtain the transconductance, drain resistance, drain-source resistance, transfer time and gate-drain and gate-source capacitance as a function of gate voltage. The transfer time is in the order 0.5-1 ps and decreases with increasing gate voltage.

  8. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    NASA Astrophysics Data System (ADS)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  9. Effect of SiO2/B2O3 Ratio on the Crystallization Behavior and Dielectric Properties of Barium Strontium Titanate Glass-Ceramics Prepared by Sol-Gel Process

    NASA Astrophysics Data System (ADS)

    Chen, Yongzhou; Zhang, Yong; Song, Xiaozhen; Shen, Ziqin; Zhang, Tianyuan

    2018-05-01

    Ferroelectric glass-ceramics, with a basic composition 90 wt.% (Ba0.65Sr0.35)TiO3-10 wt.% (B2O3-nSiO2) (n = 0.5, 1, 3, 5) were synthesized by the sol-gel method and their phase development and dielectric properties were investigated by differential thermal analysis, x-ray diffraction, field emission scanning electron microscopy, dielectric temperature curves and impedance spectroscopy. From the differential thermal analysis, glass transition and crystallization behavior can be observed. From the x-ray diffraction study, two crystalline phases (Ba,Sr)TiO3 and Ba2TiSi2O8 were formed over the entire composition range of the glass-ceramics. In addition, the main crystal phase has undergone a transformation from (Ba,Sr)TiO3 to Ba2TiSi2O8 with the increase of n. A typical structure in which the crystal phase was surrounded by a glassy matrix has been observed in the scanning electron microscope images. As a result of temperature dependent dielectric property measurements, the dielectric constant increased obviously with the increase of n from 0.5 to 1. Further increasing n led to a reduction of the dielectric constant, which is in coincidence with the variation of the intensity of (Ba,Sr)TiO3 phase with n. According to the impedance spectroscopy analysis and the activation energy calculation, the relaxation peak in both Z″ and M″ data should be attributed to the crystal-glass interface, and the change of conduction mechanism with the increase of SiO2/B2O3 ratio may be attributed to the corresponding transition of the main crystal phase.

  10. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  11. Intrinsic Enhancement of Dielectric Permittivity in (Nb + In) co-doped TiO2 single crystals.

    PubMed

    Kawarasaki, Masaru; Tanabe, Kenji; Terasaki, Ichiro; Fujii, Yasuhiro; Taniguchi, Hiroki

    2017-07-13

    The development of dielectric materials with colossal permittivity is important for the miniaturization of electronic devices and fabrication of high-density energy-storage devices. The electron-pinned defect-dipoles has been recently proposed to boost the permittivity of (Nb + In) co-doped TiO 2 to 10 5 . However, the follow-up studies suggest an extrinsic contribution to the colossal permittivity from thermally excited carriers. Herein, we demonstrate a marked enhancement in the permittivity of (Nb + In) co-doped TiO 2 single crystals at sufficiently low temperatures such that the thermally excited carriers are frozen out and exert no influence on the dielectric response. The results indicate that the permittivity attains quadruple of that for pure TiO 2 . This finding suggests that the electron-pinned defect-dipoles add an extra dielectric response to that of the TiO 2 host matrix. The results offer a novel approach for the development of functional dielectric materials with large permittivity by engineering complex defects into bulk materials.

  12. Fabrication of Lead-free (K0.5Na0.5)1- x Ag x NbO3 Ferroelectric Ceramics and Their Dielectric Properties

    NASA Astrophysics Data System (ADS)

    Byun, Jaeduk; Hyun, June Won; Kim, Yeon Jung; Bobor, Kristóf

    2018-03-01

    In this study, lead-free (K0.5Na0.5)1- x Ag x NbO3 ( x = 0.00, 0.10, 0.15, 0.20, 0.25, and 0.30) ferroelectric ceramics were fabricated using solid-state synthesis without A-site and B-site manufacturing step. The (K0.5Na0.5)1- x Ag x NbO3 ceramics were sintered at 1110 °C for 4 h after calcination at 800 °C for 3 h. The sintered sample was dense, and the grain size was 1.02 7.8 μm. For x ≤ 0.2, the sintered ceramic samples had a single perovskite structure. The temperature dependence of the dielectric constant in the (K0.5Na0.5)1- x Ag x NbO3 was measured at 1 kHz using an LCR meter. The high dielectric constant properties could be obtained in (K0.5Na0.5)1- x Ag x NbO3 ceramics. The orthorhombic-to-tetragonal phase transition temperature and ferroelectric Curie temperature decreased linearly with increasing mole fraction of the Ag content. The Curie temperature shifted from 393 °C for (K0.5Na0.5)NbO3 ceramics to 317 °C for (K0.5Na0.5)0.7Ag0.3NbO3 ceramics. The maximum dielectric constant was 8930 at 330 °C in the (K0.5Na0.5)0.8Ag0.2NbO3 ceramics.

  13. Thermal stability and dielectric properties of nano-SiO2-doped cellulose

    NASA Astrophysics Data System (ADS)

    Zhang, Song; Tang, Chao; Hao, Jian; Wang, Xiaobo

    2017-07-01

    We report the thermal stability and dielectric properties of nano-SiO2-doped cellulose. Molecular dynamics simulations were performed using an undoped cellulose model (C0), a nano-SiO2-doped cellulose model with untreated surface unsaturated bonds (C1), and a nano-SiO2-doped cellulose model for which surface unsaturated O atoms were treated with -H and surface unsaturated Si atoms were treated with -OH (C2). The simulation results showed that the mechanical properties of C1 and C2 were better than those of C0 and were optimal when the content of nano-SiO2 was 5%. The simulation results for C2 were more accurate than those for the other models, and thus, C2 provides theoretical support for the construction of a reasonable model of nano-SiO2 and cellulose in the future. The temperature at which the free volume fraction of C2 jumps was 50 K higher than that for C0, and the thermal stability of C2 was better than that of C0. Experimental results showed that the maximum tensile strength of the insulation paper was obtained when the content of nano-SiO2 was 5%. Moreover, at this content of nano-SiO2, the dielectric constant was lowest and closest to that of transformer insulation oil, which will improve the distribution of the electric field and thus the overall breakdown performance of oil-paper insulation systems.

  14. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.

    PubMed

    Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun

    2012-08-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.

  15. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure

    PubMed Central

    2012-01-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal–semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials. PMID:22853458

  16. Dielectric, Piezoelectric and Variable Range Hopping Conductivity Studies of Bi0.5(Na, K)0.5TiO3 Ceramics

    NASA Astrophysics Data System (ADS)

    Pattipaka, Srinivas; James, A. R.; Dobbidi, Pamu

    2018-04-01

    We report a detailed study on the structural, microstructural, piezoelectric, dielectric and AC conductivity of Bi0.5(Na1-x K x )0.5TiO3 (BNKT; x = 0, 0.1, 0.2 and 0.3) ceramics fabricated by a conventional solid-state reaction method. XRD and Raman analysis revealed that Bi0.5(Na0.8K0.2)0.5TiO3 and Bi0.5(Na0.7K0.3)0.5TiO3 ceramics exhibit a mixture of rhombohedral and tetragonal structures. The segregation of K at the grain boundary was confirmed by transmission electron microscopy and is related to typical microstructural local compositional mapping analysis. Two transitions, at ˜ 330°C and 150°C, observed from the ɛ' versus T curve in pure BNT are associated with the ferroelectric tetragonal to paraelectric cubic phase (T C) and ferroelectric rhombohedral to ferroelectric tetragonal phase (T d), respectively. Further, the T C and T d shifted towards the lower temperature with a rise in K concentration. Frequency dispersion of T d and T C suggest that BNKT ceramics exhibit a weak relaxor behavior with diffuse phase transition, which is confirmed by Uchino-Nomura criteria and the Vogel-Fulcher law. The AC resistivity ρ ac(T) follows the Mott variable range hopping conduction mechanism. A significant enhancement of dielectric and piezoelectric properties were observed for x = 0.2 system: dielectric constant (ɛ' = 1273), dielectric loss (tanδ = 0.047) at 1 kHz, electromechanical coupling coefficients (k ij : k 33, k t ˜ 60%, k 31 ˜ 62% and k p ˜ 46%), elastic coupling coefficients ( S_{33}D = 6.40 × 10-13 m2/N and S_{33}E = 10.06 × 10-13 m2/N) and piezoelectric constants (d 33 = 64.23 pC/N and g 33 = 5.69 × 10-3 Vm/N).

  17. Dielectric, Piezoelectric and Variable Range Hopping Conductivity Studies of Bi0.5(Na, K)0.5TiO3 Ceramics

    NASA Astrophysics Data System (ADS)

    Pattipaka, Srinivas; James, A. R.; Dobbidi, Pamu

    2018-07-01

    We report a detailed study on the structural, microstructural, piezoelectric, dielectric and AC conductivity of Bi0.5(Na1- x K x )0.5TiO3 (BNKT; x = 0, 0.1, 0.2 and 0.3) ceramics fabricated by a conventional solid-state reaction method. XRD and Raman analysis revealed that Bi0.5(Na0.8K0.2)0.5TiO3 and Bi0.5(Na0.7K0.3)0.5TiO3 ceramics exhibit a mixture of rhombohedral and tetragonal structures. The segregation of K at the grain boundary was confirmed by transmission electron microscopy and is related to typical microstructural local compositional mapping analysis. Two transitions, at ˜ 330°C and 150°C, observed from the ɛ' versus T curve in pure BNT are associated with the ferroelectric tetragonal to paraelectric cubic phase ( T C) and ferroelectric rhombohedral to ferroelectric tetragonal phase ( T d), respectively. Further, the T C and T d shifted towards the lower temperature with a rise in K concentration. Frequency dispersion of T d and T C suggest that BNKT ceramics exhibit a weak relaxor behavior with diffuse phase transition, which is confirmed by Uchino-Nomura criteria and the Vogel-Fulcher law. The AC resistivity ρ ac( T) follows the Mott variable range hopping conduction mechanism. A significant enhancement of dielectric and piezoelectric properties were observed for x = 0.2 system: dielectric constant ( ɛ' = 1273), dielectric loss (tan δ = 0.047) at 1 kHz, electromechanical coupling coefficients ( k ij : k 33, k t ˜ 60%, k 31 ˜ 62% and k p ˜ 46%), elastic coupling coefficients ( S_{33}D = 6.40 × 10-13 m2/N and S_{33}E = 10.06 × 10-13 m2/N) and piezoelectric constants ( d 33 = 64.23 pC/N and g 33 = 5.69 × 10-3 Vm/N).

  18. Negative spin Hall magnetoresistance in antiferromagnetic Cr2O3/Ta bilayer at low temperature region

    NASA Astrophysics Data System (ADS)

    Ji, Yang; Miao, J.; Zhu, Y. M.; Meng, K. K.; Xu, X. G.; Chen, J. K.; Wu, Y.; Jiang, Y.

    2018-06-01

    We demonstrate the negative spin Hall magnetoresistance (SMR) observed in antiferromagnetic Cr2O3/Ta bilayers at low temperature. The SMR signals are changed from the positive to negative magnitude when monotonously reducing the temperature from 300 K to 50 K. The positive and negative SMR signals are expected to be associated with the two different ways for injection of the spin current, into the boundary ferromagnetic region and the bulk antiferromagnetic region of α-Cr2O3 (0001), respectively. The slopes of the abnormal Hall curves exhibit the same sign with the SMR signal. From the SMR ratio under 3 T, the spin mixing conductance at the Cr2O3/Ta interface is estimated to be 1.12 × 1014 Ω-1.m-2, which is comparable to the one observed in the Y3Fe5O12(YIG)/Pt structure and our early results of the Cr2O3/W structure.

  19. SEGR in SiO$${}_2$$ –Si$$_3$$ N$$_4$$ Stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Javanainen, Arto; Ferlet-Cavrois, Veronique; Bosser, Alexandre

    2014-04-17

    This work presents experimental SEGR data for MOS-devices, where the gate dielectrics are are made of stacked SiO 2–Si 3N 4 structures. Also a semi-empirical model for predicting the critical gate voltage in these structures under heavy-ion exposure is proposed. Then statistical interrelationship between SEGR cross-section data and simulated energy deposition probabilities in thin dielectric layers is discussed.

  20. Enhancement of Dielectric Breakdown Strength and Energy Conversion Efficiency of Niobate Glass-Ceramics by Sc2O3 Doping

    NASA Astrophysics Data System (ADS)

    Xiao, Shi; Xiu, Shaomei; Yang, Ke; Shen, Bo; Zhai, Jiwei

    2018-01-01

    Niobate glass-ceramics K2O-SrO-Nb2O5-B2O3-Al2O3-SiO2 (KSN-BAS) doped with different amounts of Sc2O3 have been prepared through a melt quenching/controlled crystallization method, and the influence of the Sc2O3 content on their phase composition, microstructure, dielectric performance, and charge-discharge properties investigated. X-ray powder diffraction results showed that the peak positions of the KSr2Nb5O15 phase shifted to higher angle and the glass-ceramic microstructures were significantly improved by Sc2O3 addition. Based on these results, 0.5 mol.% Sc2O3 doping was found to achieve remarkable enhancement in energy storage density, which reached 9.63 ± 0.39 J/cm3 at dielectric breakdown strength of 1450.38 ± 29.01 kV/cm with high conversion efficiency of ˜ 92.1%. For pulsed power applications, discharge speed of 17 ns and power density of 0.48 MW/cm3 were obtained in the glass-ceramic with 0.5 mol.% Sc2O3. These results could provide a new design strategy for high-performance dielectric capacitors.

  1. Surfactant-assisted synthesis of polythiophene/Ni0.5Zn0.5Fe2-xCexO4 ferrite composites: study of structural, dielectric and magnetic properties for EMI-shielding applications.

    PubMed

    Dar, M Abdullah; Majid, Kowsar; Hanief Najar, Mohd; Kotnala, R K; Shah, Jyoti; Dhawan, S K; Farukh, M

    2017-04-19

    This work reports the exploitation of nanocrystalline Ni 0.5 Zn 0.5 Fe 2-x Ce x O 4 ferrite for potential application by designing quasi-spherical shaped polythiophene (PTH) composites via in situ emulsion polymerization. The structural, electronic, dielectric, magnetic, and electromagnetic interference (EMI) shielding properties of PTH/Ni 0.5 Zn 0.5 Fe 2-x Ce x O 4 composites were investigated. Our results suggest that these properties could be optimized by modulating the concentration of x (composition) in the polymer matrix. Higher values of ε' and ε'' were obtained on composite formation, and could be due to the heterogeneity developed in the material. An enhancement in the value of saturation magnetization (123 emu g -1 for x = 0.04) and Curie temperature was obtained with Ce concentration, which is useful for high density recording purposes. A low value of saturation magnetization was obtained for the PTH/Ni 0.5 Zn 0.5 Fe 2-x Ce x O 4 composite (36 emu g -1 for x = 0.04). This could be attributed to the non-magnetic nature of the polymer. A total shielding effectiveness (SE T = SE A + SE R ) up to 34 dB (≈99.9% attenuation) was recorded for PTH/Ni 0.5 Zn 0.5 Fe 2-x Ce x O 4 composites (x = 0.04) in a frequency range of 8.2-12.4 GHz (X-band), which surpasses the shielding criteria of SE T > 30 dB for commercial purposes. Such a material with high SE identifies its potential for making electromagnetic shields. The effect of Ce substitution on the microstructure, dielectric, impedance and magnetic properties of PTH/Ni 0.5 Zn 0.5 Fe 2-x Ce x O 4 ferrite composites was also investigated. X-ray diffraction analysis confirmed cubic spinel phase formation, and the broad reflection peaks indicated the formation of smaller sized particles. The smaller energy band gap (2.53 eV) of the composite indicated that this material could be used for photocatalysis in the visible region. Dielectric and impedance measurements were carried out in a frequency range of 8.2

  2. Efficient III-Nitride MIS-HEMT devices with high-κ gate dielectric for high-power switching boost converter circuits

    NASA Astrophysics Data System (ADS)

    Mohanbabu, A.; Mohankumar, N.; Godwin Raj, D.; Sarkar, Partha; Saha, Samar K.

    2017-03-01

    The paper reports the results of a systematic theoretical study on efficient recessed-gate, double-heterostructure, and normally-OFF metal-insulator-semiconductor high-electron mobility transistors (MIS-HEMTs), HfAlOx/AlGaN on Al2O3 substrate. In device architecture, a thin AlGaN layer is used in the AlGaN graded barrier MIS-HEMTs that offers an excellent enhancement-mode device operation with threshold voltage higher than 5.3 V and drain current above 0.64 A/mm along with high on-current/off-current ratio over 107 and subthreshold slope less than 73 mV/dec. In addition, a high OFF-state breakdown voltage of 1200 V is achieved for a device with a gate-to-drain distance and field-plate length of 15 μm and 5.3 μm, respectively at a drain current of 1 mA/mm with a zero gate bias, and the substrate grounded. The numerical device simulation results show that in comparison to a conventional AlGaN/GaN MIS-HEMT of similar design, a graded barrier MIS-HEMT device exhibits a better interface property, remarkable suppression of leakage current, and a significant improvement of breakdown voltage for HfAlOx gate dielectric. Finally, the benefit of HfAlOx graded-barrier AlGaN MIS-HEMTs based switching devices is evaluated on an ultra-low-loss converter circuit.

  3. Effect of solution combusted TiO2 nanopowder within commercial BaTiO3 dielectric layer on the photoelectric properties for AC powder electroluminescence devices.

    PubMed

    Park, Sung; Choi, Gil Rak; Kim, Youn Cheol; Lee, Jae Chun; Lee, Ju Hyeon

    2013-05-01

    A unique synthesis method was developed, which is called solution combustion method (SCM). TiO2 nanopowder was synthesized by this method. This SCM TiO2 nanopowder (-35 nm) was added to the dielectric layer of AC powder electroluminescence (EL) device. The dielectric layer was made of commercial BaTiO3 powder (-1.2 microm) and binding polymer. 0, 5, 10 and 15 wt% of SCM TiO2 nanopowder was added to the dielectric layer during fabrication of AC powder EL device respectively. Dielectric constant of these four kinds of dielectric layers was measured. The brightness and current density of AC powder EL device were also measured. When 10 wt% of SCM TiO2 nanopowder was added, dielectric constant and brightness were increased by 30% and 101% respectively. Furthermore, the current density was decreased by 71%. This means that the brightness was double and the power consumption was one third.

  4. Structure and high photocatalytic activity of (N, Ta)-doped TiO{sub 2} nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le, N. T. H.; Lam, V. D.; Manh, D. H.

    2016-10-14

    A hydrothermal method was used to prepare three nano-crystalline samples of TiO{sub 2} (S1), N-doped TiO{sub 2} (S2), and (N, Ta)-codoped TiO{sub 2} (S3) with average crystallite sizes (D) of 13–25 nm. X-ray diffraction studies confirmed a single phase of the samples with a tetragonal/anatase structure. A slight increase in the lattice parameters was observed when N and/or Ta dopants were doped into the TiO{sub 2} host lattice. Detailed analyses of extended X-ray absorption spectra indicated that N- and/or Ta-doping into TiO{sub 2} nanoparticles influenced the co-ordination number and radial distance (R) of Ti ions in the anatase structure. Concerning theirmore » absorption spectra, (N, Ta)-doping narrowed the band gap (E{sub g}) of TiO{sub 2} from 3.03 eV for S1 through 2.94 eV for S2 to 2.85 eV for S3. Such results revealed the applicability of these nanoparticles in the photocatalytic field working in the ultraviolet (UV)-visible region. Among these, photocatalytic activity of S3 was the strongest. By using S3 as a catalyst powder, the degradation efficiency of methylene blue solution was about 99% and 93% after irradiation of UV-visible light for 75 min and visible-light for 180 min, respectively.« less

  5. Electronic, thermoelectric, and magneto-dielectric properties of Ca1-xNaxCr2O4

    NASA Astrophysics Data System (ADS)

    Kolodiazhnyi, Taras; Sakurai, Hiroya

    2013-06-01

    We report on electronic, thermoelectric, and magneto-dielectric properties of Ca1-xNaxCr2O4 series with a calcium ferrite-type structure prepared by high-pressure-high-temperature synthesis. Dielectric spectroscopy down to 2 K confirms that both CaCr2O4 and NaCr2O4 end members have an insulating ground state notwithstanding the fact that the latter compound has a mixed valence Cr3+/Cr4+ structure. A crossover from positive to negative charge carriers occurs in NaCr2O4 at T≈230 K. Partial substitution of Ca for Na brings about a change from n to p type carriers at ca. x =0.75. A strong suppression of thermal conductivity below TN=21 K was found in CaCr2O4 indicating a scattering of acoustic phonons from a long wave-length cycloidal magnetic excitations. A pronounced dielectric anomaly at Néel temperature adds CaCr2O4 to the multiferroic family of compounds. Lattice contribution to dielectric properties of NaCr2O4 at TN=125 K is screened by high electric conductivity. An onset of the magnetocapacitance above 3 T correlates with the spin-flop transition in NaCr2O4 at a critical field of 3.5 T. A strong non-saturated magnetocapacitance in this compound cannot be entirely attributed to the colossal magnetoresistance.

  6. Preparation, Crystal Structure, Dielectric Properties, and Magnetic Behavior of Ba 2Fe 2Ti 4O 13

    NASA Astrophysics Data System (ADS)

    Vanderah, T. A.; Huang, Q.; Wong-Ng, W.; Chakoumakos, B. C.; Goldfarb, R. B.; Geyer, R. G.; Baker-Jarvis, J.; Roth, R. S.; Santoro, A.

    1995-11-01

    The preparation, crystal structure, dielectric properties, and magnetic behavior of the new compound Ba2Fe2Ti4O13 are reported. Structural studies carried out by single-crystal X-ray diffraction and neutron powder diffraction show that this phase is isostructural with K2Ti6O13 and Ba2ZnTi5O13 (C2/m (No. 12); a = 15.216(1), b = 3.8979(3), c = 9.1350(6) Å, β = 98.460(7)°; V = 535.90(8) Å3; Z = 2). The cations Fe3+ and Ti4+ are partially ordered among distorted octahedral sites with Ba2+ occupying eleven-coordinated polyhedra. Ba2Fe2Ti4O13 exhibits TE0 resonance near 10 GHz with a dielectric constant of ∼28 and a dielectric loss tangent of 2 × 10-3. The compound displays complex paramagnetic behavior with marked field dependence; the magnetization at 80 kA/m is several orders of magnitude smaller than that of most ferrites. Spin-glass effects have not been observed; however, weak collective interactions are clearly present. No magnetic ordering has been detected by neutron diffraction down to 13 K.

  7. Dielectric properties of (K0.5Na0.5)NbO3-(Bi0.5Li0.5)ZrO3 lead-free ceramics as high-temperature ceramic capacitors

    NASA Astrophysics Data System (ADS)

    Yan, Tianxiang; Han, Feifei; Ren, Shaokai; Ma, Xing; Fang, Liang; Liu, Laijun; Kuang, Xiaojun; Elouadi, Brahim

    2018-04-01

    (1 - x)K0.5Na0.5NbO3- x(Bi0.5Li0.5)ZrO3 (labeled as (1 - x)KNN- xBLZ) lead-free ceramics were fabricated by a solid-state reaction method. A research was conducted on the effects of BLZ content on structure, dielectric properties and relaxation behavior of KNN ceramics. By combining the X-ray diffraction patterns with the temperature dependence of dielectric properties, an orthorhombic-tetragonal phase coexistence was identified for x = 0.03, a tetragonal phase was determined for x = 0.05, and a single rhombohedral structure occurred at x = 0.08. The 0.92KNN-0.08BLZ ceramic exhibits a high and stable permittivity ( 1317, ± 15% variation) from 55 to 445 °C and low dielectric loss (≤ 6%) from 120 to 400 °C, which is hugely attractive for high-temperature capacitors. Activation energies of both high-temperature dielectric relaxation and dc conductivity first increase and then decline with the increase of BLZ, which might be attributed to the lattice distortion and concentration of oxygen vacancies.

  8. Structure, photoluminescence and thermoluminescence study of a composite ZnTa2O6/ZnGa2O4 compound doped with Pr3+

    NASA Astrophysics Data System (ADS)

    Noto, L. L.; Shaat, S. K. K.; Poelman, D.; Dhlamini, M. S.; Mothudi, B. M.; Swart, H. C.

    2016-05-01

    The study of persistent luminescence is interesting for applications related to biological imaging, self-lit roads and security signs. Composite Pr-doped samples were prepared in one pot by solid chemical reaction at 1200 °C for 4 h. The X-ray diffraction patterns of the samples showed mixed phases which correspond to ZnGa2O4 and ZnTa2O6 phases. Interestingly, the secondary electron microscopy images showed that the surface morphology is composed of particles with different shapes: irregular, rhombus and rod shapes. The X-ray maps obtained using field emission scanning electron microscopy, confirmed that the irregular particles correspond to ZnTa2O6, and the rods correspond to ZnGa2O4. Red emission was observed from 1D2 → 3H4, 3P0 → 3H6, 3P0 → 3F2 and 1D2 → 3H5 transitions of Pr3+. The lifetime of the persistent luminescence was measured, and the corresponding trapping centres were investigated using thermoluminescence spectroscopy.

  9. Microstructure and dielectric properties of (Nb + In) co-doped rutile TiO2 ceramics

    NASA Astrophysics Data System (ADS)

    Li, Jinglei; Li, Fei; Zhuang, Yongyong; Jin, Li; Wang, Linghang; Wei, Xiaoyong; Xu, Zhuo; Zhang, Shujun

    2014-08-01

    The (Nb + In) co-doped TiO2 ceramics recently attracted considerable attention due to their colossal dielectric permittivity (CP) (˜100,000) and low dielectric loss (˜0.05). In this research, the 0.5 mol. % In-only, 0.5 mol. % Nb-only, and 0.5-7 mol. % (Nb + In) co-doped TiO2 ceramics were synthesized by standard conventional solid-state reaction method. Microstructure studies showed that all samples were in pure rutile phase. The Nb and In ions were homogeneously distributed in the grain and grain boundary. Impedance spectroscopy and I-V behavior analysis demonstrated that the ceramics may compose of semiconducting grains and insulating grain boundaries. The high conductivity of grain was associated with the reduction of Ti4+ ions to Ti3+ ions, while the migration of oxygen vacancy may account for the conductivity of grain boundary. The effects of annealing treatment and bias filed on electrical properties were investigated for co-doped TiO2 ceramics, where the electric behaviors of samples were found to be susceptible to the annealing treatment and bias field. The internal-barrier-layer-capacitance mechanism was used to explain the CP phenomenon, the effect of annealing treatment and nonlinear I-V behavior for co-doped rutile TiO2 ceramics. Compared with CaCu3Ti4O12 ceramics, the high activation energy of co-doped rutile TiO2 (3.05 eV for grain boundary) was thought to be responsible for the low dielectric loss.

  10. Structural and dielectric properties of CTAB modified ZrO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Sidhu, Gaganpreet Kaur; Tripathi, S. K.; Kumar, Rajesh

    2016-05-01

    Zirconia (ZrO2) has been considered as one of the most investigated materials among various metal oxides due its outstanding dielectric properties and ionic conduction properties, which is mainly due to its high oxygen ion conduction. ZrO2 nanoparticles were synthesized using surfactant (CTAB) to study the variation of its dielectric behavior at room temperature. Surfactants form a unique class of chemical compounds, because of their remarkable ability to influence the properties of surfaces and interfaces of nanostructures. The dielectric properties of prepared nanoparticles were studied using LCR meter.

  11. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    NASA Astrophysics Data System (ADS)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  12. Influence of B2O3 content on sintering behaviour and dielectric properties of La2O3-B2O3-CaO/Al2O3 glass-ceramic composites for LTCC applications

    NASA Astrophysics Data System (ADS)

    Wang, F. L.; Zhang, Y. W.; Chen, X. Y.; Mao, H. J.; Zhang, W. J.

    2018-01-01

    La2O3-B2O3-CaO glasses with different B2O3 content were synthesized by melting method to produce glass/ceramic composites in this work. XRD and DSC results revealed that the diminution of B2O3 content was beneficial to increase the crystallization tendency of glass and improve the quality of crystalline phase, while decreasing the effect of glass during sintering process as sintering aids. The choice of glass/ceramic mass ratio was also influenced by the B2O3 content of glass. Dense samples sintered at 875 ºC showed good dielectric properties which meet the requirement of LTCC applications: moderate dielectric constant (7.8-9.4) and low dielectric loss (2.0×10-3).

  13. Giant dielectric response and low dielectric loss in Al{sub 2}O{sub 3} grafted CaCu{sub 3}Ti{sub 4}O{sub 12} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rajabtabar-Darvishi, A.; Center for Surface and Nanoanalytics; Bayati, R., E-mail: reza.bayati@intel.com, E-mail: mbayati@ncsu.edu, E-mail: wdfei@hit.edu.cn

    2015-03-07

    This study sheds light on the effect of alumina on dielectric constant and dielectric loss of novel CaCu{sub 3}Ti{sub 4}O{sub 12} composite ceramics. Alumina, at several concentrations, was deposited on the surface of CaCu{sub 3}Ti{sub 4}O{sub 12} particles via sol-gel technique. The dielectric constant significantly increased for all frequencies and the dielectric loss substantially decreased for low and intermediate frequencies. These observations were attributed to the change in characteristics of grains and grain boundaries. It was found that the insulating properties of the grain boundaries are improved following the addition of Al{sub 2}O{sub 3}. The relative density of CaCu{sub 3}Ti{submore » 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics decreased compared to the pure CaCu{sub 3}Ti{sub 4}O{sub 12} and the grain size was greatly changed with the alumina content affecting the dielectric properties. With the addition of alumina into CaCu{sub 3}Ti{sub 4}O{sub 12}, tighter interfaces formed. The 6%- and 10%-alumina ceramics showed the minimum dielectric loss and the maximum dielectric constant, respectively. Both the dielectric constant and loss tangent decreased in the 20%-alumina ceramic due to the formation of CuO secondary phase. It was revealed that Al serves as an electron acceptor decreasing the electron concentration, if Al{sup 3+} ions substitute for Ti{sup 4+} ions, and as an electron donor increasing the electron concentration, if Al{sup 3+} ions substitute for Ca{sup 2+} ions. We established a processing-microstructure-properties paradigm which opens new avenues for novel applications of CaCu{sub 3}Ti{sub 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics.« less

  14. Impedance-spectroscopy analysis of a LiTaO{sub 3}-type single crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, D.; Reau, J.M.; Ravez, J.

    1995-04-01

    Low-frequency dielectric dispersion phenomena in a LiTaO{sub 3}-type single crystal have been analyzed by impedance spectroscopy in directions parallel and perpendicular to the polar c-axis (rhombohedral system). An empirical expression has been deduced for the complex permittivity {epsilon}*({omega}), {epsilon}*({omega}) = {epsilon}{infinity} + {sup {epsilon}{sub s}-{epsilon}{infinity}}/{sub 1 + (i{omega}/{omega}{sub 1}){sup m}} + {sup {sigma}{sub 0}}/{sub {epsilon}{sub 0}{omega}} [1 + (i{omega}/{omega}{sub 2}){sup n}], where the ({omega}{sub 1}, m) and ({omega}{sub 2}, n) couples characterize respectively the lattice and the charge carrier responses. This relation may be considered as a generalization of the Cole-Cole dielectric expression. Excellent agreement has been obtained in amore » wide frequency domain (1-10{sup 6} Hz) between the measured and calculated permittivities in the 500-650{degrees}C temperature range ({Tc} = 600{degrees}C). The temperature dependence of various dielectrical parameters has been determined and discussed. The relaxations are correlated to Li atom motions.« less

  15. Removing polysaccharides-and saccharides-related coloring impurities in alkyl polyglycosides by bleaching with the H2O2/TAED/NaHCO3 system.

    PubMed

    Yanmei, Liu; Jinliang, Tao; Jiao, Sun; Wenyi, Chen

    2014-11-04

    The effect of H2O2/TAED/NaHCO3 system, namely NaHCO3 as alkaline agent with the (tetra acetyl ethylene diamine (TAED)) TAED-activated peroxide system, bleaching of alkyl polyglycosides solution was studied by spectrophotometry. The results showed that the optimal bleaching conditions about H2O2/TAED/NaHCO3 system bleaching of alkyl polyglycosides solution were as follows: molar ratio of TAED to H2O2 was 0.06, addition of H2O2 was 8.6%, addition of NaHCO3 was 3.2%, bleaching temperature of 50-65 °C, addition of MgO was 0.13%, and bleaching time was 8h. If too much amount of NaHCO3 was added to the system and maintained alkaline pH, the bleaching effect would be greatly reduced. Fixing molar ratio of TAED to H2O2 and increasing the amount of H2O2 were beneficial to improve the whiteness of alkyl polyglycosides, but adding too much amount of H2O2 would reduce the transparency. In the TAED-activated peroxide system, NaHCO3 as alkaline agent and buffer agent, could overcome the disadvantage of producing black precipitates when NaOH as alkaline agent. Copyright © 2014 Elsevier Ltd. All rights reserved.

  16. Comparative study of CAVET with dielectric and p-GaN gate and Mg ion-implanted current blocking layer

    NASA Astrophysics Data System (ADS)

    Mandal, Saptarshi; Agarwal, Anchal; Ahmadi, Elaheh; Mahadeva Bhat, K.; Laurent, Matthew A.; Keller, Stacia; Chowdhury, Srabanti

    2017-08-01

    In this work, a study of two different types of current aperture vertical electron transistor (CAVET) with ion-implanted blocking layer are presented. The device fabrication and performance limitation of a CAVET with a dielectric gate is discussed, and the breakdown limiting structure is evaluated using on-wafer test structures. The gate dielectric limited the device breakdown to 50V, while the blocking layer was able to withstand over 400V. To improve the device performance, an alternative CAVET structure with a p-GaN gate instead of dielectric is designed and realized. The pGaN gated CAVET structure increased the breakdown voltage to over 400V. Measurement of test structures on the wafer showed the breakdown was limited by the blocking layer instead of the gate p-n junction.

  17. Enhancement of field effect mobility of poly(3-hexylthiophene) thin film transistors by soft-lithographical nanopatterning on the gate-dielectric surface

    NASA Astrophysics Data System (ADS)

    Park, Jeong-Ho; Kang, Seok-Ju; Park, Jeong-Woo; Lim, Bogyu; Kim, Dong-Yu

    2007-11-01

    The submicroscaled octadecyltrichlorosilane (OTS) line patterns on gate-dielectric surfaces were introduced into the fabrication of organic field effect transistors (OFETs). These spin-cast regioregular poly(3-hexylthiophene) films on soft-lithographically patterned SiO2 surfaces yielded a higher hole mobility (˜0.072cm2/Vs ) than those of unpatterned (˜0.015cm2/Vs) and untreated (˜5×10-3cm2/Vs) OFETs. The effect of mobility enhancement as a function of the patterned line pitch was investigated in structural and geometric characteristics. The resulting improved mobility is likely attributed to the formation of efficient π-π stacking as a result of guide-assisted, local self-organization-involved molecular interactions between the poly(3-hexylthiophene) polymer and the geometrical OTS patterns.

  18. Direct current performance and current collapse in AlGaN/GaN insulated gate high-electron mobility transistors on Si (1 1 1) substrate with very thin SiO2 gate dielectric

    NASA Astrophysics Data System (ADS)

    Lachab, M.; Sultana, M.; Fatima, H.; Adivarahan, V.; Fareed, Q.; Khan, M. A.

    2012-12-01

    This work reports on the dc performance of AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) grown on Si (1 1 1) substrate and the study of current dispersion in these devices using various widely adopted methods. The MOSHEMTs were fabricated using a very thin (4.2 nm) SiO2 film as the gate insulator and were subsequently passivated with about 30 nm thick Si3N4 layer. For devices with 2.5 µm long gates and a 4 µm drain-to-source spacing, the maximum saturation drain current density was 822 mA mm-1 at + 4 V gate bias and the peak external transconductance was ˜100 mS mm-1. Furthermore, the oxide layer successfully suppressed the drain and gate leakage currents with the subthreshold current and the gate diode current levels exceeding by more than three orders of magnitude the levels found in their Schottky gate counterparts. Capacitance-voltage and dynamic current-voltage measurements were carried out to assess the oxide quality as well as the devices’ surface properties after passivation. The efficacy of each of these characterization techniques to probe the presence of interface traps and oxide charge in the nitride-based transistors is also discussed.

  19. Effect of film thickness on the ferroelectric and dielectric properties of low-temperature (400 °C) Hf0.5Zr0.5O2 films

    NASA Astrophysics Data System (ADS)

    Kim, Si Joon; Mohan, Jaidah; Lee, Jaebeom; Lee, Joy S.; Lucero, Antonio T.; Young, Chadwin D.; Colombo, Luigi; Summerfelt, Scott R.; San, Tamer; Kim, Jiyoung

    2018-04-01

    We report on the effect of the Hf0.5Zr0.5O2 (HZO) film thickness on the ferroelectric and dielectric properties using pulse write/read measurements. HZO films of thicknesses ranging from 5 to 20 nm were annealed at 400 °C for 1 min in a nitrogen ambient to be compatible with the back-end of the line thermal budget. As the HZO film thickness decreases, low-voltage operation (1.0 V or less) can be achieved without the dead layer effect, although switching polarization (Psw) tends to decrease due to the smaller grain size. Meanwhile, for 20-nm-thick HZO films prepared under the identical stress (similar TiN top electrode thickness and thermal budget), the Psw and dielectric constant are reduced because of additional monoclinic phase formation.

  20. Precipitation phenomena in and electrical resistivity of high-temperature treated langatate (La3Ta0.5Ga5.5O14).

    PubMed

    Yaokawa, Ritsuko; Kimura, Hiromitsu; Aota, Katsumi; Uda, Satoshi

    2011-06-01

    La(3)Ta(0.5)Ga(5.5)O(14) (LTG) single crystals, which have no phase transition up to the melting point, were heat-treated in air at temperatures from 1000°C to 1450°C for 10 h. LaTaO(4) (LT) and LaGaO(3) (LG), which coexist with LTG in the three-phase region on the Ga-poor side, precipitated on the surface of the crystal for heat treatments above 1300°C because of Ga evaporation during the heat treatment. The Ga-poor state near the surface of the 1450°C heat-treated specimen was confirmed by electron probe micro-analysis measurements. The electrical resistivity of LTG single crystals decreased by heat treatment in the range of 1000°C to 1200°C for 10 h in air, where no precipitation was observed, whereas the resistivity increased with heat treatment over 1400°C for 10 h in air. The electrical resistivity of the Ga-poor surface region was higher than that of the interior.

  1. Dielectric properties of Y and Nb co-doped TiO2 ceramics.

    PubMed

    Wang, Xianwei; Zhang, Bihui; Xu, Linhai; Wang, Xiaoer; Hu, Yanchun; Shen, Gaohang; Sun, Lingyun

    2017-08-17

    In this work, the (Y 0.5 Nb 0.5 ) x Ti 1-x O 2 (x = 0.001, 0.01, 0.02, 0.04, 0.06 and 0.1) ceramics (as called YNTO) were fabricated by synthesized through a standard solid-state reaction. As revealed by the X-ray diffraction (XRD) spectra, the YNTOs exhibit tetragonal rutile structure. Meanwhile, the grain size of YNTO ceramics increased and then decreased with the increase of x value, and the largest value reached when x = 0.02. All the YNTO samples display colossal permittivity (~10 2 -10 5 ) over a wide temperature and frequency range. Moreover, the optimal ceramic, (Y 0.5 Nb 0.5 ) 0.02 Ti 0.98 O 2 , exhibits high performance over a broad temperature range from 20 °C to 180 °C; specifically, at 1 kHz, the dielectric constant and dielectric loss are 6.55 × 10 4 and 0.22 at room temperature, and they are 1.03 × 10 5 and 0.11 at 180 °C, respectively.

  2. Oxidation of ZrB2 SiC TaSi2 Materials at Ultra High Temperatures

    NASA Technical Reports Server (NTRS)

    Opila, E.; Smith, J.; Levine, S.; Lorincz, J.; Reigel, M.

    2008-01-01

    ZrB2 - 20v% SiC - 20v% TaSi2 was oxidized in stagnant air for ten minute cycles for times up to 100 minutes at 1627 C and 1927 C. The sample oxidized at 1627 C showed oxidation resistance better than that of the standard ZrB2 - 20v% SiC. The sample oxidized at 1927 C, however, showed evidence of liquid phase formation and complex oxidation products. The sample exposed at 1927 C was analyzed in detail by scanning electron microprobe and wavelength dispersive spectroscopy to understand the complex oxidation and melting reactions occurring during exposure. The as hot-pressed material shows the formation of a Zr(Ta)B2 phase in addition to the three phases in the nominal composition already noted. After oxidation, the TaSi2 in the matrix was completely reacted to form Ta(Zr)C. The layered oxidation products included SiO2, ZrO2, Ta2O5, and a complex oxide containing both Zr and Ta. Likely reactions are proposed based on thermodynamic phase stability and phase morphology.

  3. Direct visualization and in-depth physical study of metal filament formation in percolated high-κ dielectrics

    NASA Astrophysics Data System (ADS)

    Li, X.; Pey, K. L.; Bosman, M.; Liu, W. H.; Kauerauf, T.

    2010-01-01

    The migration of Ta atoms from a transistor gate electrode into the percolated high-κ (HK) gate dielectrics is directly shown using transmission electron microscopy analysis. A nanoscale metal filament that formed under high current injection is identified to be the physical defect responsible for the ultrafast transient breakdown (BD) of the metal-gate/high-κ (MG/HK) gate stacks. This highly conductive metal filament poses reliability concerns for MG/HK gate stacks as it significantly reduces the post-BD reliability margin of a transistor.

  4. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  5. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.

    2001-06-11

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSGmore » oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. {copyright} 2001 American Institute of Physics.« less

  6. Anomalous dielectric behavior in nanoparticle Eu2O3 : SiO2 glass composite system

    NASA Astrophysics Data System (ADS)

    Mukherjee, S.; Chen, C. H.; Chou, C. C.; Yang, H. D.

    2010-12-01

    Eu2O3 (0.5 mol%) nanoparticles have been synthesized in a silica glass matrix by the sol-gel method at calcination temperatures of 700 °C and above. Compared with the parent material SiO2, this nano-glass composite system shows enhancement of dielectric constant and diffuse phase transition along with magnetodielectric effect around room temperature (~270 K). The observed conduction mechanism is found to be closely related to the thermally activated oxygen vacancies. Magnetodielectric behavior is strongly associated with magnetoresistance changes, depending on the nanoparticle size and separation. Such a material might be treated as a potential candidate for device miniaturization.

  7. High efficient light absorption and nanostructure-dependent birefringence of a metal-dielectric symmetrical layered structure

    NASA Astrophysics Data System (ADS)

    Jen, Yi-Jun; Jhang, Yi-Ciang; Liu, Wei-Chih

    2017-08-01

    A multilayer that comprises ultra-thin metal and dielectric films has been investigated and applied as a layered metamaterial. By arranging metal and dielectric films alternatively and symmetrically, the equivalent admittance and refractive index can be tailored separately. The tailored admittance and refractive index enable us to design optical filters with more flexibility. The admittance matching is achieved via the admittance tracing in the normalized admittance diagram. In this work, an ultra-thin light absorber is designed as a multilayer composed of one or several cells. Each cell is a seven-layered film stack here. The design concept is to have the extinction as large as possible under the condition of admittance matching. For a seven-layered symmetrical film stack arranged as Ta2O5 (45 nm)/ a-Si (17 nm)/ Cr (30 nm)/ Al (30 nm)/ Cr (30 nm)/ a-Si (17 nm)/ Ta2O5 (45 nm), its mean equivalent admittance and extinction coefficient over the visible regime is 1.4+0.2i and 2.15, respectively. The unit cell on a transparent BK7 glass substrate absorbs 99% of normally incident light energy for the incident medium is glass. On the other hand, a transmission-induced metal-dielectric film stack is investigated by using the admittance matching method. The equivalent anisotropic property of the metal-dielectric multilayer varied with wavelength and nanostructure are investigated here.

  8. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  9. Structural, magnetic, and dielectric studies of the Aurivillius compounds SrBi{sub 5}Ti{sub 4}MnO{sub 18} and SrBi{sub 5}Ti{sub 4}Mn{sub 0.5}Co{sub 0.5}O{sub 18}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yuan, B.; Yang, J., E-mail: jyang@issp.ac.cn; Zuo, X. Z.

    We have successfully synthesized the Aurivillius compounds SrBi{sub 5}Ti{sub 4}MnO{sub 18} and SrBi{sub 5}Ti{sub 4}Mn{sub 0.5}Co{sub 0.5}O{sub 18} using a modified Pechini method. Both samples have an orthorhombic structure with the space group B2cb. The valence state of Mn is suggested to be +3 and the doped Co ions exist in the form of Co{sup 2+} and Co{sup 3+} based on the results of x-ray photoelectron spectroscopy. The sample SrBi{sub 5}Ti{sub 4}MnO{sub 18} exhibits a dominant paramagnetic state with the existence of superparamagnetic state as evidenced by the electron paramagnetic resonance results, whereas SrBi{sub 5}Ti{sub 4}Mn{sub 0.5}Co{sub 0.5}O{sub 18} undergoesmore » a ferrimagnetic transition at 161 K originating from the antiferromagnetic coupling of Co-based and Mn-based sublattices, and a ferromagnetic transition at 45 K arising from the Mn{sup 3+}-O-Co{sup 3+} (low spin) interaction. The sample SrBi{sub 5}Ti{sub 4}Mn{sub 0.5}Co{sub 0.5}O{sub 18} exhibits two dielectric anomalies. One corresponds to a relaxor-like dielectric relaxation which follows the Vogel-Fulcher function and the other dielectric relaxation obeys the Arrhenius law arising from the collective motion of oxygen vacancies. In addition, the sample SrBi{sub 5}Ti{sub 4}Mn{sub 0.5}Co{sub 0.5}O{sub 18} exhibits a magnetodielectric effect caused by the Maxwell-Wagner effect because of the conductivity of the sample. This is demonstrated by the fact that the activation energy in dielectric loss process is close to that for dc conductivity and the magnetodielectric effect is sensitive to the measured frequency.« less

  10. Microwave dielectric properties of CaCu3Ti4O12-Al2O3 composite

    NASA Astrophysics Data System (ADS)

    Rahman, Mohd Fariz Ab; Abu, Mohamad Johari; Karim, Saniah Ab; Zaman, Rosyaini Afindi; Ain, Mohd Fadzil; Ahmad, Zainal Arifin; Mohamed, Julie Juliewatty

    2016-07-01

    (1-x)CaCu3Ti4O12 + (x)Al2O3 composite (0 ≤ x ≤0.25) was prepared via conventional solid-state reaction method. The fabrication of sample was started with synthesizing stoichiometric CCTO from CaCO3, CuO and TiO2 powders, then wet-mixed in deionized water for 24 h. The process was continued with calcined CCTO powder at 900 °C for 12 h before sintered at 1040 °C for 10 h. Next, the calcined CCTO powder with different amount of Al2O3 were mixed for 24 h, then palletized and sintered at 1040 °C for 10. X-ray diffraction analysis on the sintered samples showed that CCTO powder was in a single phase, meanwhile the trace of secondary peaks which belong to CaAl2O4 and Corundum (Al2O3) could be observed in the other samples Scanning electron microscopy analysis showed that the grain size of the sample is firstly increased with addition of Al2O3 (x = 0.01), then become smaller with the x > 0.01. Microwave dielectric properties showed that the addition of Al2O3 (x = 0.01) was remarkably reduced the dielectric loss while slightly increased the dielectric permittivity. However, further addition of Al2O3 was reduced both dielectric loss and permittivity at least for an order of magnitude.

  11. Origin of anomalous giant dielectric performance in novel perovskite: Bi0.5−xLaxNa0.5−xLixTi1−yMyO3 (M = Mg2+, Ga3+)

    PubMed Central

    Liu, Xiao; Fan, Huiqing; Shi, Jing; Li, Qiang

    2015-01-01

    Dielectric properties and dielectric relaxation behaviors of A/B sites co-substituted Bi0.5Na0.5TiO3 perovskite-type ferroelectrics are reported. The Bi0.5−xLaxNa0.5−xLixTi1−yMyO3 (M = Mg2+, Ga3+) exhibits anomalous giant dielectric permittivity (ε’) of ~105 under a heterogeneous constitution with easily discernible grain and grain boundary conductivity. The lone pairs substitution theory as well as extrinsic disorders are used to clarify the significant structural evolution and the origin of the dielectric performance. A bigger free volume promotes the anomalous relaxation between oxygen sites, and the polarization direction on the nanoscale deviates from the average polarization direction at its ferroelectric state. Furthermore, no obvious phase transition indicates the considerable static substitutional disorder at the Bi/Na sites, which facilitates delocalized conduction of oxygen ions in the intermediate temperature range. PMID:26239525

  12. X-ray measurements of the strain and shape of dielectric/metallic wrap-gated InAs nanowires

    NASA Astrophysics Data System (ADS)

    Eymery, J.; Favre-Nicolin, V.; Fröberg, L.; Samuelson, L.

    2009-03-01

    Wrap-gate (111) InAs nanowires (NWs) were studied after HfO2 dielectric coating and Cr metallic deposition by a combination of grazing incidence x-ray techniques. In-plane and out-of-plane x-ray diffraction (crystal truncation rod analysis) allow determining the strain tensor. The longitudinal contraction, increasing with HfO2 and Cr deposition, is significantly larger than the radial dilatation. For the Cr coating, the contraction along the growth axis is quite large (-0.95%), and the longitudinal/radial deformation ratio is >10, which may play a role on the NW transport properties. Small angle x-ray scattering shows a smoothening of the initial hexagonal bare InAs NW shape and gives the respective core/shell thicknesses, which are compared to flat surface values.

  13. Evolutionary Optimization of a Charge Transfer Ionic Potential Model for Ta/Ta-Oxide Heterointerfaces

    DOE PAGES

    Sasikumar, Kiran; Narayanan, Badri; Cherukara, Mathew; ...

    2017-03-19

    Heterostructures of tantalum and its oxide are of tremendous technological interest for a myriad of technological applications, including electronics, thermal management, catalysis and biochemistry. In particular, local oxygen stoichiometry variation in TaO x memristors comprising of thermodynamically stable metallic (Ta) and insulating oxide (Ta 2O 5) have been shown to result in fast switching on the subnanosecond timescale over a billion cycles. This rapid switching opens up the potential for advanced functional platforms such as stateful logic operations and neuromorphic computation. Despite its broad importance, an atomistic scale understanding of oxygen stoichiometry variation across Ta/TaO x heterointerfaces, such as duringmore » early stages of oxidation and oxide growth, is not well understood. This is mainly due to the lack of a unified interatomic potential model for tantalum oxides that can accurately describe metallic (Ta), ionic (TaO x) as well as mixed (Ta/TaO x interfaces) bonding environments simultaneously. To address this challenge, we introduce a Charge Transfer Ionic Potential (CTIP) model for Ta/Ta-oxide system by training against lattice parameters, cohesive energies, equations of state (EOS), elastic properties, and surface energies of the various experimentally observed Ta 2O 5 polymorphs (hexagonal, orthorhombic and monoclinic) obtained from density functional theory (DFT) calculations. The best CTIP parameters are determined by employing a global optimization scheme driven by genetic algorithms followed by local Simplex optimization. Our newly developed CTIP potential accurately predicts structure, thermodynamics, energetic ordering of polymorphs, as well as elastic and surface properties of both Ta and Ta 2O 5, in excellent agreement with DFT calculations and experiments. We employ our newly parameterized CTIP potential to investigate the early stages of oxidation and atomic scale mechanisms associated with oxide growth on Ta surface

  14. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  15. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2015-08-12

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  16. Structural and dielectric characteristics of double perovskite La2(NiFe)1/2MnO6

    NASA Astrophysics Data System (ADS)

    Nasir, Mohd.; Kandasami, Asokan; Sen, Somaditya

    2018-05-01

    Recently, La2NiMnO6 has drawn significant interest because large magnetic field induced changes in dielectric properties makes this compound a promising material for potential spintronic device applications. In the present study, the structural and dielectric characteristics of sol-gel prepared La2(Ni1/2Fe1/2)MnO6 double perovskite ceramics were evaluated. La2(Ni1/2Fe1/2)MnO6 was crystallized in the monoclinic P21/n structure with ordered Ni2+/Fe2+ and Mn4+ cations. A giant dielectric constant with relaxor-like behavior was observed, which was attributed to the dipolar effects arising from hopping between Ni2+/Fe2+ and Mn4+ ions.

  17. Dielectric, magnetic and structural properties of novel multiferroic Eu(0.5)Ba(0.5)TiO(3) ceramics.

    PubMed

    Goian, V; Kamba, S; Nuzhnyy, D; Vaněk, P; Kempa, M; Bovtun, V; Knížek, K; Prokleška, J; Borodavka, F; Ledinský, M; Gregora, I

    2011-01-19

    Dielectric properties of Eu(0.5)Ba(0.5)TiO(3) ceramics were investigated between 10 and 300 K in the frequency range of 1 MHz-100 THz. Permittivity exhibits a strong peak near the ferroelectric phase transition at 215 K. This is mainly due to softening of the lowest frequency polar phonon revealed in THz and infrared spectra. Dielectric relaxation was observed also below the ferroelectric soft mode frequency in the whole investigated temperature region, but it is probably caused by some defects such as Eu(3 + ) cations or oxygen vacancies. This implies that the ferroelectric phase transition has predominantly a displacive character. Raman scattering spectra revealed a lowering of crystal symmetry in the ferroelectric phase and XRD analysis indicated orthorhombic A2mm symmetry below 215 K. The magnetic measurements performed at various frequencies in the field cooled and field heating regime after cooling in zero magnetic fields excluded spin glass behavior and proved an antiferromagnetic order below 1.9 K in Eu(0.5)Ba(0.5)TiO(3).

  18. Low leakage current gate dielectrics prepared by ion beam assisted deposition for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Chang Su; Jo, Sung Jin; Kim, Jong Bok; Ryu, Seung Yoon; Noh, Joo Hyon; Baik, Hong Koo; Lee, Se Jong; Kim, Youn Sang

    2007-12-01

    This communication reports on the fabrication of low operating voltage pentacene thin-film transistors with high-k gate dielectrics by ion beam assisted deposition (IBAD). These densely packed dielectric layers by IBAD show a much lower level of leakage current than those created by e-beam evaporation. These results, from the fact that those thin films deposited with low adatom mobility, have an open structure, consisting of spherical grains with pores in between, that acts as a significant path for leakage current. By contrast, our results demonstrate the potential to limit this leakage. The field effect mobility, on/off current ratio, and subthreshold slope obtained from pentacene thin-film transistors (TFTs) were 1.14 cm2/V s, 105, and 0.41 V/dec, respectively. Thus, the high-k gate dielectrics obtained by IBAD show promise in realizing low leakage current, low voltage, and high mobility pentacene TFTs.

  19. Preparation of IrO2-Ta2O5|Ti electrodes by immersion, painting and electrophoretic deposition for the electrochemical removal of hydrocarbons from water.

    PubMed

    Herrada, Rosa Alhelí; Medel, Alejandro; Manríquez, Federico; Sirés, Ignasi; Bustos, Erika

    2016-12-05

    After intense years of great development, the electrochemical technologies have become very suitable alternatives in niche markets like industrial wastewater reclamation and soil remediation. A key role to achieve a high efficiency in such treatments is played by the characteristics of the coating of the electrodes employed. This paper compares three techniques, namely immersion, painting and electrophoresis, for the preparation of IrO2-Ta2O5ǀTi, so-called dimensionally stable anodes (DSA(®)). The quality of the coatings has been investigated by means of surface and electrochemical analysis. Their ability to generate hydroxyl radicals and degrade aqueous solutions of hydrocarbons like phenanthrene, naphthalene and fluoranthene has been thoroughly assessed. Among the synthesis techniques, electrophoretic deposition yielded the best results, with DSA(®) electrodes exhibiting a homogeneous surface coverage that led to a good distribution of active sites, thus producing hydroxyl radicals that were able to accelerate the degradation of hydrocarbons. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  1. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  2. Nano-scale zirconia and hafnia dielectrics grown by atomic layer deposition: Crystallinity, interface structures and electrical properties

    NASA Astrophysics Data System (ADS)

    Kim, Hyoungsub

    With the continued scaling of transistors, leakage current densities across the SiO2 gate dielectric have increased enormously through direct tunneling. Presently, metal oxides having higher dielectric constants than SiO2 are being investigated to reduce the leakage current by increasing the physical thickness of the dielectric. Many possible techniques exist for depositing high-kappa gate dielectrics. Atomic layer deposition (ALD) has drawn attention as a method for preparing ultrathin metal oxide layers with excellent electrical characteristics and near-perfect film conformality due to the layer-by-layer nature of the deposition mechanism. For this research, an ALD system using ZrCl4/HfCl4 and H2O was built and optimized. The microstructural and electrical properties of ALD-ZrO2 and HfO2 grown on SiO2/Si substrates were investigated and compared using various characterization tools. In particular, the crystallization kinetics of amorphous ALD-HfO2 films were studied using in-situ annealing experiments in a TEM. The effect of crystallization on the electrical properties of ALD-HfO 2 was also investigated using various in-situ and ex-situ post-deposition anneals. Our results revealed that crystallization had little effect on the magnitude of the gate leakage current or on the conduction mechanisms. Building upon the results for each metal oxide separately, more advanced investigations were made. Several nanolaminate structures using ZrO2 and HfO2 with different sequences and layer thicknesses were characterized. The effects of the starting microstructure on the microstructural evolution of nanolaminate stacks were studied. Additionally, a promising new approach for engineering the thickness of the SiO2-based interface layer between the metal oxide and silicon substrate after deposition of the metal oxide layer was suggested. Through experimental measurements and thermodynamic analysis, it is shown that a Ti overlayer, which exhibits a high oxygen solubility, can

  3. Ta2O5-memristor synaptic array with winner-take-all method for neuromorphic pattern matching

    NASA Astrophysics Data System (ADS)

    Truong, Son Ngoc; Van Pham, Khoa; Yang, Wonsun; Min, Kyeong-Sik; Abbas, Yawar; Kang, Chi Jung; Shin, Sangho; Pedrotti, Ken

    2016-08-01

    Pattern matching or pattern recognition is one of the elemental components that constitute the very complicated recalling and remembering process in human's brain. To realize this neuromorphic pattern matching, we fabricated and tested a 3 × 3 memristor synaptic array with the winner-take-all method in this research. In the measurement, first, the 3 × 3 Ta2O5 memristor array is programmed to store [LLL], [LHH], and [HLH], where L is a low-resistance state and H is a high-resistance state, at the 1st, 2nd, and 3rd columns, respectively. After the programming, three input patterns, [111], [100], and [010], are applied to the memristor synaptic array. From the measurement results, we confirm that all three input patterns can be recognized well by using a twin memristor crossbar with synaptic arrays. This measurement can be thought of as the first real verification of the twin memristor crossbar with memristive synaptic arrays for neuromorphic pattern recognition.

  4. Determination of the magnetoelectric coupling coefficient from temperature dependences of the dielectric permittivity for multiferroic ceramics Bi{sub 5}Ti{sub 3}FeO{sub 15}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartkowska, J. A., E-mail: joanna.bartkowska@us.edu.pl; Dercz, J.

    2013-11-15

    In the multiferroic materials, the dielectric and magnetic properties are closely correlated through the coupling interaction between the ferroelectric and magnetic order. We attempted to determine the magnetoelectric coupling coefficient from the temperature dependences of the dielectric permittivity for multiferroic Bi{sub 5}Ti{sub 3}FeO{sub 15}. Multiferroic ceramics Bi{sub 5}Ti{sub 3}FeO{sub 15} belong to materials of the Aurivillius-type structure. Multiferroic ceramics Bi{sub 5}Ti{sub 3}FeO{sub 15} was synthesized via sintering the Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} mixture and TiO{sub 2} oxides. The precursor material was ground in a high-energy attritorial mill for 5 hours. This material was obtained by a solid-statemore » reaction process at T = 1313 K. We investigated the temperature dependences of the dielectric permittivity for the different frequencies. From the dielectric measurements, we determined the temperature of phase transition of the ferroelectric-to-paraelectric type at about 1013 K. Based on dielectric measurements and theoretical considerations, the values of the magnetoelectric coupling coefficient were specified.« less

  5. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  6. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  7. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    PubMed

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  8. Dielectric relaxation and electrical conductivity in Bi 5NbO 10 oxygen ion conductors prepared by a modified sol-gel process

    NASA Astrophysics Data System (ADS)

    Hou, Jungang; Vaish, Rahul; Qu, Yuanfang; Krsmanovic, Dalibor; Varma, K. B. R.; Kumar, R. V.

    Crystalline Bi 5NbO 10 nanoparticles have been achieved through a modified sol-gel process using a mixture of ethylenediamine and ethanolamine as a solvent. The Bi 5NbO 10 nanoparticles were characterized by X-ray diffraction (XRD), differential scanning calorimetry/thermogravimetry (DSC/TG), Fourier transform infrared spectroscopy (FT-IR), transmission electron microscopy (TEM) and Raman spectroscopy. The results showed that well-dispersed 5-60 nm Bi 5NbO 10 nanoparticles were prepared through heat-treating the precursor at 650 °C and the high density pellets were obtained at temperatures lower than those commonly employed. The frequency and temperature dependence of the dielectric constant and the electrical conductivity of the Bi 5NbO 10 solid solutions were investigated in the 0.1 Hz to 1 MHz frequency range. Two distinct relaxation mechanisms were observed in the plots of dielectric loss and the imaginary part of impedance (Z″) versus frequency in the temperature range of 200-350 °C. The dielectric constant and the loss in the low frequency regime were electrode dependent. The ionic conductivity of Bi 5NbO 10 solid solutions at 700 °C is 2.86 Ω -1 m -1 which is in same order of magnitude for Y 2O 3-stabilized ZrO 2 ceramics at same temperature. These results suggest that Bi 5NbO 10 is a promising material for an oxygen ion conductor.

  9. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  10. Processing of crack-free high density polycrystalline LiTaO3 ceramics

    DOE PAGES

    Chen, Ching-Fong; Brennecka, Geoff L.; King, Graham; ...

    2016-11-04

    Our work achieved high density (99.9%) polycrystalline LiTaO 3. The keys to the high density without cracking were the use of LiF-assisted densification to maintain fine grain size as well as the presence of secondary lithium aluminate phases as grain growth inhibitors. The average grain size of the hot pressed polycrystalline LiTaO 3 is less than 5 μm, limiting residual stresses caused by the anisotropic thermal expansion. Dilatometry results clearly indicate liquid phase sintering via the added LiF sintering aid. Efficient liquid phase sintering allows densification during low temperature hot pressing. Electron microscopy confirmed the high-density microstructure. Furthermore, Rietveld analysismore » of neutron diffraction data revealed the presence of LiAlO 2 and LiAl 5O 8 minority phases and negligible substitutional defect incorporation in LiTaO 3.« less

  11. UTa{sub 2}O(S{sub 2}){sub 3}Cl{sub 6}: A ribbon structure containing a heterobimetallic 5d-5f M{sub 3} cluster

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wells, Daniel M.; Chan, George H.; Ellis, Donald E.

    2010-02-15

    A new solid-state compound containing a heterobimetallic cluster of U and Ta, UTa{sub 2}O(S{sub 2}){sub 3}Cl{sub 6}, has been synthesized and its structure has been characterized by single-crystal X-ray diffraction methods. UTa{sub 2}O(S{sub 2}){sub 3}Cl{sub 6} was synthesized from UCl{sub 4} and Ta{sub 1.2}S{sub 2} at 883 K. The O is believed to have originated in the Ta{sub 1.2}S{sub 2} reactant. The compound crystallizes in the space group P1-bar of the triclinic system. The structure comprises a UTa{sub 2} unit bridged by mu{sub 2}-S{sub 2} and mu{sub 3}-O groups. Each Ta atom bonds to two mu{sub 2}-S{sub 2}, the mu{submore » 3}-O, and two terminal Cl atoms. Each U atom bonds to two mu{sub 2}-S{sub 2}, the mu{sub 3}-O, and four Cl atoms. The Cl atoms bridge in pairs to neighboring U atoms to form a ribbon structure. The bond distances are normal and are consistent with formal oxidation states of +IV/+V/-II/-I/-I for U/Ta/O/S/Cl, respectively. The optical absorbance spectrum displays characteristic transition peaks near the absorption edge. Density functional theory was used to assign these peaks to transitions between S{sup 1-} valence-band states and empty U 5f-6d hybrid bands. Density-of-states analysis shows overlap between Ta 5d and U bands, consistent with metal-metal interactions. - The UTa2O(S2)3Cl6 cluster with completed coordination sphere around uranium« less

  12. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  13. Effects of the [OC6F5] moiety upon structural geometry: crystal structures of half-sandwich tantalum(V) aryloxide complexes from reaction of Cp*Ta(N(t)Bu)(CH2R)2 with pentafluorophenol.

    PubMed

    Cole, Jacqueline M; Chan, Michael C W; Gibson, Vernon C; Howard, Judith A K

    2011-10-01

    The synthesis, chemical and structural characterization of a series of pentamethylcyclopentadienyl (Cp*) tantalum imido complexes and aryloxide derivatives are presented. Specifically, the imido complexes Cp*Ta(N(t)Bu)(CH(2)R)(2), where R = Ph [dibenzyl(tert-butylamido) (η(5)-pentamethylcyclopentadienyl)tantalum(IV) (1)], Me(2)Ph [tert-butylamido)bis(2-methyl-2-phenylpropyl) (η(5)-pentamethylcyclopentadienyl)tantalum(IV) (2)], CMe(3) [(tert-butylamido)bis(2,2-dimethylpropyl) (η(5)-pentamethylcyclopentadienyl)tantalum(IV) (3)], are reported. The crystal structure of (3) reveals α-agostic interactions with the Ta atom. The resulting increase in the tantalum core coordination improves electronic stability. As such it does not react with pentafluorophenol, in contrast to the other two reported imido complexes [(1) and (2)]. Addition of C(6)F(5)OH to (1) yields a dimeric aryl-oxide derivative, [Cp*Ta(CH(2)Ph)(OC(6)H(5))(μ-O)](2) [di-μ-oxido-bis[benzyl(pentafluorophenolato) (η(5)-pentamethylcyclopentadienyl)tantalum(V)] (4)]. Its crystal structure reveals long Ta-O(C(6)H(5)) bonds but short oxo-bridging Ta-O bonds. This is explained by accounting for the fierce electronic competition for the vacant d(π) orbitals of the electrophilic Ta(V) centre. Steric congestion around each metal is alleviated by a large twist angle (77.1°) between the benzyl and pentafluorophenyl ligands and the ordering of each of these groups into stacked pairs. The imido complex (2) reacts with C(6)F(5)OH to produce a mixture of Cp*Ta(OC(6)F(5))(4) [tetrakis(pentafluorophenolato)(η(5)-pentamethylcyclopentadienyl)tantalum(V) (5)] and [Cp*Ta(OC(6)F(5))(2)(μ-O)](2) [di-μ-oxido-bis[bis(pentafluorophenolato)(η(5)-pentamethylcyclopentadienyl)tantalum(V)] (6)]. Steric congestion is offset in both cases by the twisting of its pentafluorophenyl ligands. Particularly strong electronic competition for the empty d(π) metal orbitals in (6) is reflected in its bond geometry, and owes itself to the

  14. Influence of oxygen annealing on the dielectric properties of SrBi2(V0.1Nb0.9)2O9 ceramics

    NASA Astrophysics Data System (ADS)

    Wu, Y.; Forbess, M.; Seraji, S.; Limmer, S.; Chou, T.; Cao, G. Z.

    2001-09-01

    The influences of O2 and N2 annealing on the dielectric properties of SrBi2(V0.1Nb0.9)2O9 (SBVN) ferroelectrics were studied. Ceramic samples were prepared by reaction sintering a powder mixture of constituent oxides at 950 °C for 2 h in air. Some samples were also subsequently annealed at 800 °C for 3 h in O2 or N2. With O2 annealing, the Curie point of the SBVN ferroelectrics changed from ~433 to ~438 °C and the peak dielectric constant increased from ~760 to ~1010 (at 100 kHz). However, no change in the Curie point was found with N2 annealing. Furthermore, O2 annealing was found to reduce significantly both the dielectric constant and loss tangent of the SBVN ferroelectrics at frequencies below 1000 Hz. XRD results revealed a small reduction in the lattice constants with O2 annealing, but no appreciable change with N2 annealing. In addition, no detectable change in the microstructure of the SBVN samples was found with annealing. These results imply that some V4+ ions, which are compensated by the formation of oxygen vacancies, existed in the SBVN ferroelectrics prior to O2 annealing. V4+ ions were oxidized to V5+ with O2 annealing, which resulted in improved dielectric properties.

  15. Structural, Dielectric, and Electrical Properties of Bi1- x Pb x Fe1- x (Zr0.5Ti0.5) x O3

    NASA Astrophysics Data System (ADS)

    Panda, Niranjan; Pattanayak, Samita; Choudhary, R. N. P.

    2015-12-01

    Polycrystalline samples of Bi1- x Pb x Fe1- x (Zr0.5Ti0.5) x O3 (BPFZTO) with x = 0.0, 0.2, 0.3, and 0.4 were prepared by high-temperature solid-state reaction. Preliminary structural analysis of calcined powders of the materials by use of x-ray powder diffraction confirmed formation of single-phase systems with the tetragonal structure. Room-temperature scanning electron micrographs of the samples revealed uniform distribution of grains of low porosity and different dimensions on the surface of the samples. The frequency-temperature dependence of dielectric and electric properties was studied by use of dielectric and complex impedance spectroscopy over a wide range of frequency (1 kHz to 1 MHz) at different temperatures (25-500°C). The dielectric constant of BiFeO3 (BFO) was enhanced by substitution with Pb(Zr0.5Ti0.5)O3 (PZT) whereas the dielectric loss of the BPFZTO compounds decreased with increasing PZT content. A significant contribution of both grains and grain boundaries to the electrical response of the materials was observed. The frequency-dependence of the ac conductivity of BPFZTO followed Jonscher's power law. Negative temperature coefficient of resistance behavior was observed for all the BPFZTO samples. Conductivity by thermally excited charge carriers and oxygen vacancies in the materials was believed to be of the Arrhenius-type.

  16. Densification of PZT Ceramics with V2O5 Additive.

    DTIC Science & Technology

    1979-01-01

    Additions of V2O5 from 0.1 to 8.0 w/o to a coprecipitated Pb(Zr.53 Ti.47) O3 ceramic promoted rapid densification below 1025 C, eliminating the need...for PbO atmosphere control. Dielectric properties were found to be dependent on the amount of V2O5 added and on the microstructure developed, but were...comparable to reported values for this PZT composition for additions of V2O5 or = 1.5 W/O. The indicated densification mechanism is one of activated sintering catalyzed by generation of oxygen defects on decomposition of the V2O5 .

  17. The solid-solution region for the langasite-type Ca3TaGa3Si2O14 crystal as determined by a lever rule

    NASA Astrophysics Data System (ADS)

    Zhao, Hengyu; Uda, Satoshi; Maeda, Kensaku; Nozawa, Jun; Koizumi, Haruhiko; Fujiwara, Kozo

    2015-04-01

    A lever rule was applied to data concerning the compositions and proportions of secondary phases coexisting with a Ca3TaGa3Si2O14 (CTGS) matrix to determine the boundary compositions of the solid-solution region for CTGS at 1320 °C, as a means of ascertaining the solid-solution for the langasite-type phase in the quaternary CaO-Ta2O5-Ga2O3-SiO2 system. The compositions and proportions of secondary phases were assessed by electron probe micro-analysis as well as through back-scattered electron images. The experimental results showed that the narrow solid-solution region for CTGS is located in a Ta-poor, Ga-poor and Si-rich region relative to its stoichiometric composition.

  18. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  19. Size dependent anomalous dielectric behavior in nanoparticle Gd2 O 3 : SiO2 glass composite system

    NASA Astrophysics Data System (ADS)

    Mukherjee, Sudip; Lin, Yu-Hsing; Kao, Ting-Hui; Chou, C. C.; Yang, H. D.

    2011-03-01

    Gd 2 O3 (0.5 mol%) nanoparticles have been synthesized in a silica glass matrix by the sol-gel method at calcination temperatures of 700& circ; C and above. Compared with the parent material Si O2 , this nano-glass composite system shows enhancement of dielectric constant and diffuse phase transition along with magnetodielectric effect around room temperature. Observed conduction mechanism is found to be closely related to the thermally activated oxygen vacancies. Magnetodielectric behavior is strongly associated with magnetoresistance changes, depending on the nanoparticle size and separation. Such a material might be treated as a potential candidate for device miniaturization.

  20. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  1. Dielectric and AC conductivity studies of Nd substituted 0.8BaTiO{sub 3}-0.2(Bi{sub 0.5(1-x)}Nd{sub 0.5x}K{sub 0.5})TiO{sub 3} lead free ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramesh, M. N. V.; Ramesh, K. V., E-mail: kv-ramesh5@yahoo.co.in

    2016-05-23

    0.8BaTiO{sub 3} – 0.2(Bi{sub 0.5(1-x)}Nd{sub 0.5x}K{sub 0.5})TiO{sub 3} (0.01 ≤ x ≤ 0.06) lead free ceramic materials have been prepared by solid state reaction method and followed by high energy ball milling process. X-ray diffraction studies confirm the tetragonal structure of the materials at room temperature. Lattice parameters and density are decreasing with increase of Nd substitution. Microstructure studies were done by using Scanning electron microscope and it found that grain size is decreasing with increase of Nd substitution. Temperature and frequency dependent dielectric studies reveal relaxor behaviour of the materials. Dielectric constant, dielectric loss and Curie temperature are decreasingmore » with Nd substitution. Maximum Curie temperature of 195°C was observed at 1 MHz for x=0.01 Nd substituted sample. Degree of diffuseness was calculated from the modified Curie-Weiss law and it is increasing with Nd substitution. AC conductivity is increasing with increase of Nd substitution and observed maximum activation energy of 0.52 eV for x=0.02 Nd substituted sample.« less

  2. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  3. Frequency and temperature dependence of dielectric and ac electrical properties of NiFe2O4-ZnO multiferroic nanocomposite

    NASA Astrophysics Data System (ADS)

    Dutta, Papia; Mandal, S. K.; Dey, P.; Nath, A.

    2018-04-01

    We have presented the ac electrical properties and dielectric studies of 0.5 NiFe2O4 - 0.5 ZnO multiferroic nanocomposites prepared through low temperature "pyrophoric reaction process". Structural characterization has been carried out through X-ray diffraction technique, which shows the co-existence of both the phases of the nanocomposites. The ac electrical properties of nanocomposites have been studied employing impedance spectroscopy technique. The impedance value is found to increase with increase in magnetic field attributing the magnetostriction property of the composites. Dielectric constant is found to decrease with both the increase in magnetic fields and temperatures. Studies of dielectric constant reveal the Maxwell Wagner interfacial polarization at low frequency regime. Relaxation frequency as a function of magnetic fields and temperatures is found to shift towards the high frequency region.

  4. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    PubMed

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  5. Effect of temperature on the electrical properties of a metal-ferroelectric (SrBi2Ta2O9)-insulator (HfTaO)-silicon capacitor

    NASA Astrophysics Data System (ADS)

    Chen, Y. Q.; Xu, X. B.; Lei, Z. F.; Y Liao, X.; Wang, X.; Zeng, C.; En, Y. F.; Huang, Y.

    2015-01-01

    A metal-ferroelectric (SrBi2Ta2O9)-insulator (HfTaO)-semiconductor capacitor was fabricated, and the temperature dependence of its electrical properties was investigated. Within the temperature range of 300-220 K, the maximum memory window is up to 1.26 V, and it could be attributed to a higher coercive field of the ferroelectric film at a lower temperature, which is induced by the deeper and more box-shaped potential well based on the defect-domain interaction model. The memory window decreases with increasing temperature from 300 to 400 K, and the larger sweep voltage leads to a smaller memory window at a higher temperature, which could be attributed to temperature-dependent polarization of the ferroelectric film and charge injection from an Si substrate of the capacitor. With the temperature increasing from 220 to 400 K, the leakage current density increases with temperature by about one order, and the corresponding conduction mechanism is discussed. The results could provide useful guidelines for design and application of ferroelectric memory.

  6. Structural and dielectric characteristics of Ba3Ln3Ti5Nb5O30 (Ln = La, Nd, Sm) filled tungsten bronze ceramics

    NASA Astrophysics Data System (ADS)

    Chen, Wang; Gao, Ting Ting; Zhu, Xiao Li; Chen, Xiang Ming

    2018-03-01

    In the present work, the structural, dielectric and relaxor ferroelectric properties were investigated for Ba3Ln3Ti5Nb5O30 (Ln = La, Nd, Sm) ceramics. The filled tungsten bronze phase with space group P4/mbm was confirmed for all compositions, while a small amount of secondary phase was detected in Ba3Nd3Ti5Nb5O30 and Ba3Sm3Ti5Nb5O30. The typical relaxor ferroelectric behaviors were observed: a broad peak of dielectric constant shifting to higher temperatures and decreasing its magnitude with increasing frequency and the frequency dispersion obeying the Vogel-Fulcher relationship. The P-E (polarization-electric field) hysteresis loops were obtained for Ba3Ln3Ti5Nb5O30 (Ln = La, Nd, Sm) ceramics at low temperatures. The nanoscale ferroelectric 180° domains with strip-like shape were observed in the paraelectric matrix at room temperature, where the commensurate structural modulations were determined in the domains and incommensurate ones were determined in the matrix. The significant differences were determined between the present ceramics and Ba4Ln2Ti4Nb6O30 and Ba5LnTi3Nb7O30 because of the different distribution patterns of A1 and A2 cations.

  7. Synthesis, structural, dielectric and magnetic properties of CuFe2O4/MnO2 nanocomposites

    NASA Astrophysics Data System (ADS)

    Ali, Kashif; Bahadur, Ali; Jabbar, Abdul; Iqbal, Shahid; Ahmad, Ijaz; Bashir, Muhammad Imran

    2017-07-01

    Novel nanocomposite of (1-x)CuFe2O4/xMnO2 [x=10% to 50 wt%] has been synthesized by two step wet chemical route without impurity. The x-ray diffraction analysis shows the formation of both phases with crystallite size 40-100 nm which is consist ant with estimated size of SEM.The FTIR spectra confirms the characteristics vibration of ferrites atoms at tetrahedral and octahedral sites along with Mn-O vibration mode, which also confirms the coexistence of both phases. The dielectric properties studied by LCR meter in frequency range of 1 K Hz to 2 MHz.The dielectric constant and tangent loss shows same dispersion of ferrites while a.c. conductivity decreases with increase in MnO2 contents. The real and imaginary part of impedance also calculated which shows decreasing trend at higher frequency. The magnetic characterization performed by vibrating sample magnetometer (VSM) at room temperature, which shows normal ferromagnetic behavior of ferrites but saturation magnetization and coercivity decreases with incorporation of MnO2 contents.

  8. Thin film dielectric composite materials

    DOEpatents

    Jia, Quanxi; Gibbons, Brady J.; Findikoglu, Alp T.; Park, Bae Ho

    2002-01-01

    A dielectric composite material comprising at least two crystal phases of different components with TiO.sub.2 as a first component and a material selected from the group consisting of Ba.sub.1-x Sr.sub.x TiO.sub.3 where x is from 0.3 to 0.7, Pb.sub.1-x Ca.sub.x TiO.sub.3 where x is from 0.4 to 0.7, Sr.sub.1-x Pb.sub.x TiO.sub.3 where x is from 0.2 to 0.4, Ba.sub.1-x Cd.sub.x TiO.sub.3 where x is from 0.02 to 0.1, BaTi.sub.1-x Zr.sub.x O.sub.3 where x is from 0.2 to 0.3, BaTi.sub.1-x Sn.sub.x O.sub.3 where x is from 0.15 to 0.3, BaTi.sub.1-x Hf.sub.x O.sub.3 where x is from 0.24 to 0.3, Pb.sub.1-1.3x La.sub.x TiO.sub.3+0.2x where x is from 0.23 to 0.3, (BaTiO.sub.3).sub.x (PbFeo.sub.0.5 Nb.sub.0.5 O.sub.3).sub.1-x where x is from 0.75 to 0.9, (PbTiO.sub.3).sub.- (PbCo.sub.0.5 W.sub.0.5 O.sub.3).sub.1-x where x is from 0.1 to 0.45, (PbTiO.sub.3).sub.x (PbMg.sub.0.5 W.sub.0.5 O.sub.3).sub.1-x where x is from 0.2 to 0.4, and (PbTiO.sub.3).sub.x (PbFe.sub.0.5 Ta.sub.0.5 O.sub.3).sub.1-x where x is from 0 to 0.2, as the second component is described. The dielectric composite material can be formed as a thin film upon suitable substrates.

  9. Crystal growth and piezoelectric properties of Ca3Ta(Ga0.9Sc0.1)3Si2O14 bulk single crystal

    NASA Astrophysics Data System (ADS)

    Igarashi, Yu; Yokota, Yuui; Ohashi, Yuji; Inoue, Kenji; Yamaji, Akihiro; Shoji, Yasuhiro; Kamada, Kei; Kurosawa, Shunsuke; Yoshikawa, Akira

    2018-03-01

    Ca3Ta(Ga0.9Sc0.1)3Si2O14 langasite-type single crystal with a diameter of 1 in. was grown by Czochralski (Cz) method. Obtained crystal had good crystallinity and its lattice constants exceeded those of Ca3TaGa3Si2O14 (CTGS) according to the X-ray analysis. A crack-free specimen cut from the grown crystal was used for the measurements of dielectric constant ε11T/ε0, electromechanical coupling factor k12, and piezoelectric constant d11. The accuracies of these measurements were better than those for the crystal grown by micro-pulling-down (μ-PD) method. Substitution of Ga with Sc resulted modification of these constants in the directions opposite to those observed after partial substitution of Ga (of CTGS) with Al. This suggests that increase of |d14| was most probably associated with enlargement of average size of the Ga sites. The crystal reported here had greater dimensions as compared to analogous crystals grown by the μ-PD method. As a result, accuracy of determination of acoustic constants of this material may be improved.

  10. Lanthanum aluminum oxide thin-film dielectrics from aqueous solution.

    PubMed

    Plassmeyer, Paul N; Archila, Kevin; Wager, John F; Page, Catherine J

    2015-01-28

    Amorphous LaAlO3 dielectric thin films were fabricated via solution processing from inorganic nitrate precursors. Precursor solutions contained soluble oligomeric metal-hydroxyl and/or -oxo species as evidenced by dynamic light scattering (DLS) and Raman spectroscopy. Thin-film formation was characterized as a function of annealing temperature using Fourier transform infrared (FTIR), X-ray diffraction (XRD), X-ray reflectivity (XRR), scanning electron microscopy (SEM), and an array of electrical measurements. Annealing temperatures ≥500 °C result in thin films with low leakage-current densities (∼1 × 10(-8) A·cm(-2)) and dielectric constants ranging from 11.0 to 11.5. When incorporated as the gate dielectric layer in a-IGZO thin-film transistors (TFTs), LaAlO3 thin films annealed at 600 °C in air yielded TFTs with relatively low average mobilities (∼4.5 cm(2)·V(-1)·s(-1)) and high turn-on voltages (∼26 V). Interestingly, reannealing the LaAlO3 in 5%H2/95%N2 at 300 °C before deposition of a-IGZO channel layers resulted in TFTs with increased average mobilities (11.1 cm(2)·V(-1)·s(-1)) and lower turn-on voltages (∼6 V).

  11. Raman spectroscopy and dielectric Studies of multiple phase transitions in ZnO:Ni

    NASA Astrophysics Data System (ADS)

    Yadav, Harish Kumar; Sreenivas, K.; Gupta, Vinay; Scott, J. F.; Katiyar, R. S.

    2008-03-01

    We present Raman and dielectric data on Ni-doped ZnO (Zn1-xNixO) ceramics as a function of Ni concentration (x =0.03, 0.06, and 0.10) and temperature. A mode (around 130cm-1) is identified as TA(M) [J. M. Calleja and M. Cardona, Phys. Rev. B 16, 3753 (1977)] and appears due to an antiferromagnetic phase transition at low temperatures (100K) via the spin-orbit mechanism [P. Moch and C. Dugautier, Phys. Lett. A 43, 169 (1973)]. A strong dielectric anomaly occurs at around 430-460K, depending on Ni concentration, and is due to extrinsic electret effects (Ni ionic conduction) and not to a ferroelectric phase transition.

  12. Highly Active GaN-Stabilized Ta3 N5 Thin-Film Photoanode for Solar Water Oxidation.

    PubMed

    Zhong, Miao; Hisatomi, Takashi; Sasaki, Yutaka; Suzuki, Sayaka; Teshima, Katsuya; Nakabayashi, Mamiko; Shibata, Naoya; Nishiyama, Hiroshi; Katayama, Masao; Yamada, Taro; Domen, Kazunari

    2017-04-18

    Ta 3 N 5 is a very promising photocatalyst for solar water splitting because of its wide spectrum solar energy utilization up to 600 nm and suitable energy band position straddling the water splitting redox reactions. However, its development has long been impeded by poor compatibility with electrolytes. Herein, we demonstrate a simple sputtering-nitridation process to fabricate high-performance Ta 3 N 5 film photoanodes owing to successful synthesis of the vital TaO δ precursors. An effective GaN coating strategy is developed to remarkably stabilize Ta 3 N 5 by forming a crystalline nitride-on-nitride structure with an improved nitride/electrolyte interface. A stable, high photocurrent density of 8 mA cm -2 was obtained with a CoPi/GaN/Ta 3 N 5 photoanode at 1.2 V RHE under simulated sunlight, with O 2 and H 2 generated at a Faraday efficiency of unity over 12 h. Our vapor-phase deposition method can be used to fabricate high-performance (oxy)nitrides for practical photoelectrochemical applications. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Thin Films

    NASA Astrophysics Data System (ADS)

    Khorshidi, Zahra; Bahari, Ali; Gholipur, Reza

    2014-11-01

    Effect of annealing temperature on the characteristics of sol-gel-driven Ta ax La(1- a) x O y thin film spin-coated on Si substrate as a high- k gate dielectric was studied. Ta ax La(1- a) x O y thin films with different amounts of a were prepared (as-prepared samples). X-ray diffraction measurements of the as-prepared samples indicated that Ta0.3 x La0.7 x Oy film had an amorphous structure. Therefore, Ta0.3 x La0.7 x O y film was chosen to continue the present studies. The morphology of Ta0.3 x La0.7 x O y films was studied using scanning electron microscopy and atomic force microscopy techniques. The obtained results showed that the size of grain boundaries on Ta0.3 x La0.7 x O y film surfaces was increased with increasing annealing temperature. Electrical and optical characterizations of the as-prepared and annealed films were investigated as a function of annealing temperature using capacitance-voltage ( C- V) and current density-voltage ( J- V) measurements and the Tauc method. The obtained results demonstrated that Ta0.3 x La0.7 x O y films had high dielectric constant (≈27), wide band gap (≈4.5 eV), and low leakage current density (≈10-6 A/cm2 at 1 V).

  14. Surface Modification of Solution-Processed ZrO2 Films through Double Coating for Pentacene Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Kwon, Jin-Hyuk; Bae, Jin-Hyuk; Lee, Hyeonju; Park, Jaehoon

    2018-03-01

    We report the modification of surface properties of solution-processed zirconium oxide (ZrO2) dielectric films achieved by using double-coating process. It is proven that the surface properties of the ZrO2 film are modified through the double-coating process; the surface roughness decreases and the surface energy increases. The present surface modification of the ZrO2 film contributes to an increase in grain size of the pentacene film, thereby increasing the field-effect mobility and decreasing the threshold voltage of the pentacene thin-film transistors (TFTs) having the ZrO2 gate dielectric. Herein, the molecular orientation of pentacene film is also studied based on the results of contact angle and X-ray diffraction measurements. Pentacene molecules on the double-coated ZrO2 film are found to be more tilted than those on the single-coated ZrO2 film, which is attributed to the surface modification of the ZrO2 film. However, no significant differences are observed in insulating properties between the single-and the double-coated ZrO2 dielectric films. Consequently, the characteristic improvements of the pentacene TFTs with the double-coated ZrO2 gate dielectric film can be understood through the increase in pentacene grain size and the reduction in grain boundary density.

  15. Nanocrystal floating gate memory with solution-processed indium-zinc-tin-oxide channel and colloidal silver nanocrystals

    NASA Astrophysics Data System (ADS)

    Hu, Quanli; Ha, Sang-Hyub; Lee, Hyun Ho; Yoon, Tae-Sik

    2011-12-01

    A nanocrystal (NC) floating gate memory with solution-processed indium-zinc-tin-oxide (IZTO) channel and silver (Ag) NCs embedded in thin gate dielectric layer (SiO2(30 nm)/Al2O3(3 nm)) was fabricated. Both the IZTO channel and colloidal Ag NC layers were prepared by spin-coating and subsequent annealing, and dip-coating process, respectively. A threshold voltage shift up to ~0.9 V, corresponding to the electron density of 6.5 × 1011 cm-2, at gate pulsing <=10 V was achieved by the charging of high density NCs. These results present the successful non-volatile memory characteristics of an oxide-semiconductor transistor fabricated through solution processes.

  16. Phase diagram, chemical stability and physical properties of the solid-solution Ba{sub 4}Nb{sub 2-x}Ta{sub x}O{sub 9}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dunstan, Matthew T., E-mail: m.dunstan@chem.usyd.edu.au; Southon, Peter D.; Kepert, Cameron J.

    Through the construction of the Ba{sub 4}Nb{sub 2-x}Ta{sub x}O{sub 9} phase diagram, it was discovered that the unique high-temperature {gamma} phase is a thermodynamic intermediate between the low-temperature {alpha} phase (Sr{sub 4}Ru{sub 2}O{sub 9}-type) and a 6H-perovskite. Refined site occupancies for the {gamma} phase across the Ba{sub 4}Nb{sub 2-x}Ta{sub x}O{sub 9} solid-solution indicate that Nb preferentially occupies the tetrahedral sites over the octahedral sites in the structure. When annealed in a CO{sub 2}-rich atmosphere, all of the phases studied absorb large amounts of CO{sub 2} at high temperatures between {approx}700 and 1300 K. In situ controlled-atmosphere diffraction studies show thatmore » this behaviour is linked to the formation of BaCO{sub 3} on the surface of the material, accompanied by a Ba{sub 5}(Nb,Ta){sub 4}O{sub 15} impurity phase. In situ diffraction in humid atmospheres also confirms that these materials hydrate below {approx}1273K, and that this plays a critical role in the various reconstructive phase transitions as well as giving rise to proton conduction. - Graphical abstract: Thermodynamic phase diagram of Ba{sub 4}Nb{sub 2-x}Ta{sub x}O{sub 9}. Highlights: > {gamma}-Ba{sub 4}Nb{sub 2}O{sub 9} phase is a structural intermediate between the {alpha} and 6H-perovskite phases. > Ba{sub 4}Nb{sub 2}O{sub 9} and Ba{sub 4}Ta{sub 2}O{sub 9} decompose at high temperatures in the presence of CO{sub 2}. > These materials all absorb between 5% and 6% of CO{sub 2} by mass between {approx}800 and 1200 K.« less

  17. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  18. Alkali oxide-tantalum oxide and alkali oxide-niobium oxide ionic conductors

    NASA Technical Reports Server (NTRS)

    Roth, R. S.; Parker, H. S.; Brower, W. S.; Minor, D.

    1974-01-01

    A search was made for new cationic conducting phases in alkali-tantalate and niobate systems. The phase equilibrium diagrams were constructed for the six binary systems Nb2O5-LiNbO3, Nb2O5-NaNbO3, Nb2O5-KNbO3, Ta2O5-NaTaO3, Ta2O5-LiTaO3, and Ta2O5-KTaO3. Various other binary and ternary systems were also examined. Pellets of nineteen phases were evaluated (by the sponsoring agency) by dielectric loss measurements. Attempts were made to grow large crystals of eight different phases. The system Ta2O5-KTaO3 contains at least three phases which showed peaks in dielectric loss vs. temperature. All three contain structures related to the tungsten bronzes with alkali ions in non-stoichiometric crystallographic positions.

  19. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  20. Structural, magnetic and dielectric properties of polyaniline/MnCoFe2O4 nanocomposites

    NASA Astrophysics Data System (ADS)

    Chitra, Palanisamy; Muthusamy, Athianna; Jayaprakash, Rajan

    2015-12-01

    Ferromagnetic PANI containing MnCoFe2O4 nanocomposites were synthesized by in-situ chemical polymerization of aniline incorporated MnCoFe2O4 nanoparticles (20%, 10% w/w of fine powders) with and without ultrasonic treatment. The MnCoFe2O4 nanoparticles were synthesized by auto combustion method. The PANI/MnCoFe2O4 nanocomposites were characterized with Fourier transform infrared (FTIR), X-ray diffraction (XRD), Scanning Electron Microscopy (SEM) and Transmission Electron Microscopy (TEM). The average particle size of the resulting PANI/MnCoFe2O4 nanocomposites was confirmed from the TEM and XRD analysis. The structure and morphology of the composites were confirmed by FT-IR spectroscopy, XRD and SEM. In addition, the electrical and magnetic properties of the nanocomposites were investigated. The PANI/MnCoFe2O4 nanocomposites under applied magnetic field exhibited the hysteresis loops of ferromagnetic nature at room temperature. The variation of Dielectric constant, Dielectric loss, and AC conductivity of PANI/MnCoFe2O4 nanocomposites at room temperature as a function of frequency in the range 50 Hz-5 MHz has been studied. Effect of ultrasonication on the PANI/MnCoFe2O4 nanocomposites was also investigated.

  1. Novel Quantum Dot Gate FETs and Nonvolatile Memories Using Lattice-Matched II-VI Gate Insulators

    NASA Astrophysics Data System (ADS)

    Jain, F. C.; Suarez, E.; Gogna, M.; Alamoody, F.; Butkiewicus, D.; Hohner, R.; Liaskas, T.; Karmakar, S.; Chan, P.-Y.; Miller, B.; Chandy, J.; Heller, E.

    2009-08-01

    This paper presents the successful use of ZnS/ZnMgS and other II-VI layers (lattice-matched or pseudomorphic) as high- k gate dielectrics in the fabrication of quantum dot (QD) gate Si field-effect transistors (FETs) and nonvolatile memory structures. Quantum dot gate FETs and nonvolatile memories have been fabricated in two basic configurations: (1) monodispersed cladded Ge nanocrystals (e.g., GeO x -cladded-Ge quantum dots) site-specifically self-assembled over the lattice-matched ZnMgS gate insulator in the channel region, and (2) ZnTe-ZnMgTe quantum dots formed by self-organization, using metalorganic chemical vapor-phase deposition (MOCVD), on ZnS-ZnMgS gate insulator layers grown epitaxially on Si substrates. Self-assembled GeO x -cladded Ge QD gate FETs, exhibiting three-state behavior, are also described. Preliminary results on InGaAs-on-InP FETs, using ZnMgSeTe/ZnSe gate insulator layers, are presented.

  2. Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation

    NASA Astrophysics Data System (ADS)

    Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.

    2011-03-01

    We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.

  3. Possibility of Flat-Band Ferromagnetism in Hole-Doped Pyrochlore Oxides Sn2 Nb2 O7 and Sn2 Ta2 O7

    NASA Astrophysics Data System (ADS)

    Hase, I.; Yanagisawa, T.; Aiura, Y.; Kawashima, K.

    2018-05-01

    Quantum mechanics tells us that the hopping integral between local orbitals makes the energy band dispersive. In a lattice with geometric frustration, however, dispersionless flat bands may appear due to quantum interference. Several models possessing flat bands have been proposed theoretically, and many attracting magnetic and electronic properties are predicted. However, despite many attempts to realize these models experimentally, compounds that are appropriately described by this model have not been found so far. Here we show that pyrochlore oxides Sn2 Nb2 O7 and Sn2Ta2O7 are such examples, by performing first-principles band calculation and several tight-binding analyses. Moreover, spin-polarized band calculation shows that the hole-doped systems Sn2 Nb2 O6 N and Sn2 Ta2 O6 N have complete spin polarization, and their magnetic moments are mostly carried by Sn-s and N-p orbitals, which are usually nonmagnetic. These compounds are not only candidates for ferromagnets without a magnetic element, but also will provide an experimental platform for a flat-band model which shows a wide range of physical properties.

  4. Multifunctional Hybrid Multilayer Gate Dielectrics with Tunable Surface Energy for Ultralow-Power Organic and Amorphous Oxide Thin-Film Transistors.

    PubMed

    Byun, Hye-Ran; You, Eun-Ah; Ha, Young-Geun

    2017-03-01

    For large-area, printable, and flexible electronic applications using advanced semiconductors, novel dielectric materials with excellent capacitance, insulating property, thermal stability, and mechanical flexibility need to be developed to achieve high-performance, ultralow-voltage operation of thin-film transistors (TFTs). In this work, we first report on the facile fabrication of multifunctional hybrid multilayer gate dielectrics with tunable surface energy via a low-temperature solution-process to produce ultralow-voltage organic and amorphous oxide TFTs. The hybrid multilayer dielectric materials are constructed by iteratively stacking bifunctional phosphonic acid-based self-assembled monolayers combined with ultrathin high-k oxide layers. The nanoscopic thickness-controllable hybrid dielectrics exhibit the superior capacitance (up to 970 nF/cm 2 ), insulating property (leakage current densities <10 -7 A/cm 2 ), and thermal stability (up to 300 °C) as well as smooth surfaces (root-mean-square roughness <0.35 nm). In addition, the surface energy of the hybrid multilayer dielectrics are easily changed by switching between mono- and bifunctional phosphonic acid-based self-assembled monolayers for compatible fabrication with both organic and amorphous oxide semiconductors. Consequently, the hybrid multilayer dielectrics integrated into TFTs reveal their excellent dielectric functions to achieve high-performance, ultralow-voltage operation (< ± 2 V) for both organic and amorphous oxide TFTs. Because of the easily tunable surface energy, the multifunctional hybrid multilayer dielectrics can also be adapted for various organic and inorganic semiconductors, and metal gates in other device configurations, thus allowing diverse advanced electronic applications including ultralow-power and large-area electronic devices.

  5. Enhanced dielectric properties of Fe-substituted TiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Ali, T.; Ahmed, Ateeq; Naseem siddique, M.; Tripathi, P.

    2018-04-01

    We report the structural and dielectric properties Ti1-xFexO2 (0.00 < x < 0.10) nanoparticles (NPs) synthesized by sol-gel method. The synthesized material has been characterized by soft X-ray absorption spectroscopy (SXAS) in order to investigate the fine structure and electronic valence state. SXAS analysis reveals that Fe-ions exist only in 3+ valance state in all the samples. The dielectric properties were studied by the use of LCR impedance spectroscopy. The dielectric constants, dielectric loss and A.C. conductivity have been determined as a function of frequency and composition of iron. At higher frequencies, the materials exhibited high AC Conductivity and low dielectric constant. The above theory could be explained by 'Maxwell Wagner Model' and may provide a new insight to fabricate nanomaterials having possible electrical application.

  6. Dielectric Relaxation Study of Multiferroic BiFe0.95(Ni0.5Ti0.5)0.05O3

    NASA Astrophysics Data System (ADS)

    Abdelkafi, Z.; Khasskhoussi, G.; Abdelmoula, N.

    2018-03-01

    The doping of BiFeO3 (BFO) with 5% of Ni and Ti to form the composition BiFe0.95(Ni0.5Ti0.5)0.05O3 (BFNT05) was prepared via a solid state reaction technique. X-ray diffraction (XRD) shows that the prepared ceramic has a pure-phase perovskite structure with rhombohedral symmetry. Thermal evolution of the permittivity reveals a large value of permittivity accompanied by a strong dispersion. This evolution indicates a dielectric anomaly at around 520 K near the Néel temperature ( T N), which supports a strong magneto-dielectric coupling. The dielectric dispersion in BFNT05 was studied by the measurement of the permittivity over a wide frequency range from 20 Hz to 1 MHz at different temperatures 300-700 K. The experimental dielectric data was described by the Cole-Cole relaxation equation modified by introducing the conductivity. Modified impedance and modulus expressions were used successfully as tools to separate the contribution from grains and grain boundaries of BFNT05. The calculated bulk grain conductivity indicated an anomalous behavior near the temperature related to the antiferro-paramagnetic phase transition of the corresponding BFNT05 ceramic. In contrast, this ceramic exhibited a predominant grain boundaries behavior at the studied temperature and frequency range. In this case, they were the elements responsible for dielectric dispersion, and also they played an important role in the improvement of the dielectric behavior of this ceramic. The ac conductivity study confirmed the modified impedance and modulus expressions.

  7. Dielectric Relaxation Study of Multiferroic BiFe0.95(Ni0.5Ti0.5)0.05O3

    NASA Astrophysics Data System (ADS)

    Abdelkafi, Z.; Khasskhoussi, G.; Abdelmoula, N.

    2017-12-01

    The doping of BiFeO3 (BFO) with 5% of Ni and Ti to form the composition BiFe0.95(Ni0.5Ti0.5)0.05O3 (BFNT05) was prepared via a solid state reaction technique. X-ray diffraction (XRD) shows that the prepared ceramic has a pure-phase perovskite structure with rhombohedral symmetry. Thermal evolution of the permittivity reveals a large value of permittivity accompanied by a strong dispersion. This evolution indicates a dielectric anomaly at around 520 K near the Néel temperature (T N), which supports a strong magneto-dielectric coupling. The dielectric dispersion in BFNT05 was studied by the measurement of the permittivity over a wide frequency range from 20 Hz to 1 MHz at different temperatures 300-700 K. The experimental dielectric data was described by the Cole-Cole relaxation equation modified by introducing the conductivity. Modified impedance and modulus expressions were used successfully as tools to separate the contribution from grains and grain boundaries of BFNT05. The calculated bulk grain conductivity indicated an anomalous behavior near the temperature related to the antiferro-paramagnetic phase transition of the corresponding BFNT05 ceramic. In contrast, this ceramic exhibited a predominant grain boundaries behavior at the studied temperature and frequency range. In this case, they were the elements responsible for dielectric dispersion, and also they played an important role in the improvement of the dielectric behavior of this ceramic. The ac conductivity study confirmed the modified impedance and modulus expressions.

  8. The electrical and dielectric properties of the Au/Ti/HfO2/n-GaAs structures

    NASA Astrophysics Data System (ADS)

    Karabulut, Abdulkerim; Türüt, Abdulmecit; Karataş, Şükrü

    2018-04-01

    In this work, temperature dependent electrical and dielectric properties of the Au/Ti/HfO2/n-GaAs structures were investigated using capacitance-voltage (C-V) and conductance-voltage (G-V) measurements in the temperature range of 60-320 K by steps of 20 K at 1 MHz. The dielectric constant (ε‧), dielectric loss (ε″), dielectric loss tangent (tanδ) and ac electrical conductivities (σac) have been calculated as a function of temperature. These values of the ε‧, ε″, tanδ and σac have been found to be 2.272, 5.981, 2.631 and 3.32 × 10-6 (Ω-1cm-1) at 80 K, respectively, 1.779, 2.315, 1.301 and 1.28 × 10-6 (Ω-1cm-1), respectively at 320 K. These decrease of the dielectric parameters (ε‧, ε″, tanδ and σac) have been observed at high temperatures. The experimental results show that electrical and dielectric properties are strongly temperature and bias voltage dependent.

  9. Reduced electron back-injection in Al2O3/AlOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Min Kim, Sung; Lee, Youngmin; Seo, David H.; Seo, Sunae; Wang, Kang L.

    2012-12-01

    A graphene charge-trap memory is devised using a single-layer graphene channel with an Al2O3/AlOx/Al2O3 oxide stack, where the ion-bombarded AlOx layer is intentionally added to create an abundance of charge-trap sites. The low dielectric constant of AlOx compared to Al2O3 reduces the potential drop in the control oxide Al2O3 and suppresses the electron back-injection from the gate to the charge-storage layer, allowing the memory window of the device to be further extended. This shows that the usage of a lower dielectric constant in the charge-storage layer compared to that of the control oxide layer improves the memory performance for graphene charge-trap memories.

  10. Structural, magnetic, and dielectric properties of multiferroic Co1-xMgxCr2O4 nanoparticles

    NASA Astrophysics Data System (ADS)

    Kamran, M.; Ullah, A.; Rahman, S.; Tahir, A.; Nadeem, K.; Anis ur Rehman, M.; Hussain, S.

    2017-07-01

    We examined the structural, magnetic, and dielectric properties of Co1-xMgxCr2O4 nanoparticles with composition x = 0, 0.2, 0.4, 0.5, 0.6, 0.8 and 1 in detail. X-ray diffraction (XRD) revealed normal spinel structure for all the samples. Rietveld refinement fitting results of the XRD showed no impurity phases which signifies the formation of single phase Co1-xMgxCr2O4 nanoparticles. The average crystallite size showed a peak behaviour with maxima at x = 0.6. Raman and Fourier transform infrared (FTIR) spectroscopy also confirmed the formation of single phase normal spinel for all the samples and exhibited dominant vibrational changes for x ≥ 0.6. For x = 0 (CoCr2O4), zero field cooled/field cooled (ZFC/FC) magnetization curves showed paramagnetic (PM) to ferrimagnetic (FiM) transition at Tc = 97 K and a conical spiral magnetic order at Ts = 30 K. The end members CoCr2O4 (x = 0) and MgCr2O4 (x = 1) are FiM and antiferromagnetic (AFM), respectively. Tc and Ts showed decreasing trend with increasing x, followed by an additional AFM transition at TN = 15 K for x = 0.6. The system finally stabilized and changed to highly frustrated AFM structure at x = 1 due to formation of pure MgCr2O4. High field FC curves (5T) depicted nearly no effect on spiral magnetic state, which is attributed to strong exchange B-B magnetic interactions at low temperatures. Dielectric parameters showed a non-monotonous behaviour with Mg concentration and were explained with the help of Maxwell-Wagner model and Koop's theory. Dielectric properties were improved for nanoparticles with x = 0.6 and is attributed to their larger average crystallite size. In summary, Mg doping has significantly affects the structural, magnetic, and dielectric properties of CoCr2O4 nanoparticles, which can be attributed to variations in local magnetic exchange interactions and variation in average crystallite size of these chromite nanoparticles.

  11. Phase transition in lithium garnet oxide ionic conductors Li7La3Zr2O12: The role of Ta substitution and H2O/CO2 exposure

    NASA Astrophysics Data System (ADS)

    Wang, Yuxing; Lai, Wei

    2015-02-01

    High Li-content lithium garnet oxides are promising solid electrolyte materials for lithium batteries. Being the highest Li-content lithium garnet oxides, Li7La3Zr2O12 has been reported to crystallize in either the tetragonal or cubic phase with no consensus on the exact conditions under which these two phases are formed, which may be due to unintentional Al contamination and air exposure. In this work, the effects of Ta substitution and H2O/CO2 exposure have been studied under Al-contamination free conditions with minimal air exposure. We showed that 1) the Ta-substitution induced phase transition occurred through a two-phase mechanism and a minimum 0.6 mol of Ta substitution to Zr is needed to stabilize the cubic phase; 2) H2O and CO2 can individually induce the tetragonal-cubic phase transition in Li7La3Zr2O12 through proton exchange and Li extraction, respectively, which can have great influence on the transport properties of Li7La3Zr2O12.

  12. Influence of Ag substitution on structural and dielectric properties of TiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Ali, T.; Ahmed, Ateeq; Siddique, M. Naseem; Aftab, Tabish; Tripathi, P.

    2018-04-01

    In this paper, we report the structural, electrical and dielectric properties of Ag-substituted TiO2 nanoparticles synthesized by sol-gel method. The X-ray diffraction (XRD) spectra revealed that the synthesized nanoparticles are pure and crystalline in nature and showing tetragonal anatase phase of TiO2. TEM micrograph shows that shapes of the nanoparticles are non-spherical. We have also studied the dielectric properties and in relation to it the dielectric constants, dielectric loss and A.C. conductivity have been studied as the function of frequency and composition of iron. The above theory may be explained by `Maxwell Wagner Model'.

  13. Prediction of new ground-state crystal structure of T a2O5

    NASA Astrophysics Data System (ADS)

    Yang, Yong; Kawazoe, Yoshiyuki

    2018-03-01

    Tantalum pentoxide (T a2O5 ) is a wide-gap semiconductor which has important technological applications. Despite the enormous efforts from both experimental and theoretical studies, the ground-state crystal structure of T a2O5 is not yet uniquely determined. Based on first-principles calculations in combination with evolutionary algorithm, we identify a triclinic phase of T a2O5 , which is energetically much more stable than any phases or structural models reported previously. Characterization of the static and dynamical properties of the phase reveals the common features shared with previous metastable phases of T a2O5 . In particular, we show that the d spacing of ˜3.8 Å found in the x-ray diffraction patterns of many previous experimental works is actually the radius of the second Ta-Ta coordination shell as defined by radial distribution functions.

  14. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    NASA Astrophysics Data System (ADS)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  15. The effect of Al segregation on Schottky barrier height and effective work function in TiAl/TiN/HfO2 gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Geun-Myeong; Oh, Young Jun; Chang, K. J.

    2016-07-01

    We perform first-principles density functional calculations to investigate the effects of Al incorporation on the p-type Schottky barrier height ≤ft({φ\\text{p}}\\right) and the effective work function for various high-k/metal gate stacks, such as TiN/HfO2 with interface Al impurities, Ti1-x Al x N/HfO2, and TiAl/TiN/HfO2. When Al atoms substitute for the interface Ti atoms at TiN/HfO2 interface, interface dipole fields become stronger, leading to the increase of {φ\\text{p}} and thereby the n-type shift of effective work function. In Ti1-x Al x N/HfO2 interface, {φ\\text{p}} linearly increases with the Al content, attributed to the presence of interface Al atoms. On the other hand, in TiAl/TiN/HfO2 interface, where Al is assumed not to segregate from TiAl to TiN, {φ\\text{p}} is nearly independent of the thickness of TiAl. Our results indicate that Al impurities at the metal/dielectric interface play an important role in controlling the effective work function, and provide a clue to understanding the n-type shift of the effective work function observed in TiAl/TiN/HfO2 gate stacks fabricated by using thegate-last process.

  16. Nanomechanical properties, wear resistance and in-vitro characterization of Ta2O5 nanotubes coating on biomedical grade Ti-6Al-4V.

    PubMed

    Sarraf, Masoud; Razak, Bushroa Abdul; Nasiri-Tabrizi, Bahman; Dabbagh, Ali; Kasim, Noor Hayaty Abu; Basirun, Wan Jefrey; Bin Sulaiman, Eshamsul

    2017-02-01

    Tantalum pentoxide nanotubes (Ta 2 O 5 NTs) can dramatically raise the biological functions of different kinds of cells, thus have promising applications in biomedical fields. In this study, Ta 2 O 5 NTs were prepared on biomedical grade Ti-6Al-4V alloy (Ti64) via physical vapor deposition (PVD) and a successive two-step anodization in H 2 SO 4 : HF (99:1)+5% EG electrolyte at a constant potential of 15V. To improve the adhesion of nanotubular array coating on Ti64, heat treatment was carried out at 450°C for 1h under atmospheric pressure with a heating/cooling rate of 1°Cmin - 1 . The surface topography and composition of the nanostructured coatings were examined by atomic force microscopy (AFM) and X-ray electron spectroscopy (XPS), to gather information about the corrosion behavior, wear resistance and bioactivity in simulated body fluids (SBF). From the nanoindentation experiments, the Young's modulus and hardness of the 5min anodized sample were ~ 135 and 6GPa, but increased to ~ 160 and 7.5GPa, respectively, after annealing at 450°C. It was shown that the corrosion resistance of Ti64 plates with nanotubular surface modification was higher than that of the bare substrate, where the 450°C annealed specimen revealed the highest corrosion protection efficiency (99%). Results from the SBF tests showed that a bone-like apatite layer was formed on nanotubular array coating, as early as the first day of immersion in simulated body fluid (SBF), indicating the importance of nanotubular configuration on the in-vitro bioactivity. Copyright © 2016 Elsevier Ltd. All rights reserved.

  17. Low-voltage operation of Si-based ferroelectric field effect transistors using organic ferroelectrics, poly(vinylidene fluoride-trifluoroethylene), as a gate dielectric

    NASA Astrophysics Data System (ADS)

    Miyata, Yusuke; Yoshimura, Takeshi; Ashida, Atsushi; Fujimura, Norifumi

    2016-04-01

    Si-based metal-ferroelectric-semiconductor (MFS) capacitors have been fabricated using poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] as a ferroelectric gate. The pinhole-free P(VDF-TrFE) thin films with high resistivity were able to be prepared by spin-coating directly onto hydrogen-terminated Si. The capacitance-voltage (C-V) characteristics of the ferroelectric gate field effect transistor (FeFET) using this MFS structure clearly show butterfly-shaped hysteresis originating from the ferroelectricity, indicating carrier modulation on the Si surface at gate voltages below 2 V. The drain current-gate voltage (I D-V G) characteristics also show counterclockwise hysteresis at gate voltages below 5 V. This is the first report on the low-voltage operation of a Si-based FeFET using P(VDF-TrFE) as a gate dielectric. This organic gate FeFET without any insulator layer at the ferroelectric/Si interface should be one of the promising devices for overcoming the critical issues of the FeFET, such as depolarization field and a decrease in the gate voltage.

  18. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Inumiya, S.; Matsuki, T.; Aoyama, T.; Nara, Y.; Ishibashi, S.; Ohdaira, T.; Suzuki, R.; Miyazaki, S.; Yamada, K.

    2007-09-01

    Vacancy-fluorine complexes in metal-oxide semiconductors (MOS) with high-k gate dielectrics were studied using a positron annihilation technique. F+ ions were implanted into Si substrates before the deposition of gate dielectrics (HfSiON). The shift of threshold voltage (Vth) in MOS capacitors and an increase in Fermi level position below the HfSiON/Si interface were observed after F+ implantation. Doppler broadening spectra of the annihilation radiation and positron lifetimes were measured before and after HfSiON fabrication processes. From a comparison between Doppler broadening spectra and those obtained by first-principles calculation, the major defect species in Si substrates after annealing treatment (1050 °C, 5 s) was identified as vacancy-fluorine complexes (V3F2). The origin of the Vth shift in the MOS capacitors was attributed to V3F2 located in channel regions.

  19. Theoretical study on the magnetic moments formation in Ta-doped anatase TiO2

    NASA Astrophysics Data System (ADS)

    Bupu, A.; Majidi, M. A.; Rusydi, A.

    2017-04-01

    We present a theoretical study on Ti-vacancy induced ferromagnetism in Ta-doped anatase TiO2. Experimental study of Ti1-x Ta x O2 thin film has shown that Ti-vacancies (assisted by Ta doping) induce the formation of localized magnetic moment around it, then, the observed ferromagnetism is caused by the alignment of localized magnetic moments through Ruderman-Kittel-Kasuya-Yosida (RKKY) interaction. In this study, we focus on the formation of the localized magnetic moments in this system. We hypothesize that on a unit cell, Ti-vacancy has caused four electrons from the surrounding oxygen atoms to become unpaired. These unpaired electrons then arrange themselves into a configuration with a non-zero net magnetic moment. To examine our hypothesis, we construct a Hamiltonian of the four unpaired electrons, incorporating the Coulomb intra- and inter-orbital interactions, in matrix form. Using a set of chosen parameter values, we diagonalize the Hamiltonian to get the eigenstates and eigenvalues, then, with the resulting eigenstates, we calculate the magnetic moment, μ, by obtaining the expectation value of the square of total spin operator. Our calculation results show that in the ground state, provided that the ratio of parameters satisfies some criterion, μ ≈ 4μ B , corresponding to the four electron spins being almost perfectly aligned, can be achieved. Further, as long as we keep the Coulomb intra-orbital interaction between 0.5 and 1 eV, we find that μ ≈ 4μ B is robust up to far above room temperature. Our results demonstrate that Ti vacancies in anatase TiO2 can form very stable localized magnetic moments.

  20. Microstructural, Optical and Dielectric Properties of Al-Incorporated SnO2 Nanoparticles

    NASA Astrophysics Data System (ADS)

    Ahmed, Ateeq; Tripathi, P.; Naseem Siddique, M.; Ali, Tinku

    2017-08-01

    In this work, Pure SnO2 and Al doped SnO2 nanoparticles with the composition Sn1-xAlxO2 (x = 0, and 0.05) have been successfully prepared using sol-gel technique. The effect of Al dopant on microstructural, optical and dielectric properties has been investigated by X-ray diffraction (XRD), Scanning electron microscopy (SEM), Ultraviolet (UV-Visible) absorption spectroscopy andImpedance spectroscopy (LCR meter)respectively. The XRD patterns indicated tetragonal rutile structure with single phase without any detectable impurity for all samples and incorporation of Al ions into the SnO2 lattice. Crystalline size decreased with aluminum content. The results of SEM confirm nanoparticles size decreases with Al dopant. UV-Visible results showed that optical band also decreases when Al is doped into pure SnO2 lattice. Frequency dependent dielectric properties of pure and doped SnO2 nanoparticles have been also studied.