Sample records for target resistance masking

  1. Propagation of resist heating mask error to wafer level

    NASA Astrophysics Data System (ADS)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  2. How Does Target Duration Affect Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Carter, Wakefield; Guest, Duncan

    2010-01-01

    Object substitution masking (OSM) is typically studied using a brief search display. The target item may be indicated by a cue/mask surrounding but not overlapping it. Report of the target is reduced when mask offset trails target offset rather than being simultaneous with it. We report 5 experiments investigating whether OSM can be obtained if…

  3. A closer look at four-dot masking of a foveated target

    PubMed Central

    Wilson, Hugh R.

    2016-01-01

    Four-dot masking with a common onset mask was recently demonstrated in a fully attended and foveated target (Filmer, Mattingley & Dux, 2015). Here, we replicate and extend this finding by directly comparing a four-dot mask with an annulus mask while probing masking as a function of mask duration, and target-mask separation. Our results suggest that while an annulus mask operates via spatially local contour interactions, a four-dot mask operates through spatially global mechanisms. We also measure how the visual system’s representation of an oriented bar is impacted by a four-dot mask, and find that masking here does not degrade the precision of perceived targets, but instead appears to be driven exclusively by rendering the target completely invisible. PMID:27280073

  4. Use of KRS-XE positive chemically amplified resist for optical mask manufacturing

    NASA Astrophysics Data System (ADS)

    Ashe, Brian; Deverich, Christina; Rabidoux, Paul A.; Peck, Barbara; Petrillo, Karen E.; Angelopoulos, Marie; Huang, Wu-Song; Moreau, Wayne M.; Medeiros, David R.

    2002-03-01

    The traditional mask making process uses chain scission-type resists such as PBS, poly(butene-1-sulfone), and ZEP, poly(methyl a-chloroacrylate-co-a-methylstyrene) for making masks with dimensions greater than 180nm. PBS resist requires a wet etch process to produce patterns in chrome. ZEP was employed for dry etch processing to meet the requirements of shrinking dimensions, optical proximity corrections and phase shift masks. However, ZEP offers low contrast, marginal etch resistance, organic solvent development, and concerns regarding resist heating with its high dose requirements1. Chemically Amplified Resist (CAR) systems are a very good choice for dimensions less than 180nm because of their high sensitivity and contrast, high resolution, dry etch resistance, aqueous development, and process latitude2. KRS-XE was developed as a high contrast CA resist based on ketal protecting groups that eliminate the need for post exposure bake (PEB). This resist can be used for a variety of electron beam exposures, and improves the capability to fabricate masks for devices smaller than 180nm. Many factors influence the performance of resists in mask making such as post apply bake, exposure dose, resist develop, and post exposure bake. These items will be discussed as well as the use of reactive ion etching (RIE) selectivity and pattern transfer.

  5. Surgical Face Masks Worn by Patients with Multidrug-Resistant Tuberculosis

    PubMed Central

    Mphahlele, Matsie; Stoltz, Anton; Venter, Kobus; Mathebula, Rirhandzu; Masotla, Thabiso; Lubbe, Willem; Pagano, Marcello; First, Melvin; Jensen, Paul A.; van der Walt, Martie; Nardell, Edward A.

    2012-01-01

    Rationale: Drug-resistant tuberculosis transmission in hospitals threatens staff and patient health. Surgical face masks used by patients with tuberculosis (TB) are believed to reduce transmission but have not been rigorously tested. Objectives: We sought to quantify the efficacy of surgical face masks when worn by patients with multidrug-resistant TB (MDR-TB). Methods: Over 3 months, 17 patients with pulmonary MDR-TB occupied an MDR-TB ward in South Africa and wore face masks on alternate days. Ward air was exhausted to two identical chambers, each housing 90 pathogen-free guinea pigs that breathed ward air either when patients wore surgical face masks (intervention group) or when patients did not wear masks (control group). Efficacy was based on differences in guinea pig infections in each chamber. Measurements and Main Results: Sixty-nine of 90 control guinea pigs (76.6%; 95% confidence interval [CI], 68–85%) became infected, compared with 36 of 90 intervention guinea pigs (40%; 95% CI, 31–51%), representing a 56% (95% CI, 33–70.5%) decreased risk of TB transmission when patients used masks. Conclusions: Surgical face masks on patients with MDR-TB significantly reduced transmission and offer an adjunct measure for reducing TB transmission from infectious patients. PMID:22323300

  6. Object Substitution Masking: When Does Mask Preview Work?

    ERIC Educational Resources Information Center

    Lim, Stephen Wee Hun; Chua, Fook K.

    2008-01-01

    When a target is enclosed by a 4-dot mask that persists after the target disappears, target identification is worse than it is when the mask terminates with the target. This masking effect is attributed to object substitution masking (OSM). Previewing the mask, however, attenuates OSM. This study investigated specific conditions under which mask…

  7. Filter penetration and breathing resistance evaluation of respirators and dust masks.

    PubMed

    Ramirez, Joel; O'Shaughnessy, Patrick

    2017-02-01

    The primary objective of this study was to compare the filter performance of a representative selection of uncertified dust masks relative to the filter performance of a set of NIOSH-approved N95 filtering face-piece respirators (FFRs). Five different models of commercially available dust masks were selected for this study. Filter penetration of new dust masks was evaluated against a sodium chloride aerosol. Breathing resistance (BR) of new dust masks and FFRs was then measured for 120 min while challenging the dust masks and FFRs with Arizona road dust (ARD) at 25°C and 30% relative humidity. Results demonstrated that a wide range of maximum filter penetration was observed among the dust masks tested in this study (3-75% at the most penetrating particle size (p < 0.001). The breathing resistances of the unused FFRs and dust masks did not vary greatly (8-13 mm H 2 O) but were significantly different (p < 0.001). After dust loading there was a significant difference between the BR caused by the ARD dust layer on each FFR and dust mask. Microscopic analysis of the external layer of each dust mask and FFR suggests that different collection media in the external layer influences the development of the dust layer and therefore affects the increase in BR differently between the tested models. Two of the dust masks had penetration values < 5% and quality factors (0.26 and 0.33) comparable to those obtained for the two FFRs (0.23 and 0.31). However, the remaining three dust masks, those with penetration > 15%, had quality factors ranging between 0.04-0.15 primarily because their initial BR remained relatively high. These results indicate that some dust masks analysed during this research did not have an expected very low BR to compensate for their high penetration.

  8. Comparative evaluation of e-beam sensitive chemically amplified resists for mask making

    NASA Astrophysics Data System (ADS)

    Irmscher, Mathias; Beyer, Dirk; Butschke, Joerg; Constantine, Chris; Hoffmann, Thomas; Koepernik, Corinna; Krauss, Christian; Leibold, Bernd; Letzkus, Florian; Mueller, Dietmar; Springer, Reinhard; Voehringer, Peter

    2002-07-01

    Positive tone chemically amplified resists CAP209, EP012M (TOK), KRS-XE (JSR) and FEP171 (Fuji) were evaluated for mask making. The investigations were performed on an advanced tool set comprising of a Steag coater ASR5000, Steag developer ASP5000, 50kV e-beam writer Leica SB350, UNAXIS MASK ETCHER III , STS ICP silicon etcher and a CD-SEM KLA8100. We investigated and compared resolution, sensitivity, resist slope, dark field loss, CD-uniformity, line edge roughness, and etch resistance of the evaluated resists. Furthermore, the influence of post coating delay, post exposure delay and other process parameters on the resist performance was determined.

  9. Inverse Target- and Cue-Priming Effects of Masked Stimuli

    ERIC Educational Resources Information Center

    Mattler, Uwe

    2007-01-01

    The processing of a visual target that follows a briefly presented prime stimulus can be facilitated if prime and target stimuli are similar. In contrast to these positive priming effects, inverse priming effects (or negative compatibility effects) have been found when a mask follows prime stimuli before the target stimulus is presented: Responses…

  10. What's in a mask? Information masking with forward and backward visual masks.

    PubMed

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  11. Targets Mask U-Net for Wind Turbines Detection in Remote Sensing Images

    NASA Astrophysics Data System (ADS)

    Han, M.; Wang, H.; Wang, G.; Liu, Y.

    2018-04-01

    To detect wind turbines precisely and quickly in very high resolution remote sensing images (VHRRSI) we propose target mask U-Net. This convolution neural network (CNN), which is carefully designed to be a wide-field detector, models the pixel class assignment to wind turbines and their context information. The shadow, which is the context information of the target in this study, has been regarded as part of a wind turbine instance. We have trained the target mask U-Net on training dataset, which is composed of down sampled image blocks and instance mask blocks. Some post-processes have been integrated to eliminate wrong spots and produce bounding boxes of wind turbine instances. The evaluation metrics prove the reliability and effectiveness of our method for the average F1-score of our detection method is up to 0.97. The comparison of detection accuracy and time consuming with the weakly supervised targets detection method based on CNN illustrates the superiority of our method.

  12. Process optimization for particle removal on blank chrome mask plates in preparation for resist application

    NASA Astrophysics Data System (ADS)

    Osborne, Stephen; Smith, Eryn; Woster, Eric; Pelayo, Anthony

    2002-03-01

    As integrated circuits require smaller lines to provide the memory and processing capability for tomorrow's marketplace, the photomask industry is adopting higher contrast resists to improve photomask lithography. Photomask yield for several high-contrast resist recipes may be improved by coating masks at the mask shop. When coating at a mask shop, an effective method is available that uses coat/bake cluster tools to ensure blanks are clean prior to coating. Many high-contrast resists are available, and some are more susceptible to time-dependent performance factors than conventional resists. One of these factors is the time between coating and writing. Although future methods may reduce the impact of this factor, one current trend is to reduce this time by coating plates at the mask shop just prior to writing. Establishing an effective process to clean blanks prior to coating is necessary for product quality control and is a new task that is critical for maskmakers who previously purchased mask plates but have decided to begin coating them within their facility. This paper provides a strategy and method to be used within coat/bake cluster tools to remove particle contamination from mask blanks. The process uses excimer-UV ionizing radiation and ozone to remove organic contaminants, and then uses a wet process combined with megasonic agitation, surfactant, and spin forces. Megasonic agitation with surfactant lifts up particles, while the convective outflow of water enhances centripetal shear without accumulating harmful charge.

  13. Analysis method to determine and characterize the mask mean-to-target and uniformity specification

    NASA Astrophysics Data System (ADS)

    Lee, Sung-Woo; Leunissen, Leonardus H. A.; Van de Kerkhove, Jeroen; Philipsen, Vicky; Jonckheere, Rik; Lee, Suk-Joo; Woo, Sang-Gyun; Cho, Han-Ku; Moon, Joo-Tae

    2006-06-01

    The specification of the mask mean-to-target (MTT) and uniformity is related to functions as: mask error enhancement factor, dose sensitivity and critical dimension (CD) tolerances. The mask MTT shows a trade-off relationship with the uniformity. Simulations for the mask MTT and uniformity (M-U) are performed for LOGIC devices of 45 and 37 nm nodes according to mask type, illumination condition and illuminator polarization state. CD tolerances and after develop inspection (ADI) target CD's in the simulation are taken from the 2004 ITRS roadmap. The simulation results allow for much smaller tolerances in the uniformity and larger offsets in the MTT than the values as given in the ITRS table. Using the parameters in the ITRS table, the mask uniformity contributes to nearly 95% of total CDU budget for the 45 nm node, and is even larger than the CDU specification of the ITRS for the 37 nm node. We also compared the simulation requirements with the current mask making capabilities. The current mask manufacturing status of the mask uniformity is barely acceptable for the 45 nm node, but requires process improvements towards future nodes. In particular, for the 37 nm node, polarized illumination is necessary to meet the ITRS requirements. The current mask linearity deviates for pitches smaller than 300 nm, which is not acceptable even for the 45 nm node. More efforts on the proximity correction method are required to improve the linearity behavior.

  14. Titanium dioxide fine structures by RF magnetron sputter method deposited on an electron-beam resist mask

    NASA Astrophysics Data System (ADS)

    Hashiba, Hideomi; Miyazaki, Yuta; Matsushita, Sachiko

    2013-09-01

    Titanium dioxide (TiO2) has been draw attention for wide range of applications from photonic crystals for visible light range by its catalytic characteristics to tera-hertz range by its high refractive index. We present an experimental study of fabrication of fine structures of TiO2 with a ZEP electron beam resist mask followed by Ti sputter deposition techniques. A TiO2 thin layer of 150 nm thick was grown on an FTO glass substrate with a fine patterned ZEP resist mask by a conventional RF magnetron sputter method with Ti target. The deposition was carried out with argon-oxygen gases at a pressure of 5.0 x 10 -1 Pa in a chamber. During the deposition, ratio of Ar-O2 gas was kept to the ratio of 2:1 and the deposition ratio was around 0.5 Å/s to ensure enough oxygen to form TiO2 and low temperature to avoid deformation of fine pattern of the ZPU resist mask. Deposited TiO2 layers are white-transparent, amorphous, and those roughnesses are around 7 nm. Fabricated TiO2 PCs have wider TiO2 slabs of 112 nm width leaving periodic 410 x 410 nm2 air gaps. We also studied transformation of TiO2 layers and TiO2 fine structures by baking at 500 °C. XRD measurement for TiO2 shows that the amorphous TiO2 transforms to rutile and anatase forms by the baking while keeping the same profile of the fine structures. Our fabrication method can be one of a promising technique to optic devices on researches and industrial area.

  15. Modeling of intense pulsed ion beam heated masked targets for extreme materials characterization

    NASA Astrophysics Data System (ADS)

    Barnard, John J.; Schenkel, Thomas

    2017-11-01

    Intense, pulsed ion beams locally heat materials and deliver dense electronic excitations that can induce material modifications and phase transitions. Material properties can potentially be stabilized by rapid quenching. Pulsed ion beams with pulse lengths of order ns have recently become available for materials processing. Here, we optimize mask geometries for local modification of materials by intense ion pulses. The goal is to rapidly excite targets volumetrically to the point where a phase transition or local lattice reconstruction is induced followed by rapid cooling that stabilizes desired material's properties fast enough before the target is altered or damaged by, e.g., hydrodynamic expansion. By using a mask, the longitudinal dimension can be large compared to the transverse dimension, allowing the possibility of rapid transverse cooling. We performed HYDRA simulations that calculate peak temperatures for a series of excitation conditions and cooling rates of silicon targets with micro-structured masks and compare these to a simple analytical model. The model gives scaling laws that can guide the design of targets over a wide range of pulsed ion beam parameters.

  16. Investigation of e-beam sensitive negative-tone chemically amplified resists for binary mask making

    NASA Astrophysics Data System (ADS)

    Irmscher, Mathias; Berger, Lothar; Beyer, Dirk; Butschke, Joerg; Dress, Peter; Hoffmann, Thomas; Hudek, Peter; Koepernik, Corinna; Tschinkl, Martin; Voehringer, Peter

    2003-08-01

    Negative-tone chemically amplified resists MES-EN1G (JSR), FEN-270 (Fujifilm ARCH), EN-024M (TOK) and NEB-22 (Sumitomo) were evaluated for binary mask making. The investigations were performed on an advanced tool set comprising a 50kV e-beam writer Leica SB350, a Steag Hamatech hot/cool plate module APB5000, a Steag Hamatech developer ASP5000, an UNAXIS MASK ETCHER III and a SEM LEO1560 with integrated CD measurement option. We investigated and compared the evaluated resists in terms of resolution, e-beam sensitivity, resist profile, post exposure bake sensitivity, CD-uniformity, line edge roughness, pattern fidelity and etch resistance. Furthermore, the influence of post coating delay and post exposure delay in vacuum and air was determined.

  17. SEMATECH EUVL mask program status

    NASA Astrophysics Data System (ADS)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  18. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    ERIC Educational Resources Information Center

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  19. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    ERIC Educational Resources Information Center

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  20. Modeling of intense pulsed ion beam heated masked targets for extreme materials characterization

    DOE PAGES

    Barnard, John J.; Schenkel, Thomas

    2017-11-15

    Intense, pulsed ion beams locally heat materials and deliver dense electronic excitations that can induce material modifications and phase transitions. Material properties can potentially be stabilized by rapid quenching. Pulsed ion beams with pulse lengths of order ns have recently become available for materials processing. Here, we optimize mask geometries for local modification of materials by intense ion pulses. The goal is to rapidly excite targets volumetrically to the point where a phase transition or local lattice reconstruction is induced followed by rapid cooling that stabilizes desired material's properties fast enough before the target is altered or damaged by, e.g.,more » hydrodynamic expansion. By using a mask, the longitudinal dimension can be large compared to the transverse dimension, allowing the possibility of rapid transverse cooling. We performed HYDRA simulations that calculate peak temperatures for a series of excitation conditions and cooling rates of silicon targets with micro-structured masks and compare these to a simple analytical model. In conclusion, the model gives scaling laws that can guide the design of targets over a wide range of pulsed ion beam parameters.« less

  1. Masking of Figure-Ground Texture and Single Targets by Surround Inhibition: A Computational Spiking Model

    PubMed Central

    Supèr, Hans; Romeo, August

    2012-01-01

    A visual stimulus can be made invisible, i.e. masked, by the presentation of a second stimulus. In the sensory cortex, neural responses to a masked stimulus are suppressed, yet how this suppression comes about is still debated. Inhibitory models explain masking by asserting that the mask exerts an inhibitory influence on the responses of a neuron evoked by the target. However, other models argue that the masking interferes with recurrent or reentrant processing. Using computer modeling, we show that surround inhibition evoked by ON and OFF responses to the mask suppresses the responses to a briefly presented stimulus in forward and backward masking paradigms. Our model results resemble several previously described psychophysical and neurophysiological findings in perceptual masking experiments and are in line with earlier theoretical descriptions of masking. We suggest that precise spatiotemporal influence of surround inhibition is relevant for visual detection. PMID:22393370

  2. CA resist with high sensitivity and sub-100-nm resolution for advanced mask making

    NASA Astrophysics Data System (ADS)

    Huang, Wu-Song; Kwong, Ranee W.; Hartley, John G.; Moreau, Wayne M.; Angelopoulos, Marie; Magg, Christopher; Lawliss, Mark

    2000-07-01

    Recently, there is significant interest in using CA resist for electron beam (E-beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non-CA E-beam resist in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resist have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resists system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV shaped beam system EL4+ and the KRS-XE resist, we have printed 75nm lines/space feature with excellent profile control at a dose of 13(mu) C/cm2 at 75kV. The shaped beam vector scan system used here provides a unique property in resolving small features in lithography and throughput. Overhead in EL4+$ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system has sufficiently low overhead that it is projected to print a 4X, 16G DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+.

  3. Inverse target- and cue-priming effects of masked stimuli.

    PubMed

    Mattler, Uwe

    2007-02-01

    The processing of a visual target that follows a briefly presented prime stimulus can be facilitated if prime and target stimuli are similar. In contrast to these positive priming effects, inverse priming effects (or negative compatibility effects) have been found when a mask follows prime stimuli before the target stimulus is presented: Responses are facilitated after dissimilar primes. Previous studies on inverse priming effects examined target-priming effects, which arise when the prime and the target stimuli share features that are critical for the response decision. In contrast, 3 experiments of the present study demonstrate inverse priming effects in a nonmotor cue-priming paradigm. Inverse cue-priming effects exhibited time courses comparable to inverse target-priming effects. Results suggest that inverse priming effects do not arise from specific processes of the response system but follow from operations that are more general.

  4. Resist heating effect on e-beam mask writing at 75 kV and 60 A/cm2

    NASA Astrophysics Data System (ADS)

    Benes, Zdenek; Deverich, Christina; Huang, Chester; Lawliss, Mark

    2003-12-01

    Resist heating has been known to be one of the main contributors to local CD variation in mask patterning using variable shape e-beam tools. Increasingly complex mask patterns require increased number of shapes which drives the need for higher electron beam current densities to maintain reasonable write times. As beam current density is increased, CD error resulting from resist heating may become a dominating contributor to local CD variations. In this experimental study, the IBM EL4+ mask writer with high voltage and high current density has been used to quantitatively investigate the effect of resist heating on the local CD uniformity. ZEP 7000 and several chemically amplified resists have been evaluated under various exposure conditions (single-pass, multi-pass, variable spot size) and pattern densities. Patterns were designed specifically to allow easy measurement of local CD variations with write strategies designed to maximize the effect of resist heating. Local CD variations as high as 15 nm in 18.75 × 18.75 μm sub-field size have been observed for ZEP 7000 in a single-pass writing with full 1000 nm spots at 50% pattern density. This number can be reduced by increasing the number of passes or by decreasing the maximum spot size. The local CD variation has been reduced to as low as 2 nm for ZEP 7000 for the same pattern under modified exposure conditions. The effectiveness of various writing strategies is discussed as well as their possible deficiencies. Minimal or no resist heating effects have been observed for the chemically amplified resists studied. The results suggest that the resist heating effect can be well controlled by careful selection of the resist/process system and/or writing strategy and that resist heating does not have to pose a problem for high throughput e-beam mask making that requires high voltage and high current densities.

  5. Neuroimaging somatosensory perception and masking.

    PubMed

    Meador, Kimford J; Revill, Kathleen Pirog; Epstein, Charles M; Sathian, K; Loring, David W; Rorden, Chris

    2017-01-08

    The specific cortical and subcortical regions involved in conscious perception and masking are uncertain. This study sought to identify brain areas involved in conscious perception of somatosensory stimuli during a masking task using functional magnetic resonance (fMRI) to contrast perceived vs. non-perceived targets. Electrical trains were delivered to the right index finger for targets and to the left index finger for masks. Target intensities were adjusted to compensate for threshold drift. Sham target trials were given in ~10% of the trials, and target stimuli without masks were delivered in one of the five runs (68 trials/run). When healthy dextral adult volunteers (n=15) perceived right hand targets, greater left- than right-cerebral activations were seen with similar patterns across the parietal cortex, thalamus, insula, claustrum, and midbrain. When targets were not perceived, left/right cerebral activations were similar overall. Directly comparing perceived vs. non-perceived stimuli with similar intensities in the masking task revealed predominate activations contralateral to masks. In contrast, activations were greater contralateral to perceived targets if no masks were given or if masks were given but target stimulus intensities were greater for perceived than non-perceived targets. The novel aspects of this study include: 1) imaging of cortical and subcortical activations in healthy humans related to somatosensory perception during a masking task, 2) activations in the human thalamus and midbrain related to perception of stimuli compared to matched non-perceived stimuli, and 3) similar left/right cerebral activation patterns across cortical, thalamic and midbrain structures suggesting interactions across all three levels during conscious perception in humans. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  7. Variations in backward masking with different masking stimuli: II. The effects of spatially quantised masks in the light of local contour interaction, interchannel inhibition, perceptual retouch, and substitution theories.

    PubMed

    Bachmann, Talis; Luiga, Iiris; Põder, Endel

    2005-01-01

    In part I we showed that with spatially non-overlapping targets and masks both local metacontrast-like interactions and attentional processes are involved in backward masking. In this second part we extend the strategy of varying the contents of masks to pattern masking where targets and masks overlap in space, in order to compare different masking theories. Images of human faces were backward-masked by three types of spatially quantised masks (the same faces as targets, faces different from targets, and Gaussian noise with power spectra typical for faces). Configural characteristics, rather than the spectral content of the mask, predicted the extent of masking at relatively long stimulus onset asynchronies (SOAs). This poses difficulties for the theory of transient-on-sustained inhibition as the principal mechanism of masking and also for local contour interaction being a decisive factor in pattern masking. The scale of quantisation had no effect on the masking capacity of noise masks and a strong effect on the capacity of different-face masks. Also, the decrease of configural masking with an increase in the coarseness of the quantisation of the mask highlights ambiguities inherent in the re-entrance-based substitution theory of masking. Different masking theories cannot solve the problems of masking separately. They should be combined in order to create a complex, yet comprehensible mode of interaction for the different mechanisms involved in visual backward masking.

  8. Surgical face masks worn by patients with multidrug-resistant tuberculosis: impact on infectivity of air on a hospital ward.

    PubMed

    Dharmadhikari, Ashwin S; Mphahlele, Matsie; Stoltz, Anton; Venter, Kobus; Mathebula, Rirhandzu; Masotla, Thabiso; Lubbe, Willem; Pagano, Marcello; First, Melvin; Jensen, Paul A; van der Walt, Martie; Nardell, Edward A

    2012-05-15

    Drug-resistant tuberculosis transmission in hospitals threatens staff and patient health. Surgical face masks used by patients with tuberculosis (TB) are believed to reduce transmission but have not been rigorously tested. We sought to quantify the efficacy of surgical face masks when worn by patients with multidrug-resistant TB (MDR-TB). Over 3 months, 17 patients with pulmonary MDR-TB occupied an MDR-TB ward in South Africa and wore face masks on alternate days. Ward air was exhausted to two identical chambers, each housing 90 pathogen-free guinea pigs that breathed ward air either when patients wore surgical face masks (intervention group) or when patients did not wear masks (control group). Efficacy was based on differences in guinea pig infections in each chamber. Sixty-nine of 90 control guinea pigs (76.6%; 95% confidence interval [CI], 68-85%) became infected, compared with 36 of 90 intervention guinea pigs (40%; 95% CI, 31-51%), representing a 56% (95% CI, 33-70.5%) decreased risk of TB transmission when patients used masks. Surgical face masks on patients with MDR-TB significantly reduced transmission and offer an adjunct measure for reducing TB transmission from infectious patients.

  9. Gestalt grouping and common onset masking.

    PubMed

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  10. CA resist with high sensitivity and sub-100-nm resolution for advanced mask and device making

    NASA Astrophysics Data System (ADS)

    Kwong, Ranee W.; Huang, Wu-Song; Hartley, John G.; Moreau, Wayne M.; Robinson, Christopher F.; Angelopoulos, Marie; Magg, Christopher; Lawliss, Mark

    2000-07-01

    Recently, there is significant interest in using CA resists for electron beam (E-Beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non CA E-beam resists in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resists have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resist system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263 N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV (75 kV) shaped beam system EL4+ and the KRS-XE resist, we have printed 75 nm lines/space features with excellent profile control at a dose of 13 (mu) C/cm2 at 75 kV. The shaped beam vector scan system used here provides an unique property in resolving small features in lithography and throughput. Overhead in EL4+ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system, currently in the build phase, has sufficiently low overhead that it is projected to print a 4X, 16G, DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+. In addition we will show the resolution of KRS-XE down to 70 nm using the PREVAIL projection printing system.

  11. Selective targeting of melanoma by PEG-masked protein-based multifunctional nanoparticles

    PubMed Central

    Vannucci, Luca; Falvo, Elisabetta; Fornara, Manuela; Di Micco, Patrizio; Benada, Oldrich; Krizan, Jiri; Svoboda, Jan; Hulikova-Capkova, Katarina; Morea, Veronica; Boffi, Alberto; Ceci, Pierpaolo

    2012-01-01

    Background Nanoparticle-based systems are promising for the development of imaging and therapeutic agents. The main advantage of nanoparticles over traditional systems lies in the possibility of loading multiple functionalities onto a single molecule, which are useful for therapeutic and/or diagnostic purposes. These functionalities include targeting moieties which are able to recognize receptors overexpressed by specific cells and tissues. However, targeted delivery of nanoparticles requires an accurate system design. We present here a rationally designed, genetically engineered, and chemically modified protein-based nanoplatform for cell/tissue-specific targeting. Methods Our nanoparticle constructs were based on the heavy chain of the human protein ferritin (HFt), a highly symmetrical assembly of 24 subunits enclosing a hollow cavity. HFt-based nanoparticles were produced using both genetic engineering and chemical functionalization methods to impart several functionalities, ie, the α-melanocyte-stimulating hormone peptide as a melanoma-targeting moiety, stabilizing and HFt-masking polyethylene glycol molecules, rhodamine fluorophores, and magnetic resonance imaging agents. The constructs produced were extensively characterized by a number of physicochemical techniques, and assayed for selective melanoma-targeting in vitro and in vivo. Results Our HFt-based nanoparticle constructs functionalized with the α-melanocyte-stimulating hormone peptide moiety and polyethylene glycol molecules were specifically taken up by melanoma cells but not by other cancer cell types in vitro. Moreover, experiments in melanoma-bearing mice indicate that these constructs have an excellent tumor-targeting profile and a long circulation time in vivo. Conclusion By masking human HFt with polyethylene glycol and targeting it with an α-melanocyte-stimulating hormone peptide, we developed an HFt-based melanoma-targeting nanoplatform for application in melanoma diagnosis and treatment

  12. Visual Masking During Pursuit Eye Movements

    ERIC Educational Resources Information Center

    White, Charles W.

    1976-01-01

    Visual masking occurs when one stimulus interferes with the perception of another stimulus. Investigates which matters more for visual masking--that the target and masking stimuli are flashed on the same part of the retina, or, that the target and mask appear in the same place. (Author/RK)

  13. Metacontrast masking is processed before grapheme-color synesthesia.

    PubMed

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  14. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    NASA Astrophysics Data System (ADS)

    Rezaei, M.; Jiang, H.; Kaminska, B.

    2016-02-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated.

  15. Mask roughness induced LER: a rule of thumb -- paper

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McClinton, Brittany; Naulleau, Patrick

    2010-03-12

    Much work has already been done on how both the resist and line-edge roughness (LER) on the mask affect the final printed LER. What is poorly understood, however, is the extent to which system-level effects such as mask surface roughness, illumination conditions, and defocus couple to speckle at the image plane, and currently factor into LER limits. Here, we propose a 'rule-of-thumb' simplified solution that provides a fast and powerful method to obtain mask roughness induced LER. We present modeling data on an older generation mask with a roughness of 230 pm as well as the ultimate target roughness ofmore » 50 pm. Moreover, we consider feature sizes of 50 nm and 22 nm, and show that as a function of correlation length, the LER peaks at the condition that the correlation length is approximately equal to the resolution of the imaging optic.« less

  16. What Is Being Masked in Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Cole, Geoff; Skarratt, Paul

    2006-01-01

    Object substitution masking (OSM) is said to occur when a perceptual object is hypothesized that is mismatched by subsequent sensory evidence, leading to a new hypothesized object being substituted for the first. For example, when a brief target is accompanied by a longer lasting display of nonoverlapping mask elements, reporting of target…

  17. Masking reduces orientation selectivity in rat visual cortex

    PubMed Central

    Alwis, Dasuni S.; Richards, Katrina L.

    2016-01-01

    In visual masking the perception of a target stimulus is impaired by a preceding (forward) or succeeding (backward) mask stimulus. The illusion is of interest because it allows uncoupling of the physical stimulus, its neuronal representation, and its perception. To understand the neuronal correlates of masking, we examined how masks affected the neuronal responses to oriented target stimuli in the primary visual cortex (V1) of anesthetized rats (n = 37). Target stimuli were circular gratings with 12 orientations; mask stimuli were plaids created as a binarized sum of all possible target orientations. Spatially, masks were presented either overlapping or surrounding the target. Temporally, targets and masks were presented for 33 ms, but the stimulus onset asynchrony (SOA) of their relative appearance was varied. For the first time, we examine how spatially overlapping and center-surround masking affect orientation discriminability (rather than visibility) in V1. Regardless of the spatial or temporal arrangement of stimuli, the greatest reductions in firing rate and orientation selectivity occurred for the shortest SOAs. Interestingly, analyses conducted separately for transient and sustained target response components showed that changes in orientation selectivity do not always coincide with changes in firing rate. Given the near-instantaneous reductions observed in orientation selectivity even when target and mask do not spatially overlap, we suggest that monotonic visual masking is explained by a combination of neural integration and lateral inhibition. PMID:27535373

  18. Temporal masking of multidimensional tactual stimuli

    NASA Astrophysics Data System (ADS)

    Tan, Hong Z.; Reed, Charlotte M.; Delhorne, Lorraine A.; Durlach, Nathaniel I.; Wan, Natasha

    2003-12-01

    Experiments were performed to examine the temporal masking properties of multidimensional tactual stimulation patterns delivered to the left index finger. The stimuli consisted of fixed-frequency sinusoidal motions in the kinesthetic (2 or 4 Hz), midfrequency (30 Hz), and cutaneous (300 Hz) frequency ranges. Seven stimuli composed of one, two, or three spectral components were constructed at each of two signal durations (125 or 250 ms). Subjects identified target signals under three different masking paradigms: forward masking, backward masking, and sandwiched masking (in which the target is presented between two maskers). Target identification was studied as a function of interstimulus interval (ISI) in the range 0 to 640 ms. For both signal durations, percent-correct scores increased with ISI for each of the three masking paradigms. Scores with forward and backward masking were similar and significantly higher than scores obtained with sandwiched masking. Analyses of error trials revealed that subjects showed a tendency to respond, more often than chance, with the masker, the composite of the masker and target, or the combination of the target and a component of the masker. The current results are compared to those obtained in previous studies of tactual recognition masking with brief cutaneous spatial patterns. The results are also discussed in terms of estimates of information transfer (IT) and IT rate, are compared to previous studies with multidimensional tactual signals, and are related to research on the development of tactual aids for the deaf.

  19. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    PubMed

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  20. Effects of Masking Noise on Laryngeal Resistance for Breathy, Normal, and Pressed Voice

    ERIC Educational Resources Information Center

    Grillo, Elizabeth U.; Abbott, Katherine Verdolini; Lee, Timothy D.

    2010-01-01

    Purpose: The purpose of the present study was to explore the effects of masking noise on laryngeal resistance for breathy, normal, and pressed voice in vocally trained women. Method: Eighteen vocally trained women produced breathy, normal, and pressed voice across 7 fundamental frequencies during a repeated CV utterance of /pi/ under normal and…

  1. How color, regularity, and good Gestalt determine backward masking.

    PubMed

    Sayim, Bilge; Manassi, Mauro; Herzog, Michael

    2014-06-18

    The strength of visual backward masking depends on the stimulus onset asynchrony (SOA) between target and mask. Recently, it was shown that the conjoint spatial layout of target and mask is as crucial as SOA. Particularly, masking strength depends on whether target and mask group with each other. The same is true in crowding where the global spatial layout of the flankers and target-flanker grouping determine crowding strength. Here, we presented a vernier target followed by different flanker configurations at varying SOAs. Similar to crowding, masking of a red vernier target was strongly reduced for arrays of 10 green compared with 10 red flanking lines. Unlike crowding, single green lines flanking the red vernier showed strong masking. Irregularly arranged flanking lines yielded stronger masking than did regularly arranged lines, again similar to crowding. While cuboid flankers reduced crowding compared with single lines, this was not the case in masking. We propose that, first, masking is reduced when the flankers are part of a larger spatial structure. Second, spatial factors counteract color differences between the target and the flankers. Third, complex Gestalts, such as cuboids, seem to need longer processing times to show ungrouping effects as observed in crowding. Strong parallels between masking and crowding suggest similar underlying mechanism; however, temporal factors in masking additionally modulate performance, acting as an additional grouping cue. © 2014 ARVO.

  2. Backward masked fearful faces enhance contralateral occipital cortical activity for visual targets within the spotlight of attention

    PubMed Central

    Reinke, Karen S.; LaMontagne, Pamela J.; Habib, Reza

    2011-01-01

    Spatial attention has been argued to be adaptive by enhancing the processing of visual stimuli within the ‘spotlight of attention’. We previously reported that crude threat cues (backward masked fearful faces) facilitate spatial attention through a network of brain regions consisting of the amygdala, anterior cingulate and contralateral visual cortex. However, results from previous functional magnetic resonance imaging (fMRI) dot-probe studies have been inconclusive regarding a fearful face-elicited contralateral modulation of visual targets. Here, we tested the hypothesis that the capture of spatial attention by crude threat cues would facilitate processing of subsequently presented visual stimuli within the masked fearful face-elicited ‘spotlight of attention’ in the contralateral visual cortex. Participants performed a backward masked fearful face dot-probe task while brain activity was measured with fMRI. Masked fearful face left visual field trials enhanced activity for spatially congruent targets in the right superior occipital gyrus, fusiform gyrus and lateral occipital complex, while masked fearful face right visual field trials enhanced activity in the left middle occipital gyrus. These data indicate that crude threat elicited spatial attention enhances the processing of subsequent visual stimuli in contralateral occipital cortex, which may occur by lowering neural activation thresholds in this retinotopic location. PMID:20702500

  3. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    PubMed

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  4. Object Substitution Masking Induced by Illusory Masks: Evidence for Higher Object-Level Locus of Interference

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Osaka, Naoyuki

    2009-01-01

    A briefly presented target can be rendered invisible by a lingering sparse mask that does not even touch it. This form of visual backward masking, called object substitution masking, is thought to occur at the object level of processing. However, it remains unclear whether object-level interference alone produces substitution masking because…

  5. Respiratory Source Control Using Surgical Masks With Nanofiber Media

    PubMed Central

    Skaria, Shaji D.; Smaldone, Gerald C.

    2014-01-01

    Background: Potentially infected individuals (‘source’) are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others (‘receiver’). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Methods: Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of ‘infectious’ aerosols from the ‘source’ to a ‘receiver’). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an ‘N95 respirator’). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Results: Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, ‘infected’ source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face

  6. Respiratory source control using surgical masks with nanofiber media.

    PubMed

    Skaria, Shaji D; Smaldone, Gerald C

    2014-07-01

    Potentially infected individuals ('source') are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others ('receiver'). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of 'infectious' aerosols from the 'source' to a 'receiver'). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an 'N95 respirator'). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, 'infected' source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face masks, Schlieren optical imaging demonstrated enhanced

  7. Pattern masking: the importance of remote spatial frequencies and their phase alignment.

    PubMed

    Huang, Pi-Chun; Maehara, Goro; May, Keith A; Hess, Robert F

    2012-02-16

    To assess the effects of spatial frequency and phase alignment of mask components in pattern masking, target threshold vs. mask contrast (TvC) functions for a sine-wave grating (S) target were measured for five types of mask: a sine-wave grating (S), a square-wave grating (Q), a missing fundamental square-wave grating (M), harmonic complexes consisting of phase-scrambled harmonics of a square wave (Qp), and harmonic complexes consisting of phase-scrambled harmonics of a missing fundamental square wave (Mp). Target and masks had the same fundamental frequency (0.46 cpd) and the target was added in phase with the fundamental frequency component of the mask. Under monocular viewing conditions, the strength of masking depends on phase relationships among mask spatial frequencies far removed from that of the target, at least 3 times the target frequency, only when there are common target and mask spatial frequencies. Under dichoptic viewing conditions, S and Q masks produced similar masking to each other and the phase-scrambled masks (Qp and Mp) produced less masking. The results suggest that pattern masking is spatial frequency broadband in nature and sensitive to the phase alignments of spatial components.

  8. Brightness masking is modulated by disparity structure.

    PubMed

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  9. Odours reduce the magnitude of object substitution masking for matching visual targets in females.

    PubMed

    Robinson, Amanda K; Laning, Julia; Reinhard, Judith; Mattingley, Jason B

    2016-08-01

    Recent evidence suggests that olfactory stimuli can influence early stages of visual processing, but there has been little focus on whether such olfactory-visual interactions convey an advantage in visual object identification. Moreover, despite evidence that some aspects of olfactory perception are superior in females than males, no study to date has examined whether olfactory influences on vision are gender-dependent. We asked whether inhalation of familiar odorants can modulate participants' ability to identify briefly flashed images of matching visual objects under conditions of object substitution masking (OSM). Across two experiments, we had male and female participants (N = 36 in each group) identify masked visual images of odour-related objects (e.g., orange, rose, mint) amongst nonodour-related distracters (e.g., box, watch). In each trial, participants inhaled a single odour that either matched or mismatched the masked, odour-related target. Target detection performance was analysed using a signal detection (d') approach. In females, but not males, matching odours significantly reduced OSM relative to mismatching odours, suggesting that familiar odours can enhance the salience of briefly presented visual objects. We conclude that olfactory cues exert a subtle influence on visual processes by transiently enhancing the salience of matching object representations. The results add to a growing body of literature that points towards consistent gender differences in olfactory perception.

  10. Orientation tuning of contrast masking caused by motion streaks.

    PubMed

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  11. Metacontrast masking and attention do not interact.

    PubMed

    Agaoglu, Sevda; Breitmeyer, Bruno; Ogmen, Haluk

    2016-07-01

    Visual masking and attention have been known to control the transfer of information from sensory memory to visual short-term memory. A natural question is whether these processes operate independently or interact. Recent evidence suggests that studies that reported interactions between masking and attention suffered from ceiling and/or floor effects. The objective of the present study was to investigate whether metacontrast masking and attention interact by using an experimental design in which saturation effects are avoided. We asked observers to report the orientation of a target bar randomly selected from a display containing either two or six bars. The mask was a ring that surrounded the target bar. Attentional load was controlled by set-size and masking strength by the stimulus onset asynchrony between the target bar and the mask ring. We investigated interactions between masking and attention by analyzing two different aspects of performance: (i) the mean absolute response errors and (ii) the distribution of signed response errors. Our results show that attention affects observers' performance without interacting with masking. Statistical modeling of response errors suggests that attention and metacontrast masking exert their effects by independently modulating the probability of "guessing" behavior. Implications of our findings for models of attention are discussed.

  12. Attentional capture by masked colour singletons.

    PubMed

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  13. Differential effect of visual masking in perceptual categorization.

    PubMed

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  14. A computational investigation of feedforward and feedback processing in metacontrast backward masking

    PubMed Central

    Silverstein, David N.

    2015-01-01

    In human perception studies, visual backward masking has been used to understand the temporal dynamics of subliminal vs. conscious perception. When a brief target stimulus is followed by a masking stimulus after a short interval of <100 ms, performance on the target is impaired when the target and mask are in close spatial proximity. While the psychophysical properties of backward masking have been studied extensively, there is still debate on the underlying cortical dynamics. One prevailing theory suggests that the impairment of target performance due to the mask is the result of lateral inhibition between the target and mask in feedforward processing. Another prevailing theory suggests that this impairment is due to the interruption of feedback processing of the target by the mask. This computational study demonstrates that both aspects of these theories may be correct. Using a biophysical model of V1 and V2, visual processing was modeled as interacting neocortical attractors, which must propagate up the visual stream. If an activating target attractor in V1 is quiesced enough with lateral inhibition from a mask, or not reinforced by recurrent feedback, it is more likely to burn out before becoming fully active and progressing through V2 and beyond. Results are presented which simulate metacontrast backward masking with an increasing stimulus interval and with the presence and absence of feedback activity. This showed that recurrent feedback diminishes backward masking effects and can make conscious perception more likely. One model configuration presented a metacontrast noise mask in the same hypercolumns as the target, and produced type-A masking. A second model configuration presented a target line with two parallel adjacent masking lines, and produced type-B masking. Future work should examine how the model extends to more complex spatial mask configurations. PMID:25759672

  15. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  16. Masking interrupts figure-ground signals in V1.

    PubMed

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (<100 msec) followed by a second stimulus. This typically results in a dramatic decrease in the visibility of the target stimulus. It has been shown that masking reduces responses in V1. It is not known, however, which process in V1 is affected by the mask. In the past, we have shown that in V1, modulations of neural activity that are specifically related to figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  17. Determining the energetic and informational components of speech-on-speech masking

    PubMed Central

    Kidd, Gerald; Mason, Christine R.; Swaminathan, Jayaganesh; Roverud, Elin; Clayton, Kameron K.; Best, Virginia

    2016-01-01

    Identification of target speech was studied under masked conditions consisting of two or four independent speech maskers. In the reference conditions, the maskers were colocated with the target, the masker talkers were the same sex as the target, and the masker speech was intelligible. The comparison conditions, intended to provide release from masking, included different-sex target and masker talkers, time-reversal of the masker speech, and spatial separation of the maskers from the target. Significant release from masking was found for all comparison conditions. To determine whether these reductions in masking could be attributed to differences in energetic masking, ideal time-frequency segregation (ITFS) processing was applied so that the time-frequency units where the masker energy dominated the target energy were removed. The remaining target-dominated “glimpses” were reassembled as the stimulus. Speech reception thresholds measured using these resynthesized ITFS-processed stimuli were the same for the reference and comparison conditions supporting the conclusion that the amount of energetic masking across conditions was the same. These results indicated that the large release from masking found under all comparison conditions was due primarily to a reduction in informational masking. Furthermore, the large individual differences observed generally were correlated across the three masking release conditions. PMID:27475139

  18. Vitreous carbon mask substrate for X-ray lithography

    DOEpatents

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  19. Effects of temporal integration on the shape of visual backward masking functions.

    PubMed

    Francis, Gregory; Cho, Yang Seok

    2008-10-01

    Many studies of cognition and perception use a visual mask to explore the dynamics of information processing of a target. Especially important in these applications is the time between the target and mask stimuli. A plot of some measure of target visibility against stimulus onset asynchrony is called a masking function, which can sometimes be monotonic increasing but other times is U-shaped. Theories of backward masking have long hypothesized that temporal integration of the target and mask influences properties of masking but have not connected the influence of integration with the shape of the masking function. With two experiments that vary the spatial properties of the target and mask, the authors provide evidence that temporal integration of the stimuli plays a critical role in determining the shape of the masking function. The resulting data both challenge current theories of backward masking and indicate what changes to the theories are needed to account for the new data. The authors further discuss the implication of the findings for uses of backward masking to explore other aspects of cognition.

  20. Masked response priming in expert typists.

    PubMed

    Heinemann, Alexander; Kiesel, Andrea; Pohl, Carsten; Kunde, Wilfried

    2010-03-01

    In masked priming tasks responses are usually faster when prime and target require identical rather than different responses. Previous research has extensively manipulated the nature and number of response-affording stimuli. However, little is known about the constraints of masked priming regarding the nature and number of response alternatives. The present study explored the limits of masked priming in a six-choice reaction time task, where responses from different fingers of both hands were required. We studied participants that were either experts for the type of response (skilled typists) or novices. Masked primes facilitated responding to targets that required the same response, responses with a different finger of the same hand, and with a homologous finger of the other hand. These effects were modulated by expertise. The results show that masked primes facilitate responding especially for experts in the S-R mapping and with increasing similarity of primed and required response.

  1. Direct Measures of Character Mislocalizations with Masked/Unmasked Exposures.

    ERIC Educational Resources Information Center

    Chastain, Garvin; And Others

    Butler (1980) compared errors representing intrusions and mislocalizations on 3x3 letter displays under pattern-mask versus no-mask conditions and found that pattern masking increased character mislocalization errors (naming a character in the display but not in the target position as being the target) over intrusion errors (naming a character not…

  2. Simultaneous masking additivity for short Gaussian-shaped tones: spectral effects.

    PubMed

    Laback, Bernhard; Necciari, Thibaud; Balazs, Peter; Savel, Sophie; Ystad, Sølvi

    2013-08-01

    Laback et al. [(2011). J. Acoust. Soc. Am. 129, 888-897] investigated the additivity of nonsimultaneous masking using short Gaussian-shaped tones as maskers and target. The present study involved Gaussian stimuli to measure the additivity of simultaneous masking for combinations of up to four spectrally separated maskers. According to most basilar membrane measurements, the maskers should be processed linearly at the characteristic frequency (CF) of the target. Assuming also compression of the target, all masker combinations should produce excess masking (exceeding linear additivity). The results for a pair of maskers flanking the target indeed showed excess masking. The amount of excess masking could be predicted by a model assuming summation of masker-evoked excitations in intensity units at the target CF and compression of the target, using compressive input/output functions derived from the nonsimultaneous masking study. However, the combinations of lower-frequency maskers showed much less excess masking than predicted by the model. This cannot easily be attributed to factors like off-frequency listening, combination tone perception, or between-masker suppression. It was better predicted, however, by assuming weighted intensity summation of masker excitations. The optimum weights for the lower-frequency maskers were smaller than one, consistent with partial masker compression as indicated by recent psychoacoustic data.

  3. Calibration of a Spatial-Temporal Discrimination Model from Forward, Simultaneous, and Backward Masking

    NASA Technical Reports Server (NTRS)

    Ahumada, Albert J.; Beard, B. L.; Stone, Leland (Technical Monitor)

    1997-01-01

    We have been developing a simplified spatial-temporal discrimination model similar to our simplified spatial model in that masking is assumed to be a function of the local visible contrast energy. The overall spatial-temporal sensitivity of the model is calibrated to predict the detectability of targets on a uniform background. To calibrate the spatial-temporal integration functions that define local visible contrast energy, spatial-temporal masking data are required. Observer thresholds were measured (2IFC) for the detection of a 12 msec target stimulus in the presence of a 700 msec mask. Targets were 1, 3 or 9 c/deg sine wave gratings. Masks were either one of these gratings or two of them combined. The target was presented in 17 temporal positions with respect to the mask, including positions before, during and after the mask. Peak masking was found near mask onset and offset for 1 and 3 c/deg targets, while masking effects were more nearly uniform during the mask for the 9 c/deg target. As in the purely spatial case, the simplified model can not predict all the details of masking as a function of masking component spatial frequencies, but overall the prediction errors are small.

  4. Is masked priming modulated by memory load? A test of the automaticity of masked identity priming in lexical decision.

    PubMed

    Perea, Manuel; Marcet, Ana; Lozano, Mario; Gomez, Pablo

    2018-05-29

    One of the key assumptions of the masked priming lexical decision task (LDT) is that primes are processed without requiring attentional resources. Here, we tested this assumption by presenting a dual-task manipulation to increase memory load and measure the change in masked identity priming on the targets in the LDT. If masked priming does not require attentional resources, increased memory load should have no influence on the magnitude of the observed identity priming effects. We conducted two LDT experiments, using a within-subjects design, to investigate the effect of memory load (via a concurrent matching task Experiment 1 and a concurrent search task in Experiment 2) on masked identity priming. Results showed that the magnitude of masked identity priming on word targets was remarkably similar under high and low memory load. Thus, these experiments provide empirical evidence for the automaticity assumption of masked identity priming in the LDT.

  5. Masked priming by misspellings: Word frequency moderates the effects of SOA and prime-target similarity.

    PubMed

    Burt, Jennifer S

    2016-02-01

    University students made lexical decisions to eight- or nine-letter words preceded by masked primes that were the target, an unrelated word, or a typical misspelling of the target. At a stimulus onset asynchrony (SOA) of 47 ms, primes that were misspellings of the target produced a priming benefit for low-, medium-, and high-frequency words, even when the misspelled primes were changed to differ phonologically from their targets. At a longer SOA of 80 ms, misspelled primes facilitated lexical decisions only to medium- and low-frequency targets, and a phonological change attenuated the benefit for medium-frequency targets. The results indicate that orthographic similarity can be preserved over changes in letter position and word length, and that the priming effect of misspelled words at the shorter SOA is orthographically based. Orthographic-priming effects depend on the quality of the orthographic learning of the target word.

  6. Individual differences in metacontrast masking regarding sensitivity and response bias.

    PubMed

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  7. A respiratory mask for resting and exercising dogs.

    PubMed

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  8. Spatial release from masking based on binaural processing for up to six maskers

    PubMed Central

    Yost, William A.

    2017-01-01

    Spatial Release from Masking (SRM) was measured for identification of a female target word spoken in the presence of male masker words. Target words from a single loudspeaker located at midline were presented when two, four, or six masker words were presented either from the same source as the target or from spatially separated masker sources. All masker words were presented from loudspeakers located symmetrically around the centered target source in the front azimuth hemifield. Three masking conditions were employed: speech-in-speech masking (involving both informational and energetic masking), speech-in-noise masking (involving energetic masking), and filtered speech-in-filtered speech masking (involving informational masking). Psychophysical results were summarized as three-point psychometric functions relating proportion of correct word identification to target-to-masker ratio (in decibels) for both the co-located and spatially separated target and masker sources cases. SRM was then calculated by comparing the slopes and intercepts of these functions. SRM decreased as the number of symmetrically placed masker sources increased from two to six. This decrease was independent of the type of masking, with almost no SRM measured for six masker sources. These results suggest that when SRM is dependent primarily on binaural processing, SRM is effectively limited to fewer than six sound sources. PMID:28372135

  9. The impact of realistic source shape and flexibility on source mask optimization

    NASA Astrophysics Data System (ADS)

    Aoyama, Hajime; Mizuno, Yasushi; Hirayanagi, Noriyuki; Kita, Naonori; Matsui, Ryota; Izumi, Hirohiko; Tajima, Keiichi; Siebert, Joachim; Demmerle, Wolfgang; Matsuyama, Tomoyuki

    2013-04-01

    Source mask optimization (SMO) is widely used to make state-of-the-art semiconductor devices in high volume manufacturing. To realize mature SMO solutions in production, the Intelligent Illuminator, which is an illumination system on Nikon scanner, is useful because it can provide generation of freeform sources with high fidelity to the target. Proteus SMO, which employs co-optimization method and an insertion of validation with mask 3D effect and resist properties for an accurate prediction of wafer printing, can take into account the properties of Intelligent Illuminator. We investigate an impact of the source properties on the SMO to pattern of a static-random access memory. Quality of a source made on the scanner compared to the SMO target is evaluated with in-situ measurement and aerial image simulation using its measurement data. Furthermore we discuss an evaluation of a universality of the source to use it in multiple scanners with a validation with estimated value of scanner errors.

  10. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2008-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction temperature and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  11. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  12. Effects of Temporal Integration on the Shape of Visual Backward Masking Functions

    ERIC Educational Resources Information Center

    Francis, Gregory; Cho, Yang Seok

    2008-01-01

    Many studies of cognition and perception use a visual mask to explore the dynamics of information processing of a target. Especially important in these applications is the time between the target and mask stimuli. A plot of some measure of target visibility against stimulus onset asynchrony is called a masking function, which can sometimes be…

  13. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  14. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets.

    PubMed

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set.

  15. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets

    PubMed Central

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set. PMID:26491717

  16. Reentrant processing mediates object substitution masking: comment on Põder (2013).

    PubMed

    Di Lollo, Vincent

    2014-01-01

    Object-substitution masking (OSM) occurs when a target stimulus and a surrounding mask are displayed briefly together, and the display then continues with the mask alone. Target identification is accurate when the stimuli co-terminate but is progressively impaired as the duration of the trailing mask is increased. In reentrant accounts, OSM is said to arise from iterative exchanges between brain regions connected by two-way pathways. In an alternative account, OSM is explained on the basis of exclusively feed-forward processes, without recourse to reentry. Here I show that the feed-forward account runs afoul of the extant phenomenological, behavioral, brain-imaging, and electrophysiological evidence. Further, the feed-forward assumption that masking occurs when attention finds a degraded target is shown to be entirely ad hoc. In contrast, the evidence is uniformly consistent with a reentrant-processing account of OSM.

  17. Direct Mask Overlay Inspection

    NASA Astrophysics Data System (ADS)

    Hsia, Liang-Choo; Su, Lo-Soun

    1983-11-01

    In this paper, we present a mask inspection methodology and procedure that involves direct X-Y measurements. A group of dice is selected for overlay measurement; four measurement targets were laid out in the kerf of each die. The measured coordinates are then fit-ted to either a "historical" grid, which reflects the individual tool bias, or to an ideal grid squares fashion. Measurements are done using a Nikon X-Y laser interferometric measurement system, which provides a reference grid. The stability of the measurement system is essential. We then apply appropriate statistics to the residual after the fit to determine the overlay performance. Statistical methods play an important role in the product disposition. The acceptance criterion is, however, a compromise between the cost for mask making and the final device yield. In order to satisfy the demand on mask houses for quality of masks and high volume, mixing lithographic tools in mask making has become more popular, in particular, mixing optical and E-beam tools. In this paper, we also discuss the inspection procedure for mixing different lithographic tools.

  18. Asymmetry in Object Substitution Masking Occurs Relative to the Direction of Spatial Attention Shift

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Osaka, Naoyuki

    2010-01-01

    A sparse mask that persists beyond the duration of a target can reduce its visibility, a phenomenon called "object substitution masking". Y. Jiang and M. M. Chun (2001a) found an asymmetric pattern of substitution masking such that a mask on the peripheral side of the target caused stronger substitution masking than on the central side.…

  19. Masked Repetition Priming Treatment for Anomia

    ERIC Educational Resources Information Center

    Silkes, JoAnn P.

    2018-01-01

    Purpose: Masked priming has been suggested as a way to directly target implicit lexical retrieval processes in aphasia. This study was designed to investigate repeated use of masked repetition priming to improve picture naming in individuals with anomia due to aphasia. Method: A single-subject, multiple-baseline design was used across 6 people…

  20. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  1. Sputtered gold mask for deep chemical etching of silicon

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.; Olive, R. S.

    1975-01-01

    Sputtered mask resists chemical attack from acid and has adherence to withstand prolonged submergence in etch solution without lifting from silicon surface. Even under prolonged etch conditions with significant undercutting, gold mask maintained excellent adhesion to silicon surface and imperviousness to acid.

  2. Sub-Optical Lithography With Nanometer Definition Masks

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T.; Malek, Chantal Khan; Neogi, Jayant

    2000-01-01

    Nanometer feature size lithography represents a major paradigm shift for the electronics and micro-electro-mechanical industries. In this paper, we discuss the capacity of dynamic focused reactive ion beam (FIB) etching systems to undertake direct and highly anisotropic erosion of thick evaporated gold coatings on boron-doped silicon X-ray mask membranes. FIB offers a new level of flexibility in micro fabrication, allowing for fast fabrication of X-ray masks, where pattern definition and surface alteration are combined in the same step which eliminates the whole lithographic process, in particular resist, resist development, electro-deposition and resist removal. Focused ion beam diameters as small as 7 nm can be obtained enabling fabrication well into the sub-20 nm regime. In preliminary demonstrations of this X-ray mask fabrication technique 22 nm width lines were milled directly through 0.9 microns of gold and a miniature mass spectrometer pattern was milled through over 0.5 microns of gold. Also presented are the results of the shadow printing, using the large depth of field of synchrotron high energy parallel X-ray beam, of these and other sub-optical defined patterns in photoresist conformally coated over surfaces of extreme topographical variation. Assuming that electronic circuits and/or micro devices scale proportionally, the surface area of devices processed with X-ray lithography and 20 nm critical dimension X-ray masks would be 0.5% that of contemporary devices (350 nm CD). The 20 CD mask fabrication represents an initial effort - a further factor of three reduction is anticipated which represents a further order-of-magnitude reduction in die area.

  3. Does "Darkness" Lead to "Happiness"? Masked Suffix Priming Effects

    ERIC Educational Resources Information Center

    Dunabeitia, Jon Andoni; Perea, Manuel; Carreiras, Manuel

    2008-01-01

    Masked affix priming effects have usually been obtained for words sharing the initial affix (e.g., "reaction"-"REFORM"). However, prior evidence on masked suffix priming effects (e.g., "baker"-"WALKER") is inconclusive. In the present series of masked priming lexical decision experiments, a target word was…

  4. Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

    NASA Astrophysics Data System (ADS)

    Kojima, Yosuke; Shirasaki, Masanori; Chiba, Kazuaki; Tanaka, Tsuyoshi; Inazuki, Yukio; Yoshikawa, Hiroki; Okazaki, Satoshi; Iwase, Kazuya; Ishikawa, Kiichi; Ozawa, Ken

    2007-05-01

    For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

  5. SU-8 negative photoresist for optical mask manufacturing

    NASA Astrophysics Data System (ADS)

    Bogdanov, Alexei L.

    2000-06-01

    The requirements for better control, linearity, and uniformity of critical dimension (CD) on photomasks in fabrication of 180 and 150 nm generation devices result in increasing demand for thinner, more etching durable, and more sensitive e-beam resists. Novolac based resists with chemical amplification have been a choice for their sensitivity and stability during etching. However, difficult CD control due to the acid catalyzer diffusion and quite narrow post exposure bake (PEB) process window are some of the major drawbacks of these resists. SU-8 is recently introduced to the market negative photoresist. High sensitivity, fairly good adhesion properties, and relatively simple processing of SU-8 make it a good substitution for novolac based chemically amplified negative e-beam resists in optical mask manufacturing. The replacement of traditional chemically amplified resists by SU- 8 can increase the process latitude and reduce resist costs. Among the obvious drawbacks of SU-8 are the use of solvent- based developer and demand of oxygen plasma for resist removal. In this paper the use of SU-8 for optical mask manufacturing is reported. All steps of resist film preparation, exposure and development are paid a share of attention. Possibilities to use reactive ion etching (RIE) with oxygen in order to increase resist mask contrast are discussed. Special exposure strategy (pattern outlining) was employed to further improve the edge definition. The resist PEB temperature and time were studied to estimate their weight in overall CD control performance. Specially designed test patterns with 0.25 micrometer design rule could be firmly transferred into a chromium layer both by wet etching and ion milling. Influence of exposure dose variation on the pattern CD change was studied.

  6. Retention of pediatric bag-mask ventilation efficacy skill by inexperienced medical student resuscitators using standard bag-mask ventilation masks, pocket masks, and blob masks.

    PubMed

    Kitagawa, Kory H; Nakamura, Nina M; Yamamoto, Loren

    2006-03-01

    To measure the ventilation efficacy with three single-sized mask types on infant and child manikin models. Medical students were recruited as study subjects inasmuch as they are inexperienced resuscitators. They were taught proper bag-mask ventilation (BMV) according to the American Heart Association guidelines on an infant and a child manikin. Subjects completed a BMV attempt successfully using the adult standard mask (to simulate the uncertainty of mask selection), pocket mask, and blob mask. Each attempt consisted of 5 ventilations assessed by chest rise of the manikin. Study subjects were asked which mask was easiest to use. Four to six weeks later, subjects repeated the procedure with no instructions (to simulate an emergency BMV encounter without immediate pre-encounter teaching). Forty-six volunteer subjects were studied. During the first attempt, subjects preferred the standard and blob masks over the pocket mask. For the second attempt, the blob mask was preferred over the standard mask, and few liked the pocket mask. Using the standard, blob, and pocket masks on the child manikin, 39, 42, and 20 subjects, respectively, were able to achieve adequate ventilation. Using the standard, blob, and pocket masks on the infant manikin, 45, 45, and 11 subjects, respectively, were able to achieve adequate ventilation. Both the standard and blob masks are more effective than the pocket mask at achieving adequate ventilation on infant and child manikins in this group of inexperienced medical student resuscitators, who most often preferred the blob mask.

  7. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    NASA Astrophysics Data System (ADS)

    Zamuruyev, Konstantin O.; Zrodnikov, Yuriy; Davis, Cristina E.

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µm minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µm. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µm in borosilicate glass), feature under etch ratio in isotropic etch (~1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility.

  8. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    PubMed

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  9. Antibiotic resistance patterns in fecal bacteria isolated from Christmas shearwater (Puffinus nativitatis) and masked booby (Sula dactylatra) at remote Easter Island.

    PubMed

    Ardiles-Villegas, Karen; González-Acuña, Daniel; Waldenström, Jonas; Olsen, Björn; Hernández, Jorge

    2011-09-01

    Antibiotic use and its implications have been discussed extensively in the past decades. This situation has global consequences when antibiotic resistance becomes widespread in the intestinal bacterial flora of stationary and migratory birds. This study investigated the incidence of fecal bacteria and general antibiotic resistance, with special focus on extended spectrum beta-lactamase (ESBL) isolates, in two species of seabirds at remote Easter Island. We identified 11 species of bacteria from masked booby (Sula dactylatra) and Christmas shearwater (Puffinus nativitatis); five species of gram-negative bacilli, four species of Streptococcus (Enterococcus), and 2 species of Staphylococcus. In addition, 6 types of bacteria were determined barely to the genus level. General antibiotic susceptibility was measured in the 30 isolated Enterobacteriaceae to 11 antibiotics used in human and veterinary medicine. The 10 isolates that showed a phenotypic ESBL profile were verified by clavulanic acid inhibition in double mixture discs with cefpodoxime, and two ESBL strains were found, one strain in masked booby and one strain in Christmas shearwater. The two bacteria harboring the ESBL type were identified as Serratia odorifera biotype 1, which has zoonotic importance. Despite minimal human presence in the masked booby and Christmas shearwater habitats, and the extreme geographic isolation of Easter Island, we found several multiresistant bacteria and even two isolates with ESBL phenotypes. The finding of ESBLs has animal and public health significance and is of potential concern, especially because the investigation was limited in size and indicated that antibiotic-resistant bacteria now are distributed globally.

  10. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  11. In collaboration with mask suppliers for change management enhancement

    NASA Astrophysics Data System (ADS)

    Deng, Erwin; Lee, Chun Der; Lee, Rachel

    2013-06-01

    For those wafer fabs that have no their own maskshops, the main target of mask quality department is to gain stable mask quality performance through effective supplier management, and therefore achieves competitive business results. After dealing with lots of mask data preparation (MDP) quality problems with suppliers, we have found that incomplete change management procedures are one of major sources that induce incorrect mask data for writing. This article will share our experience in how to enhance change management flows with mask suppliers together and will also show the utility after a series of flow improvement actions.

  12. Targeting ALK: Precision Medicine Takes On Drug Resistance

    PubMed Central

    Lin, Jessica J.; Riely, Gregory J.; Shaw, Alice T.

    2017-01-01

    Anaplastic lymphoma kinase (ALK) is a validated molecular target in several ALK-rearranged malignancies, including non-small-cell lung cancer (NSCLC). However, the clinical benefit of targeting ALK using tyrosine kinase inhibitors (TKIs) is almost universally limited by the emergence of drug resistance. Diverse mechanisms of resistance to ALK TKIs have now been discovered, and these basic mechanisms are informing the development of novel therapeutic strategies to overcome resistance in the clinic. In this Review, we summarize the current successes and challenges of targeting ALK. PMID:28122866

  13. Additivity of nonsimultaneous masking for short Gaussian-shaped sinusoids.

    PubMed

    Laback, Bernhard; Balazs, Peter; Necciari, Thibaud; Savel, Sophie; Ystad, Solvi; Meunier, Sabine; Kronland-Martinet, Richard

    2011-02-01

    The additivity of nonsimultaneous masking was studied using Gaussian-shaped tone pulses (referred to as Gaussians) as masker and target stimuli. Combinations of up to four temporally separated Gaussian maskers with an equivalent rectangular bandwidth of 600 Hz and an equivalent rectangular duration of 1.7 ms were tested. Each masker was level-adjusted to produce approximately 8 dB of masking. Excess masking (exceeding linear additivity) was generally stronger than reported in the literature for longer maskers and comparable target levels. A model incorporating a compressive input/output function, followed by a linear summation stage, underestimated excess masking when using an input/output function derived from literature data for longer maskers and comparable target levels. The data could be predicted with a more compressive input/output function. Stronger compression may be explained by assuming that the Gaussian stimuli were too short to evoke the medial olivocochlear reflex (MOCR), whereas for longer maskers tested previously the MOCR caused reduced compression. Overall, the interpretation of the data suggests strong basilar membrane compression for very short stimuli.

  14. Use of salsalate to target inflammation in the treatment of insulin resistance and type 2 diabetes.

    PubMed

    Goldfine, Allison B; Silver, Robert; Aldhahi, Waleed; Cai, Dongsheng; Tatro, Elizabeth; Lee, Jongsoon; Shoelson, Steven E

    2008-05-01

    Chronic subacute inflammation is implicated in the pathogenesis of insulin resistance and type 2 diabetes. Salicylates were shown years ago to lower glucose and more recently to inhibit NF-kappaB activity. Salsalate, a prodrug form of salicylate, has seen extensive clinical use and has a favorable safety profile. We studied the efficacy of salsalate in reducing glycemia and insulin resistance and potential mechanisms of action to validate NF-kappaB as a potential pharmacologic target in diabetes. In open label studies, both high (4.5 g/d) and standard (3.0 g/d) doses of salsalate reduced fasting and postchallenge glucose levels after 2 weeks of treatment. Salsalate increased glucose utilization during euglycemic hyperinsulinemic clamps, by approximately 50% and 15% at the high and standard doses, respectively, and insulin clearance was decreased. Dose-limiting tinnitus occurred only at the higher dose. In a third, double-masked, placebo-controlled trial, 1 month of salsalate at maximum tolerable dose (no tinnitus) improved fasting and postchallenge glucose levels. Circulating free fatty acids were reduced and adiponectin increased in all treated subjects. These data demonstrate that salsalate improves in vivo glucose and lipid homeostasis, and support targeting of inflammation and NF-kappaB as a therapeutic approach in type 2 diabetes.

  15. The contribution of forward masking to saccadic inhibition of return.

    PubMed

    Souto, David; Born, Sabine; Kerzel, Dirk

    2018-03-08

    Inhibition of return is the name typically given to the prolonged latency of motor responses directed to a previously cued target location. There is intense debate about the origins of this effect and its function, but most take for granted (despite lack of evidence) that it depends little on forward masking. Therefore, we re-examined the role of forward masking in inhibition of return. Forward masking was indexed by slower saccadic reaction times (SRTs) when the target orientation repeated the cue orientation at the same location. We confirmed effects of orientation repetition in the absence of an attentional bias when cues were presented on both sides of fixation (bilateral presentation). The effect of orientation repetition was reduced with high target contrast, consistent with a low-level origin such as contrast gain control in early visual areas. When presenting cues on only one side of fixation (unilateral presentation), we obtained inhibition of return with longer cue-target intervals and facilitation with targets presented shortly after the cue. The effect of orientation repetition was reduced when facilitation was observed, but was as strong as with bilateral cues when inhibition of return was observed. Therefore, forward masking may contribute to the inhibition of return effect by delaying reaction times to repeated features at the same location, but is not a principal cause of inhibition of return; in agreement with previous views. The saccadic inhibition of return effect is a reaction-time cost when responding to a pre-cued location. Additional object updating costs are typically invoked to explain reaction-time costs observed when cue and target have the same shape. Yet, lower-level, forward masking of the target by the cue can not be ruled out. Importantly, we show an effect of orientation repetition that is consistent with low-level forward masking rather than object updating costs and that does not interact with inhibition of return.

  16. "Slit Mask Design for the Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph"

    NASA Astrophysics Data System (ADS)

    Williams, Darius; Marshall, Jennifer L.; Schmidt, Luke M.; Prochaska, Travis; DePoy, Darren L.

    2018-01-01

    The Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph (GMACS) is currently in development for the Giant Magellan Telescope (GMT). GMACS will employ slit masks with a usable diameter of approximately 0.450 m for the purpose of multi-slit spectroscopy. Of significant importance are the design constraints and parameters of the multi-object slit masks themselves as well as the means for mapping astronomical targets to physical mask locations. Analytical methods are utilized to quantify deformation effects on a potential slit mask due to thermal expansion and vignetting of target light cones. Finite element analysis (FEA) is utilized to simulate mask flexure in changing gravity vectors. The alpha version of the mask creation program for GMACS, GMACS Mask Simulator (GMS), a derivative of the OSMOS Mask Simulator (OMS), is introduced.

  17. Phonological and Orthographic Overlap Effects in Fast and Masked Priming

    PubMed Central

    Frisson, Steven; Bélanger, Nathalie N.; Rayner, Keith

    2014-01-01

    We investigated how orthographic and phonological information is activated during reading, using a fast priming task, and during single word recognition, using masked priming. Specifically, different types of overlap between prime and target were contrasted: high orthographic and high phonological overlap (track-crack), high orthographic and low phonological overlap (bear-gear), or low orthographic and high phonological overlap (fruit-chute). In addition, we examined whether (orthographic) beginning overlap (swoop-swoon) yielded the same priming pattern as end (rhyme) overlap (track-crack). Prime durations were 32 and 50ms in the fast priming version, and 50ms in the masked priming version, and mode of presentation (prime and target in lower case) was identical. The fast priming experiment showed facilitatory priming effects when both orthography and phonology overlapped, with no apparent differences between beginning and end overlap pairs. Facilitation was also found when prime and target only overlapped orthographically. In contrast, the masked priming experiment showed inhibition for both types of end overlap pairs (with and without phonological overlap), and no difference for begin overlap items. When prime and target only shared principally phonological information, facilitation was only found with a long prime duration in the fast priming experiment, while no differences were found in the masked priming version. These contrasting results suggest that fast priming and masked priming do not necessarily tap into the same type of processing. PMID:24365065

  18. Collinear masking effect in visual search is independent of perceptual salience.

    PubMed

    Jingling, Li; Lu, Yi-Hui; Cheng, Miao; Tseng, Chia-Huei

    2017-07-01

    Searching for a target in a salient region should be easier than looking for one in a nonsalient region. However, we previously discovered a contradictory phenomenon in which a local target in a salient structure was more difficult to find than one in the background. The salient structure was constructed of orientation singletons aligned to each other to form a collinear structure. In the present study, we undertake to determine whether such a masking effect was a result of salience competition between a global structure and the local target. In the first 3 experiments, we increased the salience value of the local target with the hope of adding to its competitive advantage and eventually eliminating the masking effect; nevertheless, the masking effect persisted. In an additional 2 experiments, we reduced salience of the global collinear structure by altering the orientation of the background bars and the masking effect still emerged. Our salience manipulations were validated by a controlled condition in which the global structure was grouped noncollinearly. In this case, local target salience increase (e.g., onset) or global distractor salience reduction (e.g., randomized flanking orientations) effectively removed the facilitation effect of the noncollinear structure. Our data suggest that salience competition is unlikely to explain the collinear masking effect, and other mechanisms such as contour integration, border formation, or the crowding effect may be prospective candidates for further investigation.

  19. On the effectiveness of noise masks: naturalistic vs. un-naturalistic image statistics.

    PubMed

    Hansen, Bruce C; Hess, Robert F

    2012-05-01

    It has been argued that the human visual system is optimized for identification of broadband objects embedded in stimuli possessing orientation averaged power spectra fall-offs that obey the 1/f(β) relationship typically observed in natural scene imagery (i.e., β=2.0 on logarithmic axes). Here, we were interested in whether individual spatial channels leading to recognition are functionally optimized for narrowband targets when masked by noise possessing naturalistic image statistics (β=2.0). The current study therefore explores the impact of variable β noise masks on the identification of narrowband target stimuli ranging in spatial complexity, while simultaneously controlling for physical or perceived differences between the masks. The results show that β=2.0 noise masks produce the largest identification thresholds regardless of target complexity, and thus do not seem to yield functionally optimized channel processing. The differential masking effects are discussed in the context of contrast gain control. Copyright © 2012 Elsevier Ltd. All rights reserved.

  20. Beyond a mask and against the bottleneck: retroactive dual-task interference during working memory consolidation of a masked visual target.

    PubMed

    Nieuwenstein, Mark; Wyble, Brad

    2014-06-01

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result, estimates of the time course of working memory consolidation differ more than an order of magnitude. Here, we contrasted these opposing views by examining if and for how long the processing of a masked display of visual stimuli can be disturbed by a trailing 2-alternative forced choice task (2-AFC; a color discrimination task or a visual or auditory parity judgment task). The results showed that the presence of the 2-AFC task produced a pronounced retroactive interference effect that dissipated across stimulus onset asynchronies of 250-1,000 ms, indicating that the processing elicited by the 2-AFC task interfered with the gradual consolidation of the earlier shown stimuli. Furthermore, this interference effect occurred regardless of whether the to-be-remembered stimuli comprised a string of letters or an unfamiliar complex visual shape, and it occurred regardless of whether these stimuli were masked. Conversely, the interference effect was reduced when the memory load for the 1st task was reduced, or when the 2nd task was a color detection task that did not require decision making. Taken together, these findings show that the formation of a durable and consciously accessible working memory trace for a briefly shown visual stimulus can be disturbed by a trailing 2-AFC task for up to several hundred milliseconds after the stimulus has been masked. By implication, the current findings challenge the common view that working memory consolidation involves an immutable central processing bottleneck, and they also make clear that consolidation does not stop when a stimulus is masked. PsycINFO Database Record (c) 2014 APA, all rights reserved.

  1. Cost-effective masks for deep x-ray lithography

    NASA Astrophysics Data System (ADS)

    Scheunemann, Heinz-Ulrich; Loechel, Bernd; Jian, Linke; Schondelmaier, Daniel; Desta, Yohannes M.; Goettert, Jost

    2003-04-01

    The production of X-ray masks is one of the key techniques for X-ray lithography and the LIGA process. Different ways for the fabrication of X-ray masks has been established. Very sophisticated, difficult and expensive procedures are required to produce high precision and high quality X-ray masks. In order to minimize the cost of an X-ray mask, the mask blank must be inexpensive and readily available. The steps involved in the fabrication process must also be minimal. In the past, thin membranes made of titanium, silicon carbide, silicon nitride (2-5μm) or thick beryllium substrates (500μm) have been used as mask blanks. Thin titanium and silicon compounds have very high transparency for X-rays; therefore, these materials are predestined for use as mask membrane material. However, the handling and fabrication of thin membranes is very difficult, thus expensive. Beryllium is highly transparent to X-rays, but the processing and use of beryllium is risky due to potential toxicity. During the past few years graphite based X-ray masks have been in use at various research centers, but the sidewall quality of the generated resist patterns is in the range of 200-300 nm Ra. We used polished graphite to improve the sidewall roughness, but polished graphite causes other problems in the fabrication of X-ray masks. This paper describes the advantages associated with the use of polished graphite as mask blank as well as the fabrication process for this low cost X-ray mask. Alternative membrane materials will also be discussed.

  2. The role of executive attention in object substitution masking.

    PubMed

    Filmer, Hannah L; Wells-Peris, Roxanne; Dux, Paul E

    2017-05-01

    It was long thought that a key characteristic of object substitution masking (OSM) was the requirement for spatial attention to be dispersed for the mask to impact visual sensitivity. However, recent studies have provided evidence questioning whether spatial attention interacts with OSM magnitude, suggesting that the previous reports reflect the impact of performance being at ceiling for the low attention load conditions. Another technique that has been employed to modulate attention in OSM paradigms involves presenting the target stimulus foveally, but with another demanding task shown immediately prior, and thus taxing executive/temporal attention. Under such conditions, when the two tasks occur in close temporal proximity relatively to greater temporal separation, masking is increased. However this effect could also be influenced by performance being at ceiling in some conditions. Here, we manipulated executive attention for a foveated target using a dual-task paradigm. Critically, ceiling performance was avoided by thresholding the target stimulus prior to it being presented under OSM conditions. We found no evidence for an interaction between executive attention load and masking. Collectively, along with the previous findings, our results provide compelling evidence that OSM as a phenomenon occurs independently of attention.

  3. A diffusion model account of masked vs. unmasked priming: Are they qualitatively different?

    PubMed Central

    Gomez, Pablo; Perea, Manuel; Ratcliff, Roger

    2017-01-01

    In the past decades, hundreds of articles have explored the mechanisms underlying priming. Most researchers assume that masked and unmasked priming are qualitatively different. For masked priming, the effects are often assumed to reflect savings in the encoding of the target stimulus, whereas for unmasked priming, it has been suggested that the effects reflect the familiarity of the prime-target compound cue. In contrast, other researchers have claimed that masked and unmasked priming reflect essentially the same core processes. In this article, we use the diffusion model (Ratcliff, 1978) to account for the effects of masked and unmasked priming for identity and associatively related primes. The fits of the model lead us to the following conclusion: masked related primes give a head start to the processing of the target compared to unrelated primes, while unmasked priming affects primarily the quality of the lexical information. PMID:23647337

  4. Comparison of DNQ/novolac resists for e-beam exposure

    NASA Astrophysics Data System (ADS)

    Fedynyshyn, Theodore H.; Doran, Scott P.; Lind, Michele L.; Lyszczarz, Theodore M.; DiNatale, William F.; Lennon, Donna; Sauer, Charles A.; Meute, Jeff

    1999-12-01

    We have surveyed the commercial resist market with the dual purpose of identifying diazoquinone/novolac based resists that have potential for use as e-beam mask making resists and baselining these resists for comparison against future mask making resist candidates. For completeness, this survey would require that each resist be compared with an optimized developer and development process. To accomplish this task in an acceptable time period, e-beam lithography modeling was employed to quickly identify the resist and developer combinations that lead to superior resist performance. We describe the verification of a method to quickly screen commercial i-line resists with different developers, by determining modeling parameters for i-line resists from e-beam exposures, modeling the resist performance, and comparing predicted performance versus actual performance. We determined the lithographic performance of several DNQ/novolac resists whose modeled performance suggests that sensitivities of less than 40 (mu) C/cm2 coupled with less than 10-nm CD change per percent change in dose are possible for target 600-nm features. This was accomplished by performing a series of statistically designed experiments on the leading resists candidates to optimize processing variables, followed by comparing experimentally determined resist sensitivities, latitudes, and profiles of the DNQ/novolac resists a their optimized process.

  5. Simulant Agent Resistance Test Manikin (SMARTMAN) Testing of Protective Mask Systems

    DTIC Science & Technology

    2013-09-16

    after approval of the test plan and conduct of the test readiness review (TRR) or equivalent, and/or other installation pretest reviews. 3.1.1...to various types of pre- test conditioning. The number of masks chosen to represent each type of pretest conditioning will be divided (equally...This does not negate the pretest conditioning. TOP 08-2-109 16 September 2013 8 a. Masks undergoing BFC conditioning will be conditioned before

  6. Using synchrotron light to accelerate EUV resist and mask materials learning

    NASA Astrophysics Data System (ADS)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  7. Mechanically and electrically robust metal-mask design for organic CMOS circuits

    NASA Astrophysics Data System (ADS)

    Shintani, Michihiro; Qin, Zhaoxing; Kuribara, Kazunori; Ogasahara, Yasuhiro; Hiromoto, Masayuki; Sato, Takashi

    2018-04-01

    The design of metal masks for fabricating organic CMOS circuits requires the consideration of not only the electrical property of the circuits, but also the mechanical strength of the masks. In this paper, we propose a new design flow for metal masks that realizes coanalysis of the mechanical and electrical properties and enables design exploration considering the trade-off between the two properties. As a case study, we apply a “stitching technique” to the mask design of a ring oscillator and explore the best design. With this technique, mask patterns are divided into separate parts using multiple mask layers to improve the mechanical strength at the cost of high resistance of the vias. By a numerical experiment, the design trade-off of the stitching technique is quantitatively analyzed, and it is demonstrated that the proposed flow is useful for the exploration of the designs of metal masks.

  8. Reward-associated features capture attention in the absence of awareness: Evidence from object-substitution masking.

    PubMed

    Harris, Joseph A; Donohue, Sarah E; Schoenfeld, Mircea A; Hopf, Jens-Max; Heinze, Hans-Jochen; Woldorff, Marty G

    2016-08-15

    Reward-associated visual features have been shown to capture visual attention, evidenced in faster and more accurate behavioral performance, as well as in neural responses reflecting lateralized shifts of visual attention to those features. Specifically, the contralateral N2pc event-related-potential (ERP) component that reflects attentional shifting exhibits increased amplitude in response to task-relevant targets containing a reward-associated feature. In the present study, we examined the automaticity of such reward-association effects using object-substitution masking (OSM) in conjunction with MEG measures of visual attentional shifts. In OSM, a visual-search array is presented, with the target item to be detected indicated by a surrounding mask (here, four surrounding squares). Delaying the offset of the target-surrounding four-dot mask relative to the offset of the rest of the target/distracter array disrupts the viewer's awareness of the target (masked condition), whereas simultaneous offsets do not (unmasked condition). Here we manipulated whether the color of the OSM target was or was not of a previously reward-associated color. By tracking reward-associated enhancements of behavior and the N2pc in response to masked targets containing a previously rewarded or unrewarded feature, the automaticity of attentional capture by reward could be probed. We found an enhanced N2pc response to targets containing a previously reward-associated color feature. Moreover, this enhancement of the N2pc by reward did not differ between masking conditions, nor did it differ as a function of the apparent visibility of the target within the masked condition. Overall, these results underscore the automaticity of attentional capture by reward-associated features, and demonstrate the ability of feature-based reward associations to shape attentional capture and allocation outside of perceptual awareness. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Advances in low-defect multilayers for EUVL mask blanks

    NASA Astrophysics Data System (ADS)

    Folta, James A.; Davidson, J. Courtney; Larson, Cindy C.; Walton, Christopher C.; Kearney, Patrick A.

    2002-07-01

    Low-defect multilayer coatings are required to fabricate mask blanks for Extreme Ultraviolet Lithography (EUVL). The mask blanks consist of high reflectance EUV multilayers on low thermal expansion substrates. A defect density of 0.0025 printable defects/cm2 for both the mask substrate and the multilayer is required to provide a mask blank yield of 60 percent. Current low defect multilayer coating technology allows repeated coating-added defect levels of 0.05/cm2 for defects greater than 90 nm polystyrene latex sphere (PSL) equivalent size for lots of 20 substrates. Extended clean operation of the coating system at levels below 0.08/cm2 for 3 months of operation has also been achieved. Two substrates with zero added defects in the quality area have been fabricated, providing an existence proof that ultra low defect coatings are possible. Increasing the ion source-to-target distance from 410 to 560 mm to reduce undesired coating of the ion source caused the defect density to increase to 0.2/cm2. Deposition and etching diagnostic witness substrates and deposition pinhole cameras showed a much higher level of ion beam spillover (ions missing the sputter target) than expected. Future work will quantify beam spillover, and test designs to reduce spillover, if it is confirmed to be the cause of the increased defect level. The LDD system will also be upgraded to allow clean coating of standard format mask substrates. The upgrade will confirm that the low defect process developed on Si wafers is compatible with the standard mask format 152 mm square substrates, and will provide a clean supply of EUVL mask blanks needed to support development of EUVL mask patterning processes and clean mask handling technologies.

  10. CD-measurement technique for hole patterns on stencil mask

    NASA Astrophysics Data System (ADS)

    Ishikawa, Mikio; Yusa, Satoshi; Takikawa, Tadahiko; Fujita, Hiroshi; Sano, Hisatake; Hoga, Morihisa; Hayashi, Naoya

    2004-12-01

    EB lithography has a potential to successfully form hole patterns as small as 80 nm with a stencil mask. In a previous paper we proposed a technique using a HOLON dual-mode critical dimension (CD) SEM ESPA-75S in the transmission mode for CD measurement of line-and-space patterns on a stencil mask. In this paper we extend our effort of developing a CD measurement technique to contact hole features and determine it in comparison of measured values between features on mask and those printed on wafer. We have evaluated the width method and the area methods using designed 80-500 nm wide contact hole patterns on a large area membrane mask and their resist images on wafer printed by a LEEPL3000. We find that 1) the width method and the area methods show an excellent mask-wafer correlation for holes over 110 nm, and 2) the area methods show a better mask-wafer correlation than the width method does for holes below 110 nm. We conclude that the area calculated from the transmission SEM image is more suitable in defining the hole dimensions than the width for contact holes on a stencil mask.

  11. Recovery of a crowded object by masking the flankers: Determining the locus of feature integration

    PubMed Central

    Chakravarthi, Ramakrishna; Cavanagh, Patrick

    2009-01-01

    Object recognition is a central function of the visual system. As a first step, the features of an object are registered; these independently encoded features are then bound together to form a single representation. Here we investigate the locus of this “feature integration” by examining crowding, a striking breakdown of this process. Crowding, an inability to identify a peripheral target surrounded by flankers, results from “excessive integration” of target and flanker features. We presented a standard crowding display with a target C flanked by four flanker C's in the periphery. We then masked only the flankers (but not the target) with one of three kinds of masks—noise, metacontrast, and object substitution—each of which interferes at progressively higher levels of visual processing. With noise and metacontrast masks (low-level masking), the crowded target was recovered, whereas with object substitution masks (high-level masking), it was not. This places a clear upper bound on the locus of interference in crowding suggesting that crowding is not a low-level phenomenon. We conclude that feature integration, which underlies crowding, occurs prior to the locus of object substitution masking. Further, our results indicate that the integrity of the flankers, but not their identification, is crucial for crowding to occur. PMID:19810785

  12. Targeting efflux pumps to overcome antifungal drug resistance

    PubMed Central

    Holmes, Ann R; Cardno, Tony S; Strouse, J Jacob; Ivnitski-Steele, Irena; Keniya, Mikhail V; Lackovic, Kurt; Monk, Brian C; Sklar, Larry A; Cannon, Richard D

    2016-01-01

    Resistance to antifungal drugs is an increasingly significant clinical problem. The most common antifungal resistance encountered is efflux pump-mediated resistance of Candida species to azole drugs. One approach to overcome this resistance is to inhibit the pumps and chemosensitize resistant strains to azole drugs. Drug discovery targeting fungal efflux pumps could thus result in the development of azole-enhancing combination therapy. Heterologous expression of fungal efflux pumps in Saccharomyces cerevisiae provides a versatile system for screening for pump inhibitors. Fungal efflux pumps transport a range of xenobiotics including fluorescent compounds. This enables the use of fluorescence-based detection, as well as growth inhibition assays, in screens to discover compounds targeting efflux-mediated antifungal drug resistance. A variety of medium- and high-throughput screens have been used to identify a number of chemical entities that inhibit fungal efflux pumps. PMID:27463566

  13. X ray reflection masks: Manufacturing, characterization and first tests

    NASA Astrophysics Data System (ADS)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  14. Drug targets for resistant malaria: Historic to future perspectives.

    PubMed

    Kumar, Sahil; Bhardwaj, T R; Prasad, D N; Singh, Rajesh K

    2018-05-11

    New antimalarial targets are the prime need for the discovery of potent drug candidates. In order to fulfill this objective, antimalarial drug researches are focusing on promising targets in order to develop new drug candidates. Basic metabolism and biochemical process in the malaria parasite, i.e. Plasmodium falciparum can play an indispensable role in the identification of these targets. But, the emergence of resistance to antimalarial drugs is an escalating comprehensive problem with the progress of antimalarial drug development. The development of resistance has highlighted the need for the search of novel antimalarial molecules. The pharmaceutical industries are committed to new drug development due to the global recognition of this life threatening resistance to the currently available antimalarial therapy. The recent developments in the understanding of parasite biology are exhilarating this resistance issue which is further being ignited by malaria genome project. With this background of information, this review was aimed to highlights and provides useful information on various present and promising treatment approaches for resistant malaria, new progresses, pursued by some innovative targets that have been explored till date. This review also discusses modern and futuristic multiple approaches to antimalarial drug discovery and development with pictorial presentations highlighting the various targets, that could be exploited for generating promising new drugs in the future for drug resistant malaria. Copyright © 2018 Elsevier Masson SAS. All rights reserved.

  15. Cerebral Asymmetries in Early Orthographic and Phonological Reading Processes: Evidence from Backward Masking

    ERIC Educational Resources Information Center

    Halderman, Laura K.; Chiarello, Christine

    2005-01-01

    A lateralized backward masking paradigm was used to examine hemisphere differences in orthographic and phonological processes at an early time course of word recognition. Targets (e.g., bowl) were presented and backward masked by either pseudohomophones of the target word (orthographically and phonologically similar, e.g., BOAL), orthographically…

  16. Are personality traits associated with white-coat and masked hypertension?

    PubMed

    Terracciano, Antonio; Scuteri, Angelo; Strait, James; Sutin, Angelina R; Meirelles, Osorio; Marongiu, Michele; Orru, Marco; Pilia, Maria Grazia; Ferrucci, Luigi; Cucca, Francesco; Schlessinger, David; Lakatta, Edward

    2014-10-01

    Anxiety and other psychological dispositions are thought to be associated with blood pressure. This study tests whether personality traits have long-term associations with masked and white-coat effects. A community-based sample of 2838 adults from Sardinia (Italy) completed the Revised NEO Personality Inventory, and 7 years later, blood pressure was assessed in the clinic and with ambulatory monitoring. Logistic regressions were used to test whether anxiety, neuroticism, extraversion, openness, agreeableness, and conscientiousness predicted the white-coat and masked hypertension phenomena. Age, sex, and antihypertensive medication use were tested as moderators. Significant interactions were found between personality traits and antihypertensive medications in predicting masked and white-coat effects. Only among those taking antihypertensive medication, higher anxiety was associated with a higher risk of pseudo-resistant hypertension due to white-coat effect (odds ratio 1.39, 95% confidence interval 1.01-1.91) and higher conscientiousness was associated with a lower risk of masked uncontrolled hypertension (odds ratio 0.70, 95% confidence interval 0.49-0.99). There were no significant interactions with age or sex. Among those on antihypertensive medications, anxious individuals were more likely to have pseudo-resistant hypertension due to white-coat effect and less conscientious individuals were at increased risk of masked uncontrolled hypertension. Particularly among anxious and less conscientious individuals, ambulatory monitoring may improve the tailoring of pharmacological treatments.

  17. Different effects of the two types of spatial pre-cueing: what precisely is "attention" in Di Lollo's and Enns' substitution masking theory?

    PubMed

    Luiga, I; Bachmann, T

    2007-11-01

    Enns and Di Lollo [Psychological Science, 8 (2), 135-139, 1997] have introduced the object substitution theory of visual masking. Object substitution masking occurs when focusing attention on the target is delayed. However, Posner (Quarterly Journal of Experimental Psychology, 32, 3-25, 1980) has already shown that attention can be directed to a target at least in two ways: intentionally (endogenously) and automatically (exogenously). We conducted two experiments to explore the effects of endogenous and exogenous cues on substitution masking. The results showed that when attention was shifted to the target location automatically (using a local peripheral pre-cue), masking was attenuated. A decrease in target identification dependent on a delay of mask offset, typical to substitution masking, was not observed. However, strong substitution masking occurred when the target location was not pre-cued or when attention was directed to the target location intentionally (using a symbolic pre-cue displayed centrally). The hypothesis of two different mechanisms of attentional control in substitution masking was confirmed.

  18. The attentional blink is not affected by backward masking of T2, T2-mask SOA, or level of T2 impoverishment.

    PubMed

    Jannati, Ali; Spalek, Thomas M; Lagroix, Hayley E P; Di Lollo, Vincent

    2012-02-01

    Identification of the second of two targets (T2) is impaired when presented shortly after the first (T1). This attentional blink (AB) is thought to arise from a delay in T2 processing during which T2 is vulnerable to masking. Conventional studies have measured T2 accuracy which is constrained by the 100% ceiling. We avoided this problem by using a dynamic threshold-tracking procedure that is inherently free from ceiling constraints. In two experiments we examined how AB magnitude is affected by three masking-related factors: (a) presence/absence of T2 mask, (b) T2-mask stimulus onset asynchrony (SOA), and (c) level of T2 impoverishment (signal-to-noise ratio [SNR]). In Experiment 1, overall accuracy decreased with T2-mask SOA. The magnitude of the AB, however, was invariant with SOA and with mask presence/absence. Experiment 2 further showed that the AB was invariant with T2 SNR. The relationship among mask presence/absence, SOA, and T2 SNR and the AB is encompassed in a qualitative model.

  19. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  20. Gray scale x-ray mask

    DOEpatents

    Morales, Alfredo M [Livermore, CA; Gonzales, Marcela [Seattle, WA

    2006-03-07

    The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.

  1. Being forward not backward: lexical limits to masked priming.

    PubMed

    Davis, Chris; Kim, Jeesun; Forster, Kenneth I

    2008-05-01

    This study investigated whether masked priming is mediated by existing memory representations by determining whether nonwords targets would show repetition priming. To avoid the potential confound that nonword repetition priming would be obscured by a familiarity response bias, the standard lexical decision and naming tasks were modified to make targets unfamiliar. Participants were required to read a target string from right to left (i.e., "ECAF" should be read as "FACE") and then make a response. To examine if priming was based on lexical representations, repetition primes consisted of words when read forwards or backwards (e.g., "face", "ecaf") and nonwords (e.g., "pame", "emap"). Forward and backward primes were used to test if task instruction affected prime encoding. The lexical decision and naming tasks showed the same pattern of results: priming only occurred for forward primes with word targets (e.g., "face-ECAF"). Additional experiments to test if response priming affected the LDT indicated that the lexical status of the prime per se did not affect target responses. These results showed that the encoding of masked primes was unaffected by the novel task instruction and support the view that masked priming is due to the automatic triggering of pre-established computational processes based on stored information.

  2. X-ray mask and method for providing same

    DOEpatents

    Morales, Alfredo M [Pleasanton, CA; Skala, Dawn M [Fremont, CA

    2004-09-28

    The present invention describes a method for fabricating an x-ray mask tool which can achieve pattern features having lateral dimension of less than 1 micron. The process uses a thin photoresist and a standard lithographic mask to transfer an trace image pattern in the surface of a silicon wafer by exposing and developing the resist. The exposed portion of the silicon substrate is then anisotropically etched to provide an etched image of the trace image pattern consisting of a series of channels in the silicon having a high depth-to-width aspect ratio. These channels are then filled by depositing a metal such as gold to provide an inverse image of the trace image and thereby providing a robust x-ray mask tool.

  3. X-ray mask and method for providing same

    DOEpatents

    Morales, Alfredo M.; Skala, Dawn M.

    2002-01-01

    The present invention describes a method for fabricating an x-ray mask tool which can achieve pattern features having lateral dimension of less than 1 micron. The process uses a thin photoresist and a standard lithographic mask to transfer an trace image pattern in the surface of a silicon wafer by exposing and developing the resist. The exposed portion of the silicon substrate is then anisotropically etched to provide an etched image of the trace image pattern consisting of a series of channels in the silicon having a high depth-to-width aspect ratio. These channels are then filled by depositing a metal such as gold to provide an inverse image of the trace image and thereby providing a robust x-ray mask tool.

  4. The Supraglottic Effect of a Reduction in Expiratory Mask Pressure During Continuous Positive Airway Pressure

    PubMed Central

    Masdeu, Maria J.; Patel, Amit V.; Seelall, Vijay; Rapoport, David M.; Ayappa, Indu

    2012-01-01

    Study Objectives: Patients with obstructive sleep apnea may have difficulty exhaling against positive pressure, hence limiting their acceptance of continuous positive airway pressure (CPAP). C-Flex is designed to improve comfort by reducing pressure in the mask during expiration proportionally to expiratory airflow (3 settings correspond to increasing pressure changes). When patients use CPAP, nasal resistance determines how much higher supraglottic pressure is than mask pressure. We hypothesized that increased nasal resistance results in increased expiratory supraglottic pressure swings that could be mitigated by the effects of C-Flex on mask pressure. Design: Cohort study. Setting: Sleep center. Participants: Seventeen patients with obstructive sleep apnea/hypopnea syndrome and a mechanical model of the upper airway. Interventions: In patients on fixed CPAP, CPAP with different C-Flex levels was applied multiple times during the night. In the model, 2 different respiratory patterns and resistances were tested. Measurements and Results: Airflow, expiratory mask, and supraglottic pressures were measured on CPAP and on C-Flex. Swings in pressure during expiration were determined. On CPAP, higher nasal resistance produced greater expiratory pressure swings in the supraglottis in the patients and in the model, as expected. C-Flex 3 produced expiratory drops in mask pressure (range −0.03 to −2.49 cm H2O) but mitigated the expira-tory pressure rise in the supraglottis only during a sinusoidal respiratory pattern in the model. Conclusions: Expiratory changes in mask pressure induced by C-Flex did not uniformly transmit to the supraglottis in either patients with obstructive sleep apnea on CPAP or in a mechanical model of the upper airway with fixed resistance. Data suggest that the observed lack of expiratory drop in supraglottic pressure swings is related to dynamics of the C-Flex algorithm. Citation: Masdeu MJ; Patel AV; Seelall V; Rapoport DM; Ayappa I. The

  5. Masked Chimeric Antigen Receptor for Tumor-Specific Activation.

    PubMed

    Han, Xiaolu; Bryson, Paul D; Zhao, Yifan; Cinay, Gunce E; Li, Si; Guo, Yunfei; Siriwon, Natnaree; Wang, Pin

    2017-01-04

    Adoptive cellular therapy based on chimeric antigen receptor (CAR)-engineered T (CAR-T) cells is a powerful form of cancer immunotherapy. CAR-T cells can be redirected to specifically recognize tumor-associated antigens (TAAs) and induce high levels of antitumor activity. However, they may also display "on-target off-tumor" toxicities, resulting from low-level expression of TAAs in healthy tissues. These adverse effects have raised considerable safety concerns and limited the clinical application of this otherwise promising therapeutic modality. To minimize such side effects, we have designed an epidermal growth factor receptor (EGFR)-specific masked CAR (mCAR), which consists of a masking peptide that blocks the antigen-binding site and a protease-sensitive linker. Proteases commonly active in the tumor microenvironment can cleave the linker and disengage the masking peptide, thereby enabling CAR-T cells to recognize target antigens only at the tumor site. In vitro mCAR showed dramatically reduced antigen binding and antigen-specific activation in the absence of proteases, but normal levels of binding and activity upon treatment with certain proteases. Masked CAR-T cells also showed antitumor efficacy in vivo comparable to that of unmasked CAR. Our study demonstrates the feasibility of improving the safety profile of conventional CARs and may also inspire future design of CAR molecules targeting broadly expressed TAAs. Copyright © 2017 The American Society of Gene and Cell Therapy. Published by Elsevier Inc. All rights reserved.

  6. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    PubMed

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  7. Simulation-based MDP verification for leading-edge masks

    NASA Astrophysics Data System (ADS)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  8. Reading a standing wave: figure-ground-alternation masking of primes in evaluative priming.

    PubMed

    Bermeitinger, Christina; Kuhlmann, Michael; Wentura, Dirk

    2012-09-01

    We propose a new masking technique for masking word stimuli. Drawing on the phenomena of metacontrast and paracontrast, we alternately presented two prime displays of the same word with the background color in one display matching the font color in the other display and vice versa. The sequence of twenty alterations (spanning approx. 267 ms) was sandwich-masked by structure masks. Using this masking technique, we conducted evaluative priming experiments with positive and negative target and prime words. Significant priming effects were found - for primes and targets drawn from the same as well as from different word sets. Priming effects were independent of prime discrimination performance in direct tests and they were still significant after the sample was restricted to those participants who showed random responding in the direct test. Copyright © 2012 Elsevier Inc. All rights reserved.

  9. Getting the cocktail party started: masking effects in speech perception

    PubMed Central

    Evans, S; McGettigan, C; Agnew, ZK; Rosen, S; Scott, SK

    2016-01-01

    Spoken conversations typically take place in noisy environments and different kinds of masking sounds place differing demands on cognitive resources. Previous studies, examining the modulation of neural activity associated with the properties of competing sounds, have shown that additional speech streams engage the superior temporal gyrus. However, the absence of a condition in which target speech was heard without additional masking made it difficult to identify brain networks specific to masking and to ascertain the extent to which competing speech was processed equivalently to target speech. In this study, we scanned young healthy adults with continuous functional Magnetic Resonance Imaging (fMRI), whilst they listened to stories masked by sounds that differed in their similarity to speech. We show that auditory attention and control networks are activated during attentive listening to masked speech in the absence of an overt behavioural task. We demonstrate that competing speech is processed predominantly in the left hemisphere within the same pathway as target speech but is not treated equivalently within that stream, and that individuals who perform better in speech in noise tasks activate the left mid-posterior superior temporal gyrus more. Finally, we identify neural responses associated with the onset of sounds in the auditory environment, activity was found within right lateralised frontal regions consistent with a phasic alerting response. Taken together, these results provide a comprehensive account of the neural processes involved in listening in noise. PMID:26696297

  10. Masking with faces in central visual field under a variety of temporal schedules.

    PubMed

    Daar, Marwan; Wilson, Hugh R

    2015-11-01

    With a few exceptions, previous studies have explored masking using either a backward mask or a common onset trailing mask, but not both. In a series of experiments, we demonstrate the use of faces in central visual field as a viable method to study the relationship between these two types of mask schedule. We tested observers in a two alternative forced choice face identification task, where both target and mask comprised synthetic faces, and show that a simple model can successfully predict masking across a variety of masking schedules ranging from a backward mask to a common onset trailing mask and a number of intermediate variations. Our data are well accounted for by a window of sensitivity to mask interference that is centered at around 100 ms. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Clay Mask Workshop

    ERIC Educational Resources Information Center

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  12. venice: Mask utility

    NASA Astrophysics Data System (ADS)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  13. Categorical information influences conscious perception: An interaction between object-substitution masking and repetition blindness.

    PubMed

    Goodhew, Stephanie C; Greenwood, John A; Edwards, Mark

    2016-05-01

    The visual system is constantly bombarded with dynamic input. In this context, the creation of enduring object representations presents a particular challenge. We used object-substitution masking (OSM) as a tool to probe these processes. In particular, we examined the effect of target-like stimulus repetitions on OSM. In visual crowding, the presentation of a physically identical stimulus to the target reduces crowding and improves target perception, whereas in spatial repetition blindness, the presentation of a stimulus that belongs to the same category (type) as the target impairs perception. Across two experiments, we found an interaction between spatial repetition blindness and OSM, such that repeating a same-type stimulus as the target increased masking magnitude relative to presentation of a different-type stimulus. These results are discussed in the context of the formation of object files. Moreover, the fact that the inducer only had to belong to the same "type" as the target in order to exacerbate masking, without necessarily being physically identical to the target, has important implications for our understanding of OSM per se. That is, our results show the target is processed to a categorical level in OSM despite effective masking and, strikingly, demonstrate that this category-level content directly influences whether or not the target is perceived, not just performance on another task (as in priming).

  14. Performance and stability of mask process correction for EBM-7000

    NASA Astrophysics Data System (ADS)

    Saito, Yasuko; Chen, George; Wang, Jen-Shiang; Bai, Shufeng; Howell, Rafael; Li, Jiangwei; Tao, Jun; VanDenBroeke, Doug; Wiley, Jim; Takigawa, Tadahiro; Ohnishi, Takayuki; Kamikubo, Takashi; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi

    2010-05-01

    In order to support complex optical masks today and EUV masks in the near future, it is critical to correct mask patterning errors with a magnitude of up to 20nm over a range of 2000nm at mask scale caused by short range mask process proximity effects. A new mask process correction technology, MPC+, has been developed to achieve the target requirements for the next generation node. In this paper, the accuracy and throughput performance of MPC+ technology is evaluated using the most advanced mask writing tool, the EBM-70001), and high quality mask metrology . The accuracy of MPC+ is achieved by using a new comprehensive mask model. The results of through-pitch and through-linewidth linearity curves and error statistics for multiple pattern layouts (including both 1D and 2D patterns) are demonstrated and show post-correction accuracy of 2.34nm 3σ for through-pitch/through-linewidth linearity. Implementing faster mask model simulation and more efficient correction recipes; full mask area (100cm2) processing run time is less than 7 hours for 32nm half-pitch technology node. From these results, it can be concluded that MPC+ with its higher precision and speed is a practical technology for the 32nm node and future technology generations, including EUV, when used with advance mask writing processes like the EBM-7000.

  15. Informational masking of speech in children: Effects of ipsilateral and contralateral distracters

    NASA Astrophysics Data System (ADS)

    Wightman, Frederic L.; Kistler, Doris J.

    2005-11-01

    Using a closed-set speech recognition paradigm thought to be heavily influenced by informational masking, auditory selective attention was measured in 38 children (ages 4-16 years) and 8 adults (ages 20-30 years). The task required attention to a monaural target speech message that was presented with a time-synchronized distracter message in the same ear. In some conditions a second distracter message or a speech-shaped noise was presented to the other ear. Compared to adults, children required higher target/distracter ratios to reach comparable performance levels, reflecting more informational masking in these listeners. Informational masking in most conditions was confirmed by the fact that a large proportion of the errors made by the listeners were contained in the distracter message(s). There was a monotonic age effect, such that even the children in the oldest age group (13.6-16 years) demonstrated poorer performance than adults. For both children and adults, presentation of an additional distracter in the contralateral ear significantly reduced performance, even when the distracter messages were produced by a talker of different sex than the target talker. The results are consistent with earlier reports from pure-tone masking studies that informational masking effects are much larger in children than in adults.

  16. Un/Masking Identity: Healing Our Wounded Souls

    ERIC Educational Resources Information Center

    Rodriguez, Dalia

    2006-01-01

    Using personal narrative, this article examines how masks function to subordinate African American and Latina women in the academy. The article uses Critical Race Theory and more specifically critical race gendered epistemologies, including Black feminist thought and Chicana feminist epistemology, to understand how females of color resist in the…

  17. X-ray mask fabrication advancements at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-05-01

    The Microlithographic Mask Development Center (MMD) was established as the X-ray mask manufacturing facility at the IBM Microelectronics Division semiconductor fabricator in Essex Junction, Vermont. This center, in operation for over two years, produces high yielding, defect-free X-ray masks for competitive logic and memory products at 250nm groundrules and below. The MMD is a complete mask facility that manufactures silicon membrane mask blanks in the NIST format and finished masks with electroplated gold X-ray absorber. Mask patterning, with dimensions as small as 180 nm, is accomplished using IBM-built variable shaped spot e-beam systems. Masks are routinely inspected and repaired using state-of-the-art equipment: two KLA SEM Specs for defect inspection, a Leica LMS 2000 for image placement characterization, an Amray 2040c for image dimension characterization and a Micrion 8000 XMR for defect repair. This facility maintains a baseline mask process with daily production of 250nm, 32Mb SRAM line monitor masks for the continuous improvement of mask quality and processes. Development masks are produced for several semiconductor manufacturers including IBM, Motorola, Loral, and Sanders. Masks for 64Mb and 256Mb DRAM (IBM) and advanced logic/SRAM (IBM and Motorola) designs have also been delivered. This paper describes the MMD facility and its technical capabilities. Key manufacturing metrics such as mask turnaround time, parametric yield learning and defect reduction activities are highlighted. The challenges associated with improved mask quality, sub-180nm mask fabrication, and the transition to refractory metal absorber are discussed.

  18. Drug resistance mechanisms and novel drug targets for tuberculosis therapy.

    PubMed

    Islam, Md Mahmudul; Hameed, H M Adnan; Mugweru, Julius; Chhotaray, Chiranjibi; Wang, Changwei; Tan, Yaoju; Liu, Jianxiong; Li, Xinjie; Tan, Shouyong; Ojima, Iwao; Yew, Wing Wai; Nuermberger, Eric; Lamichhane, Gyanu; Zhang, Tianyu

    2017-01-20

    Drug-resistant tuberculosis (TB) poses a significant challenge to the successful treatment and control of TB worldwide. Resistance to anti-TB drugs has existed since the beginning of the chemotherapy era. New insights into the resistant mechanisms of anti-TB drugs have been provided. Better understanding of drug resistance mechanisms helps in the development of new tools for the rapid diagnosis of drug-resistant TB. There is also a pressing need in the development of new drugs with novel targets to improve the current treatment of TB and to prevent the emergence of drug resistance in Mycobacterium tuberculosis. This review summarizes the anti-TB drug resistance mechanisms, furnishes some possible novel drug targets in the development of new agents for TB therapy and discusses the usefulness using known targets to develop new anti-TB drugs. Whole genome sequencing is currently an advanced technology to uncover drug resistance mechanisms in M. tuberculosis. However, further research is required to unravel the significance of some newly discovered gene mutations in their contribution to drug resistance. Copyright © 2016 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  19. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    PubMed

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  20. Contribution of Binaural Masking Release to Improved Speech Intelligibility for different Masker types.

    PubMed

    Sutojo, Sarinah; van de Par, Steven; Schoenmaker, Esther

    2018-06-01

    In situations with competing talkers or in the presence of masking noise, speech intelligibility can be improved by spatially separating the target speaker from the interferers. This advantage is generally referred to as spatial release from masking (SRM) and different mechanisms have been suggested to explain it. One proposed mechanism to benefit from spatial cues is the binaural masking release, which is purely stimulus driven. According to this mechanism, the spatial benefit results from differences in the binaural cues of target and masker, which need to appear simultaneously in time and frequency to improve the signal detection. In an alternative proposed mechanism, the differences in the interaural cues improve the segregation of auditory streams, a process, which involves top-down processing rather than being purely stimulus driven. Other than the cues that produce binaural masking release, the interaural cue differences between target and interferer required to improve stream segregation do not have to appear simultaneously in time and frequency. This study is concerned with the contribution of binaural masking release to SRM for three masker types that differ with respect to the amount of energetic masking they exert. Speech intelligibility was measured, employing a stimulus manipulation that inhibits binaural masking release, and analyzed with a metric to account for the number of better-ear glimpses. Results indicate that the contribution of the stimulus-driven binaural masking release plays a minor role while binaural stream segregation and the availability of glimpses in the better ear had a stronger influence on improving the speech intelligibility. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  1. The Role of Polysemy in Masked Semantic and Translation Priming

    ERIC Educational Resources Information Center

    Finkbeiner, Matthew; Forster, Kenneth; Nicol, Janet; Nakamura, Kumiko

    2004-01-01

    A well-known asymmetry exists in the bilingual masked priming literature in which lexical decision is used: namely, masked primes in the dominant language (L1) facilitate decision times on targets in the less dominant language (L2), but not vice versa. In semantic categorization, on the other hand, priming is symmetrical. In Experiments 1-3 we…

  2. Selective spatial attention modulates bottom-up informational masking of speech

    PubMed Central

    Carlile, Simon; Corkhill, Caitlin

    2015-01-01

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention. PMID:25727100

  3. Selective spatial attention modulates bottom-up informational masking of speech.

    PubMed

    Carlile, Simon; Corkhill, Caitlin

    2015-03-02

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention.

  4. Electrochemical Micromachining with Fiber Laser Masking for 304 Stainless Steel

    NASA Astrophysics Data System (ADS)

    Li, Xiaohai; Wang, Shuming; Wang, Dong; Tong, Han

    2017-10-01

    In order to fabricate micro structure, the combined machining of electrochemical micro machining (EMM) and laser masking for 304 stainless steel was studied. A device of composite machining of EMM with laser masking was developed, and the experiments of EMM with laser masking were carried out. First, by marking pattern with fiber laser on the surface of 304 stainless steel, the special masking layer can be formed. Through X ray photoelectron spectroscopy (XPS), the corrosion resistance of laser masking layer was analyzed. It is proved by XPS that the iron oxide and chromium oxide on the surface of stainless steel generates due to air oxidation when laser scanning heats. Second, the localization and precision of EMM are improved, since the marking patterns forming on the surface of stainless steel by laser masking play a protective role in the process of subsequent EMM when the appropriate parameters of EMM are selected. At last, the shape and the roughness of the machined samples were measured by SEM and optical profilometer and analyzed. The results show that the rapid fabrication of micro structures on the 304 stainless steel surface can be achieved by EMM with fiber laser masking, which has a good prospect in the field of micro machining.

  5. Accurate masking technology for high-resolution powder blasting

    NASA Astrophysics Data System (ADS)

    Pawlowski, Anne-Gabrielle; Sayah, Abdeljalil; Gijs, Martin A. M.

    2005-07-01

    We have combined eroding 10 µm diameter Al2O3 particles with a new masking technology to realize the smallest and most accurate possible structures by powder blasting. Our masking technology is based on the sequential combination of two polymers:(i) the brittle epoxy resin SU8 for its photosensitivity and (ii) the elastic and thermocurable poly-dimethylsiloxane for its large erosion resistance. We have micropatterned various types of structures with a minimum width of 20 µm for test structures with an aspect ratio of 1, and 50 µm for test structures with an aspect ratio of 2.

  6. Masking disrupts reentrant processing in human visual cortex.

    PubMed

    Fahrenfort, J J; Scholte, H S; Lamme, V A F

    2007-09-01

    In masking, a stimulus is rendered invisible through the presentation of a second stimulus shortly after the first. Over the years, authors have typically explained masking by postulating some early disruption process. In these feedforward-type explanations, the mask somehow "catches up" with the target stimulus, disrupting its processing either through lateral or interchannel inhibition. However, studies from recent years indicate that visual perception--and most notably visual awareness itself--may depend strongly on cortico-cortical feedback connections from higher to lower visual areas. This has led some researchers to propose that masking derives its effectiveness from selectively interrupting these reentrant processes. In this experiment, we used electroencephalogram measurements to determine what happens in the human visual cortex during detection of a texture-defined square under nonmasked (seen) and masked (unseen) conditions. Electro-encephalogram derivatives that are typically associated with reentrant processing turn out to be absent in the masked condition. Moreover, extrastriate visual areas are still activated early on by both seen and unseen stimuli, as shown by scalp surface Laplacian current source-density maps. This conclusively shows that feedforward processing is preserved, even when subject performance is at chance as determined by objective measures. From these results, we conclude that masking derives its effectiveness, at least partly, from disrupting reentrant processing, thereby interfering with the neural mechanisms of figure-ground segmentation and visual awareness itself.

  7. Performance of the ALTA 3500 scanned-laser mask lithography system

    NASA Astrophysics Data System (ADS)

    Buck, Peter D.; Buxbaum, Alex H.; Coleman, Thomas P.; Tran, Long

    1998-09-01

    The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.

  8. Green binary and phase shifting mask

    NASA Astrophysics Data System (ADS)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  9. Resistance-surface-based wildlife conservation connectivity modeling: Summary of efforts in the United States and guide for practitioners

    Treesearch

    Alisa A. Wade; Kevin S. McKelvey; Michael K. Schwartz

    2015-01-01

    Resistance-surface-based connectivity modeling has become a widespread tool for conservation planning. The current ease with which connectivity models can be created, however, masks the numerous untested assumptions underlying both the rules that produce the resistance surface and the algorithms used to locate low-cost paths across the target landscape. Here we present...

  10. Task-Dependent Masked Priming Effects in Visual Word Recognition

    PubMed Central

    Kinoshita, Sachiko; Norris, Dennis

    2012-01-01

    A method used widely to study the first 250 ms of visual word recognition is masked priming: These studies have yielded a rich set of data concerning the processes involved in recognizing letters and words. In these studies, there is an implicit assumption that the early processes in word recognition tapped by masked priming are automatic, and masked priming effects should therefore be invariant across tasks. Contrary to this assumption, masked priming effects are modulated by the task goal: For example, only word targets show priming in the lexical decision task, but both words and non-words do in the same-different task; semantic priming effects are generally weak in the lexical decision task but are robust in the semantic categorization task. We explain how such task dependence arises within the Bayesian Reader account of masked priming (Norris and Kinoshita, 2008), and how the task dissociations can be used to understand the early processes in lexical access. PMID:22675316

  11. The Crossmodal Facilitation of Visual Object Representations by Sound: Evidence from the Backward Masking Paradigm

    ERIC Educational Resources Information Center

    Chen, Yi-Chuan; Spence, Charles

    2011-01-01

    We report a series of experiments designed to demonstrate that the presentation of a sound can facilitate the identification of a concomitantly presented visual target letter in the backward masking paradigm. Two visual letters, serving as the target and its mask, were presented successively at various interstimulus intervals (ISIs). The results…

  12. Masked polycythaemia vera: presenting features, response to treatment and clinical outcomes.

    PubMed

    Alvarez-Larrán, Alberto; Angona, Anna; Ancochea, Agueda; García-Pallarols, Francesc; Fernández, Concepción; Longarón, Raquel; Bellosillo, Beatriz; Besses, Carlos

    2016-01-01

    Masked polycythaemia vera (PV) has been proposed as a new entity with poorer outcome than overt PV. In this study, the initial clinical and laboratory characteristics, response to treatment and outcome of masked and overt PV were compared using red cell mass and haemoglobin or haematocrit levels for the distinction between both entities. Sixty-eight of 151 PV patients (45%) were classified as masked PV according to World Health Organisation diagnostic criteria, whereas 16 (11%) were classified as masked PV using the British Committee for Standards in Haematology (BCSH). In comparison with overt PV, a higher platelet count and a lower JAK2V617F allele burden at diagnosis were observed in masked PV. Patients with masked PV needed lower phlebotomies and responded faster to hydroxcarbamide than those with overt PV. Complete haematological response was more frequently achieved in masked than in overt PV (79% vs. 58%, P = 0.001). There were no significant differences in the duration of haematological response, the rate of resistance or intolerance to hydroxycarbamide and the probability of molecular response according to type of PV (masked vs. overt). Overall survival, rate of thrombosis and major bleeding, and probability of transformation was superimposable among patients with masked and overt PV. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  13. Nanofabrication on unconventional substrates using transferred hard masks

    DOE PAGES

    Li, Luozhou; Bayn, Igal; Lu, Ming; ...

    2015-01-15

    Here, a major challenge in nanofabrication is to pattern unconventional substrates that cannot be processed for a variety of reasons, such as incompatibility with spin coating, electron beam lithography, optical lithography, or wet chemical steps. Here, we present a versatile nanofabrication method based on re-usable silicon membrane hard masks, patterned using standard lithography and mature silicon processing technology. These masks, transferred precisely onto targeted regions, can be in the millimetre scale. They allow for fabrication on a wide range of substrates, including rough, soft, and non-conductive materials, enabling feature linewidths down to 10 nm. Plasma etching, lift-off, and ion implantationmore » are realized without the need for scanning electron/ion beam processing, UV exposure, or wet etching on target substrates.« less

  14. Masked translation priming effects with low proficient bilinguals.

    PubMed

    Dimitropoulou, Maria; Duñabeitia, Jon Andoni; Carreiras, Manuel

    2011-02-01

    Non-cognate masked translation priming lexical decision studies with unbalanced bilinguals suggest that masked translation priming effects are asymmetric as a function of the translation direction (significant effects only in the dominant [L1] to nondominant [L2] language translation direction). However, in contrast to the predictions of most current accounts of masked translation priming effects, bidirectional effects have recently been reported with a group of low proficient bilinguals Duyck & Warlop 2009 (Experimental Psychology 56:173-179). In a series of masked translation priming lexical decision experiments we examined whether the same pattern of effects would emerge with late and low proficient Greek (L1)-Spanish (L2) bilinguals. Contrary to the results obtained by Duyck and Warlop, and in line with the results found in most studies in the masked priming literature, significant translation priming effects emerged only when the bilinguals performed the task with L1 primes and L2 targets. The existence of the masked translation priming asymmetry with low proficient bilinguals suggests that cross-linguistic automatic lexico-semantic links may be established very early in the process of L2 acquisition. These findings could help to define models of bilingualism that consider L2 proficiency level to be a determining factor.

  15. Functional neuroanatomy of visual masking deficits in schizophrenia.

    PubMed

    Green, Michael F; Lee, Junghee; Cohen, Mark S; Engel, Steven A; Korb, Alexander S; Nuechterlein, Keith H; Wynn, Jonathan K; Glahn, David C

    2009-12-01

    Visual masking procedures assess the earliest stages of visual processing. Patients with schizophrenia reliably show deficits on visual masking, and these procedures have been used to explore vulnerability to schizophrenia, probe underlying neural circuits, and help explain functional outcome. To identify and compare regional brain activity associated with one form of visual masking (ie, backward masking) in schizophrenic patients and healthy controls. Subjects received functional magnetic resonance imaging scans. While in the scanner, subjects performed a backward masking task and were given 3 functional localizer activation scans to identify early visual processing regions of interest (ROIs). University of California, Los Angeles, and the Department of Veterans Affairs Greater Los Angeles Healthcare System. Nineteen patients with schizophrenia and 19 healthy control subjects. Main Outcome Measure The magnitude of the functional magnetic resonance imaging signal during backward masking. Two ROIs (lateral occipital complex [LO] and the human motion selective cortex [hMT+]) showed sensitivity to the effects of masking, meaning that signal in these areas increased as the target became more visible. Patients had lower activation than controls in LO across all levels of visibility but did not differ in other visual processing ROIs. Using whole-brain analyses, we also identified areas outside the ROIs that were sensitive to masking effects (including bilateral inferior parietal lobe and thalamus), but groups did not differ in signal magnitude in these areas. The study results support a key role in LO for visual masking, consistent with previous studies in healthy controls. The current results indicate that patients fail to activate LO to the same extent as controls during visual processing regardless of stimulus visibility, suggesting a neural basis for the visual masking deficit, and possibly other visual integration deficits, in schizophrenia.

  16. Decoupling Stimulus Duration from Brightness in Metacontrast Masking: Data and Models

    ERIC Educational Resources Information Center

    Di Lollo, Vincent; Muhlenen, Adrian von; Enns, James T.; Bridgeman, Bruce

    2004-01-01

    A brief target that is visible when displayed alone can be rendered invisible by a trailing stimulus (metacontrast masking). It has been difficult to determine the temporal dynamics of masking to date because increments in stimulus duration have been invariably confounded with apparent brightness (Bloch's law). In the research reported here,…

  17. Comparison of face masks in the bag-mask ventilation of a manikin.

    PubMed

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  18. Schizophrenia alters intra-network functional connectivity in the caudate for detecting speech under informational speech masking conditions.

    PubMed

    Zheng, Yingjun; Wu, Chao; Li, Juanhua; Li, Ruikeng; Peng, Hongjun; She, Shenglin; Ning, Yuping; Li, Liang

    2018-04-04

    Speech recognition under noisy "cocktail-party" environments involves multiple perceptual/cognitive processes, including target detection, selective attention, irrelevant signal inhibition, sensory/working memory, and speech production. Compared to health listeners, people with schizophrenia are more vulnerable to masking stimuli and perform worse in speech recognition under speech-on-speech masking conditions. Although the schizophrenia-related speech-recognition impairment under "cocktail-party" conditions is associated with deficits of various perceptual/cognitive processes, it is crucial to know whether the brain substrates critically underlying speech detection against informational speech masking are impaired in people with schizophrenia. Using functional magnetic resonance imaging (fMRI), this study investigated differences between people with schizophrenia (n = 19, mean age = 33 ± 10 years) and their matched healthy controls (n = 15, mean age = 30 ± 9 years) in intra-network functional connectivity (FC) specifically associated with target-speech detection under speech-on-speech-masking conditions. The target-speech detection performance under the speech-on-speech-masking condition in participants with schizophrenia was significantly worse than that in matched healthy participants (healthy controls). Moreover, in healthy controls, but not participants with schizophrenia, the strength of intra-network FC within the bilateral caudate was positively correlated with the speech-detection performance under the speech-masking conditions. Compared to controls, patients showed altered spatial activity pattern and decreased intra-network FC in the caudate. In people with schizophrenia, the declined speech-detection performance under speech-on-speech masking conditions is associated with reduced intra-caudate functional connectivity, which normally contributes to detecting target speech against speech masking via its functions of suppressing masking-speech signals.

  19. Simulation based mask defect repair verification and disposition

    NASA Astrophysics Data System (ADS)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  20. Masking release due to linguistic and phonetic dissimilarity between the target and masker speech

    PubMed Central

    Calandruccio, Lauren; Brouwer, Susanne; Van Engen, Kristin J.; Dhar, Sumitrajit; Bradlow, Ann R.

    2013-01-01

    Purpose To investigate masking release for speech maskers for linguistically and phonetically close (English and Dutch) and distant (English and Mandarin) language pairs. Method Twenty monolingual speakers of English with normal-audiometric thresholds participated. Data are reported for an English sentence recognition task in English, Dutch and Mandarin competing speech maskers (Experiment I) and noise maskers (Experiment II) that were matched either to the long-term-average-speech spectra or to the temporal modulations of the speech maskers from Experiment I. Results Results indicated that listener performance increased as the target-to-masker linguistic distance increased (English-in-English < English-in-Dutch < English-in-Mandarin). Conclusions Spectral differences between maskers can account for some, but not all, of the variation in performance between maskers; however, temporal differences did not seem to play a significant role. PMID:23800811

  1. Gloves, gowns and masks for reducing the transmission of meticillin-resistant Staphylococcus aureus (MRSA) in the hospital setting.

    PubMed

    López-Alcalde, Jesús; Mateos-Mazón, Marta; Guevara, Marcela; Conterno, Lucieni O; Solà, Ivan; Cabir Nunes, Sheila; Bonfill Cosp, Xavier

    2015-07-16

    Meticillin-resistant Staphylococcus aureus (MRSA; also known as methicillin-resistant S aureus) is a common hospital-acquired pathogen that increases morbidity, mortality, and healthcare costs. Its control continues to be an unresolved issue in many hospitals worldwide. The evidence base for the effects of the use of gloves, gowns or masks as control measures for MRSA is unclear. To assess the effectiveness of wearing gloves, a gown or a mask when contact is anticipated with a hospitalised patient colonised or infected with MRSA, or with the patient's immediate environment. We searched the Specialised Registers of three Cochrane Groups (Wounds Group on 5 June 2015; Effective Practice and Organisation of Care (EPOC) Group on 9 July 2013; and Infectious Diseases Group on 5 January 2009); CENTRAL (The Cochrane Library 2015, Issue 6); DARE, HTA, NHS EED, and the Methodology Register (The Cochrane Library 2015, Issue 6); MEDLINE and MEDLINE In-Process & Other Non-Indexed Citations (1946 to June week 1 2015); EMBASE (1974 to 4 June 2015); Web of Science (WOS) Core Collection (from inception to 7 June 2015); CINAHL (1982 to 5 June 2015); British Nursing Index (1985 to 6 July 2010); and ProQuest Dissertations & Theses Database (1639 to 11 June 2015). We also searched three trials registers (on 6 June 2015), references list of articles, and conference proceedings. We finally contacted relevant individuals for additional studies. Studies assessing the effects on MRSA transmission of the use of gloves, gowns or masks by any person in the hospital setting when contact is anticipated with a hospitalised patient colonised or infected with MRSA, or with the patient's immediate environment. We did not assess adverse effects or economic issues associated with these interventions.We considered any comparator to be eligible. With regard to study design, only randomised controlled trials (clustered or not) and the following non-randomised experimental studies were eligible: quasi

  2. [Laryngeal mask].

    PubMed

    Villaverde Rozados, María José; Mos Reguera, M Esther; González Argibay, M Concepción; Sixto Gato, Sandra; Radío, Beatriz Alfonso; Fernández Díaz, María José

    2003-11-01

    A laryngeal mask is a very useful instrument to use both in easy as well as difficult handling situations related to the air tract. This mask is placed in the pharynx and it permits a patient to breathe in different modes, either spontaneously or mechanically. Different models are available; some even facilitate the tracheal intubation through the mask. The authors highlight that this type of mask does not protect nor isolate the air tract. As advantages, the authors point out its easy installation; thus, it is an alternative when an air tract presents difficulties; therefore, it is necessary that all personnel who have to deal with the air tract be aware of this mask and know how to handle it.

  3. Emotion potentiates response activation and inhibition in masked priming

    PubMed Central

    Bocanegra, Bruno R.; Zeelenberg, René

    2012-01-01

    Previous studies have shown that emotion can have 2-fold effects on perception. At the object-level, emotional stimuli benefit from a stimulus-specific boost in visual attention at the relative expense of competing stimuli. At the visual feature-level, recent findings indicate that emotion may inhibit the processing of small visual details and facilitate the processing of coarse visual features. In the present study, we investigated whether emotion can boost the activation and inhibition of automatic motor responses that are generated prior to overt perception. To investigate this, we tested whether an emotional cue affects covert motor responses in a masked priming task. We used a masked priming paradigm in which participants responded to target arrows that were preceded by invisible congruent or incongruent prime arrows. In the standard paradigm, participants react faster, and commit fewer errors responding to the directionality of target arrows, when they are preceded by congruent vs. incongruent masked prime arrows (positive congruency effect, PCE). However, as prime-target SOAs increase, this effect reverses (negative congruency effect, NCE). These findings have been explained as evidence for an initial activation and a subsequent inhibition of a partial response elicited by the masked prime arrow. Our results show that the presentation of fearful face cues, compared to neutral face cues, increased the size of both the PCE and NCE, despite the fact that the primes were invisible. This is the first demonstration that emotion prepares an individual's visuomotor system for automatic activation and inhibition of motor responses in the absence of visual awareness. PMID:23162447

  4. Emotion potentiates response activation and inhibition in masked priming.

    PubMed

    Bocanegra, Bruno R; Zeelenberg, René

    2012-01-01

    Previous studies have shown that emotion can have 2-fold effects on perception. At the object-level, emotional stimuli benefit from a stimulus-specific boost in visual attention at the relative expense of competing stimuli. At the visual feature-level, recent findings indicate that emotion may inhibit the processing of small visual details and facilitate the processing of coarse visual features. In the present study, we investigated whether emotion can boost the activation and inhibition of automatic motor responses that are generated prior to overt perception. To investigate this, we tested whether an emotional cue affects covert motor responses in a masked priming task. We used a masked priming paradigm in which participants responded to target arrows that were preceded by invisible congruent or incongruent prime arrows. In the standard paradigm, participants react faster, and commit fewer errors responding to the directionality of target arrows, when they are preceded by congruent vs. incongruent masked prime arrows (positive congruency effect, PCE). However, as prime-target SOAs increase, this effect reverses (negative congruency effect, NCE). These findings have been explained as evidence for an initial activation and a subsequent inhibition of a partial response elicited by the masked prime arrow. Our results show that the presentation of fearful face cues, compared to neutral face cues, increased the size of both the PCE and NCE, despite the fact that the primes were invisible. This is the first demonstration that emotion prepares an individual's visuomotor system for automatic activation and inhibition of motor responses in the absence of visual awareness.

  5. Comparison of binary mask defect printability analysis using virtual stepper system and aerial image microscope system

    NASA Astrophysics Data System (ADS)

    Phan, Khoi A.; Spence, Chris A.; Dakshina-Murthy, S.; Bala, Vidya; Williams, Alvina M.; Strener, Steve; Eandi, Richard D.; Li, Junling; Karklin, Linard

    1999-12-01

    As advanced process technologies in the wafer fabs push the patterning processes toward lower k1 factor for sub-wavelength resolution printing, reticles are required to use optical proximity correction (OPC) and phase-shifted mask (PSM) for resolution enhancement. For OPC/PSM mask technology, defect printability is one of the major concerns. Current reticle inspection tools available on the market sometimes are not capable of consistently differentiating between an OPC feature and a true random defect. Due to the process complexity and high cost associated with the making of OPC/PSM reticles, it is important for both mask shops and lithography engineers to understand the impact of different defect types and sizes to the printability. Aerial Image Measurement System (AIMS) has been used in the mask shops for a number of years for reticle applications such as aerial image simulation and transmission measurement of repaired defects. The Virtual Stepper System (VSS) provides an alternative method to do defect printability simulation and analysis using reticle images captured by an optical inspection or review system. In this paper, pre- programmed defects and repairs from a Defect Sensitivity Monitor (DSM) reticle with 200 nm minimum features (at 1x) will be studied for printability. The simulated resist lines by AIMS and VSS are both compared to SEM images of resist wafers qualitatively and quantitatively using CD verification.Process window comparison between unrepaired and repaired defects for both good and bad repair cases will be shown. The effect of mask repairs to resist pattern images for the binary mask case will be discussed. AIMS simulation was done at the International Sematech, Virtual stepper simulation at Zygo and resist wafers were processed at AMD-Submicron Development Center using a DUV lithographic process for 0.18 micrometer Logic process technology.

  6. Dynamic mask for producing uniform or graded-thickness thin films

    DOEpatents

    Folta, James A [Livermore, CA

    2006-06-13

    A method for producing single layer or multilayer films with high thickness uniformity or thickness gradients. The method utilizes a moving mask which blocks some of the flux from a sputter target or evaporation source before it deposits on a substrate. The velocity and position of the mask is computer controlled to precisely tailor the film thickness distribution. The method is applicable to any type of vapor deposition system, but is particularly useful for ion beam sputter deposition and evaporation deposition; and enables a high degree of uniformity for ion beam deposition, even for near-normal incidence of deposition species, which may be critical for producing low-defect multilayer coatings, such as required for masks for extreme ultraviolet lithography (EUVL). The mask can have a variety of shapes, from a simple solid paddle shape to a larger mask with a shaped hole through which the flux passes. The motion of the mask can be linear or rotational, and the mask can be moved to make single or multiple passes in front of the substrate per layer, and can pass completely or partially across the substrate.

  7. Rescuing Stimuli from Invisibility: Inducing a Momentary Release from Visual Masking with Pre-Target Entrainment

    ERIC Educational Resources Information Center

    Mathewson, Kyle E.; Fabiani, Monica; Gratton, Gabriele; Beck, Diane M.; Lleras, Alejandro

    2010-01-01

    At near-threshold levels of stimulation, identical stimulus parameters can result in very different phenomenal experiences. Can we manipulate which stimuli reach consciousness? Here we show that consciousness of otherwise masked stimuli can be experimentally induced by sensory entrainment. We preceded a backward-masked stimulus with a series of…

  8. The prevalence effect in lateral masking and its relevance for visual search.

    PubMed

    Geelen, B P; Wertheim, A H

    2015-04-01

    In stimulus displays with or without a single target amid 1,644 identical distractors, target prevalence was varied between 20, 50 and 80 %. Maximum gaze deviation was measured to determine the strength of lateral masking in these arrays. The results show that lateral masking was strongest in the 20 % prevalence condition, which differed significantly from both the 50 and 80 % prevalence conditions. No difference was observed between the latter two. This pattern of results corresponds to that found in the literature on the prevalence effect in visual search (stronger lateral masking corresponding to longer search times). The data add to similar findings reported earlier (Wertheim et al. in Exp Brain Res, 170:387-402, 2006), according to which the effects of many well-known factors in visual search correspond to those on lateral masking. These were the effects of set size, disjunctions versus conjunctions, display area, distractor density, the asymmetry effect (Q vs. O's) and viewing distance. The present data, taken together with those earlier findings, may lend credit to a causal hypothesis that lateral masking could be a more important mechanism in visual search than usually assumed.

  9. A novel anti-influenza copper oxide containing respiratory face mask.

    PubMed

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10)TCID(50)), while 4.67+/-1.35 log(10)TCID(50) were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10)TCID(50) and from the control masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk

  10. Plasma-deposited fluoropolymer film mask for local porous silicon formation

    PubMed Central

    2012-01-01

    The study of an innovative fluoropolymer masking layer for silicon anodization is proposed. Due to its high chemical resistance to hydrofluoric acid even under anodic bias, this thin film deposited by plasma has allowed the formation of deep porous silicon regions patterned on the silicon wafer. Unlike most of other masks, fluoropolymer removal after electrochemical etching is rapid and does not alter the porous layer. Local porous regions were thus fabricated both in p+-type and low-doped n-type silicon substrates. PMID:22734507

  11. Aerial image based die-to-model inspections of advanced technology masks

    NASA Astrophysics Data System (ADS)

    Kim, Jun; Lei, Wei-Guo; McCall, Joan; Zaatri, Suheil; Penn, Michael; Nagpal, Rajesh; Faivishevsky, Lev; Ben-Yishai, Michael; Danino, Udy; Tam, Aviram; Dassa, Oded; Balasubramanian, Vivek; Shah, Tejas H.; Wagner, Mark; Mangan, Shmoolik

    2009-10-01

    Die-to-Model (D2M) inspection is an innovative approach to running inspection based on a mask design layout data. The D2M concept takes inspection from the traditional domain of mask pattern to the preferred domain of the wafer aerial image. To achieve this, D2M transforms the mask layout database into a resist plane aerial image, which in turn is compared to the aerial image of the mask, captured by the inspection optics. D2M detection algorithms work similarly to an Aerial D2D (die-to-die) inspection, but instead of comparing a die to another die it is compared to the aerial image model. D2M is used whenever D2D inspection is not practical (e.g., single die) or when a validation of mask conformity to design is needed, i.e., for printed pattern fidelity. D2M is of particular importance for inspection of logic single die masks, where no simplifying assumption of pattern periodicity may be done. The application can tailor the sensitivity to meet the needs at different locations, such as device area, scribe lines and periphery. In this paper we present first test results of the D2M mask inspection application at a mask shop. We describe the methodology of using D2M, and review the practical aspects of the D2M mask inspection.

  12. The role of low-spatial frequencies in lexical decision and masked priming.

    PubMed

    Boden, C; Giaschi, D

    2009-04-01

    Spatial frequency filtering was used to test the hypotheses that low-spatial frequency information in printed text can: (1) lead to a rapid lexical decision or (2) facilitate word recognition. Adult proficient readers made lexical decisions in unprimed and masked repetition priming experiments with unfiltered, low-pass, high-pass and notch filtered letter strings. In the unprimed experiments, a filtered target was presented for 105 or 400 ms followed by a pattern mask. Sensitivity (d') was lowest for the low-pass filtered targets at both durations with a bias towards a 'non-word' response. Sensitivity was higher in the high-pass and notch filter conditions. In the priming experiments, a forward mask was followed by a filtered prime then an unfiltered target. Primed words, but not non-words, were identified faster than unprimed words in both the low-pass and high-pass filtered conditions. These results do not support a unique role for low-spatial frequency information in either facilitating or making rapid lexical decisions.

  13. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    PubMed

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  14. Fabless company mask technology approach: fabless but not fab-careless

    NASA Astrophysics Data System (ADS)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  15. An optimized OPC and MDP flow for reducing mask write time and mask cost

    NASA Astrophysics Data System (ADS)

    Yang, Ellyn; Li, Cheng He; Park, Se Jin; Zhu, Yu; Guo, Eric

    2010-09-01

    In the process of optical proximity correction, layout edge or fragment is migrating to proper position in order to minimize edge placement error (EPE). During this fragment migration, several factors other than EPE can be also taken into account as a part of cost function for optimal fragment displacement. Several factors are devised in favor of OPC stability, which can accommodate room for high mask error enhancement factor (MEEF), lack of process window, catastrophic pattern failure such as pinch/bridge and improper fragmentation. As technology node becomes finer, there happens conflict between OPC accuracy and stability. Especially for metal layers, OPC has focused on the stability by loss of accurate OPC results. On this purpose, several techniques have been introduced, which are target smoothing, process window aware OPC, model-based retargeting and adaptive OPC. By utilizing those techniques, OPC enables more stabilized patterning, instead of realizing design target exactly on wafer. Inevitably, post-OPC layouts become more complicated because those techniques invoke additional edge, or fragments prior to correction or during OPC iteration. As a result, jogs of post OPC layer can be dramatically increased, which results in huge number of shot count after data fracturing. In other words, there is trade-off relationship between data complexity and various methods for OPC stability. In this paper, those relationships have been investigated with respect to several technology nodes. The mask shot count reduction is achieved by reducing the number of jogs with which EPE difference are within pre-specified value. The effect of jog smoothing on OPC output - in view of OPC performance and mask data preparation - was studied quantitatively for respective technology nodes.

  16. Listeners Experience Linguistic Masking Release in Noise-Vocoded Speech-in-Speech Recognition

    ERIC Educational Resources Information Center

    Viswanathan, Navin; Kokkinakis, Kostas; Williams, Brittany T.

    2018-01-01

    Purpose: The purpose of this study was to evaluate whether listeners with normal hearing perceiving noise-vocoded speech-in-speech demonstrate better intelligibility of target speech when the background speech was mismatched in language (linguistic release from masking [LRM]) and/or location (spatial release from masking [SRM]) relative to the…

  17. Targeting Androgen Receptor Aberrations in Castration-Resistant Prostate Cancer.

    PubMed

    Sharp, Adam; Welti, Jonathan; Blagg, Julian; de Bono, Johann S

    2016-09-01

    Androgen receptor (AR) splice variants (SV) have been implicated in the development of metastatic castration-resistant prostate cancer and resistance to AR targeting therapies, including abiraterone and enzalutamide. Agents targeting AR-SV are urgently needed to test this hypothesis and further improve the outcome of patients suffering from this lethal disease. Clin Cancer Res; 22(17); 4280-2. ©2016 AACRSee related article by Yang et al., p. 4466. ©2016 American Association for Cancer Research.

  18. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    PubMed Central

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. Results: A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Conclusion: Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients. PMID:27625477

  19. Interactions between concentric form-from-structure and face perception revealed by visual masking but not adaptation

    PubMed Central

    Feczko, Eric; Shulman, Gordon L.; Petersen, Steven E.; Pruett, John R.

    2014-01-01

    Findings from diverse subfields of vision research suggest a potential link between high-level aspects of face perception and concentric form-from-structure perception. To explore this relationship, typical adults performed two adaptation experiments and two masking experiments to test whether concentric, but not nonconcentric, Glass patterns (a type of form-from-structure stimulus) utilize a processing mechanism shared by face perception. For the adaptation experiments, subjects were presented with an adaptor for 5 or 20 s, prior to discriminating a target. In the masking experiments, subjects saw a mask, then a target, and then a second mask. Measures of discriminability and bias were derived and repeated measures analysis of variance tested for pattern-specific masking and adaptation effects. Results from Experiment 1 show no Glass pattern-specific effect of adaptation to faces; results from Experiment 2 show concentric Glass pattern masking, but not adaptation, may impair upright/inverted face discrimination; results from Experiment 3 show concentric and radial Glass pattern masking impaired subsequent upright/inverted face discrimination more than translational Glass pattern masking; and results from Experiment 4 show concentric and radial Glass pattern masking impaired subsequent face gender discrimination more than translational Glass pattern masking. Taken together, these findings demonstrate interactions between concentric form-from-structure and face processing, suggesting a possible common processing pathway. PMID:24563526

  20. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    ERIC Educational Resources Information Center

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  1. Orientation masking and cross-orientation suppression (XOS): implications for estimates of filter bandwidth.

    PubMed

    Meese, Tim S; Holmes, David J

    2010-10-01

    Most contemporary models of spatial vision include a cross-oriented route to suppression (masking from a broadly tuned inhibitory pool), which is most potent at low spatial and high temporal frequencies (T. S. Meese & D. J. Holmes, 2007). The influence of this pathway can elevate orientation-masking functions without exciting the target mechanism, and because early psychophysical estimates of filter bandwidth did not accommodate this, it is likely that they have been overestimated for this corner of stimulus space. Here we show that a transient 40% contrast mask causes substantial binocular threshold elevation for a transient vertical target, and this declines from a mask orientation of 0° to about 40° (indicating tuning), and then more gently to 90°, where it remains at a factor of ∼4. We also confirm that cross-orientation masking is diminished or abolished at high spatial frequencies and for sustained temporal modulation. We fitted a simple model of pedestal masking and cross-orientation suppression (XOS) to our data and those of G. C. Phillips and H. R. Wilson (1984) and found the dependency of orientation bandwidth on spatial frequency to be much less than previously supposed. An extension of our linear spatial pooling model of contrast gain control and dilution masking (T. S. Meese & R. J. Summers, 2007) is also shown to be consistent with our results using filter bandwidths of ±20°. Both models include tightly and broadly tuned components of divisive suppression. More generally, because XOS and/or dilution masking can affect the shape of orientation-masking curves, we caution that variations in bandwidth estimates might reflect variations in processes that have nothing to do with filter bandwidth.

  2. Mask automation: need a revolution in mask makers and equipment industry

    NASA Astrophysics Data System (ADS)

    Moon, Seong-yong; Yu, Sang-yong; Noh, Young-hwa; Son, Ki-jung; Lee, Hyun-Joo; Cho, Han-Ku

    2013-09-01

    As improving device integration for the next generation, high performance and cost down are also required accordingly in semiconductor business. Recently, significant efforts have been given on putting EUV technology into fabrication in order to improve device integration. At the same time, 450mm wafer manufacturing environment has been considered seriously in many ways in order to boost up the productivity. Accordingly, 9-inch mask has been discussed in mask fabrication business recently to support 450mm wafer manufacturing environment successfully. Although introducing 9-inch mask can be crucial for mask industry, multi-beam technology is also expected as another influential turning point to overcome currently the most critical issue in mask industry, electron beam writing time. No matter whether 9-inch mask or multi-beam technology will be employed or not, mask quality and productivity will be the key factors to survive from the device competition. In this paper, the level of facility automation in mask industry is diagnosed and analyzed and the automation guideline is suggested for the next generation.

  3. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    NASA Astrophysics Data System (ADS)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  4. Time-Frequency Masking for Speech Separation and Its Potential for Hearing Aid Design

    PubMed Central

    Wang, DeLiang

    2008-01-01

    A new approach to the separation of speech from speech-in-noise mixtures is the use of time-frequency (T-F) masking. Originated in the field of computational auditory scene analysis, T-F masking performs separation in the time-frequency domain. This article introduces the T-F masking concept and reviews T-F masking algorithms that separate target speech from either monaural or binaural mixtures, as well as microphone-array recordings. The review emphasizes techniques that are promising for hearing aid design. This article also surveys recent studies that evaluate the perceptual effects of T-F masking techniques, particularly their effectiveness in improving human speech recognition in noise. An assessment is made of the potential benefits of T-F masking methods for the hearing impaired in light of the processing constraints of hearing aids. Finally, several issues pertinent to T-F masking are discussed. PMID:18974204

  5. Evaluation of TF11 attenuated-PSM mask blanks with DUV laser patterning

    NASA Astrophysics Data System (ADS)

    Xing, Kezhao; Björnberg, Charles; Karlsson, Henrik; Paulsson, Adisa; Beiming, Peter; Vedenpää, Jukka; Walford, Jonathan

    2008-05-01

    Tightening requirements on resolution, CD uniformity and positional accuracy push the development of improved photomask blanks. One such blank for 45nm node attenuated phase shift masks (att-PSM) provides a thinner chrome film, TF11, with a higher etch rate compared to previous generation NTAR5 att-PSM blanks from the same supplier. FEP-171, a positive chemically amplified resist, is commonly used in mask manufacturing for both e-beam and DUV laser pattern generators. TF11 chrome allows the FEP-171 resist thickness to be decreased at least down to 2000 Å while maintaining sufficient etch resistance, thereby improving photomask CD performance. The lower stress level in TF11 chrome films also reduces the image placement error induced by the material. In this study, TF11 chrome and FEP-171 resist are evaluated with exposures on a 248 nm DUV laser pattern generator, the Sigma7500. Patterning is first characterized for resist thicknesses of 2000 Å to 2600 Å in steps of 100 Å, assessing the minimum feature resolution, CD linearity, isolated-dense CD bias and dose sensitivity. Swing curve analysis shows a minimum near 2200 Å and a maximum near 2500 Å, corresponding closely to the reflectivity measurements provided by the blank supplier. The best overall patterning performance is obtained when operating near the swing maximum. The patterning performance is then studied in more detail with a resist thickness of 2550 Å that corresponds to the reflectivity maximum. This is compared to the results with 2000 Å resist, a standard thickness for e-beam exposures on TF11. The lithographic performance on NTAR5 att-PSM blanks with 3200 Å resist is also included for reference. This evaluation indicates that TF11 blanks with 2550 Å resist provide the best overall mask patterning performance obtained with the Sigma7500, showing a global CD uniformity below 4 nm (3s) and minimum feature resolution below 100 nm.

  6. The forward masking effects of low-level laser glare on target location performance in a visual search task

    NASA Astrophysics Data System (ADS)

    Reddix, M. D.; Dandrea, J. A.; Collyer, P. D.

    1992-01-01

    The present study examined the effects of low-intensity laser glue, far below a level that would cause ocular damage or flashblindness, on the visually guided performance of aviators. With a forward-masking paradigm, this study showed that the time at which laser glare is experienced, relative to initial acquisition of visual information, differentially affects the speed and accuracy of target-location performance. Brief exposure (300 ms) to laser glare, terminating with a visual scene's onset, produced significant decrements in target-location performance relative to a no-glare control whereas a 150 and 300-ms delay of display onset (DDO) had very little effect. The intensity of the light entering the eye and producing these effects was far below the Maximum Permissible Exposure (MPE) limit for safe viewing of coherent light produced by an argon laser. In addition, these effects were modulated by the distance of the target from the center of the visual display. This study demonstrated that the presence of laser glare is not sufficient, in and of itself, to diminish target-location performance. The time at which laser glare is experienced is an important factor in determining the probability and extent of visually mediated performance decrements.

  7. Comparing masked target transform volume (MTTV) clutter metric to human observer evaluation of visual clutter

    NASA Astrophysics Data System (ADS)

    Camp, H. A.; Moyer, Steven; Moore, Richard K.

    2010-04-01

    The Night Vision and Electronic Sensors Directorate's current time-limited search (TLS) model, which makes use of the targeting task performance (TTP) metric to describe image quality, does not explicitly account for the effects of visual clutter on observer performance. The TLS model is currently based on empirical fits to describe human performance for a time of day, spectrum and environment. Incorporating a clutter metric into the TLS model may reduce the number of these empirical fits needed. The masked target transform volume (MTTV) clutter metric has been previously presented and compared to other clutter metrics. Using real infrared imagery of rural images with varying levels of clutter, NVESD is currently evaluating the appropriateness of the MTTV metric. NVESD had twenty subject matter experts (SME) rank the amount of clutter in each scene in a series of pair-wise comparisons. MTTV metric values were calculated and then compared to the SME observers rankings. The MTTV metric ranked the clutter in a similar manner to the SME evaluation, suggesting that the MTTV metric may emulate SME response. This paper is a first step in quantifying clutter and measuring the agreement to subjective human evaluation.

  8. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers.

    PubMed

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-04-22

    The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go

  9. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers

    PubMed Central

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-01-01

    Objective The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. Setting 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. Participants 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Intervention Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Main outcome measure Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. Results The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. Conclusions This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Trial registration number Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. PMID

  10. Vortex via process: analysis and mask fabrication for contact CDs <80 nm

    NASA Astrophysics Data System (ADS)

    Levenson, Marc D.; Tan, Sze M.; Dai, Grace; Morikawa, Yasutaka; Hayashi, Naoya; Ebihara, Takeaki

    2003-06-01

    In an optical vortex, the wavefront spirals like a corkscrew, rather than forming planes or spheres. Since any nonzero optical amplitude must have a well-defined phase, the axis of a vortex is always dark. Printed in negative resist at 248nm and NA=0.63, 250nm pitch vortex arrays would produce contact holes with 80nm0.6 can be patterned using a chromeless phase-edge mask composed of rectangles with nominal phases of 0°, 90°, 180° and 270°. Analytic and numerical calculations have been performed to characterize the aerial images projected from such vortex masks using the Kirchhoff-approximation and rigorous EMF methods. Combined with resist simulations, these analyses predict process windows with ~10%Elat and >200nm DOF for 80nm CDs on pitches greater than or equal to 250nm at σ greater than or equal to 0.15. Smaller CDs and pitches are possible with shorter wavelength and larger NA while larger pitches give rise to larger CDs. At pitch >0.8μm, the vortices begin to print independently for σ greater than or equal to 0.3. Such "independent" vortices have a quasi-isofocal dose that gives rise to 100nm contacts with Elat>9% and DOF>500nm at σ=0.3. The extra darkness of the nominal 270° phase step can be accommodated by fine-tuning the etch depth. A reticle fabrication process that achieves the required alignment and vertical wall profiles has been exercised and test masks analyzed. In an actual chip design, unwanted vortices and phase step images would be erased from the resist pattern by exposing the wafer with a second, more conventional trim mask. Vortex via placement is consistent with the coarse-gridded grating design paradigms which would - if widely exercised - lower the cost of the required reticles. Compared to other ways of producing deep sub-wavelength contacts, the vortex via process requires fewer masks and reduces the overlay and process control challenges. A high

  11. Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing

    NASA Astrophysics Data System (ADS)

    Liebmann, Lars W.; Graur, Ioana C.; Leipold, William C.; Oberschmidt, James M.; O'Grady, David S.; Regaill, Denis

    1999-07-01

    While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.

  12. Estimating subglottal pressure via airflow interruption with auditory masking.

    PubMed

    Hoffman, Matthew R; Jiang, Jack J

    2009-11-01

    Current noninvasive measurement of subglottal pressure using airflow interruption often produces inconsistent results due to the elicitation of audio-laryngeal reflexes. Auditory feedback could be considered as a means of ensuring measurement accuracy and precision. The purpose of this study was to determine if auditory masking could be used with the airflow interruption system to improve intrasubject consistency. A prerecorded sample of subject phonation was played on a loop over headphones during the trials with auditory masking. This provided subjects with a target pitch and blocked out distracting ambient noise created by the airflow interrupter. Subglottal pressure was noninvasively measured using the airflow interruption system. Thirty subjects, divided into two equal groups, performed 10 trials without auditory masking and 10 trials with auditory masking. Group one performed the normal trials first, followed by the trials with auditory masking. Group two performed the auditory masking trials first, followed by the normal trials. Intrasubject consistency was improved by adding auditory masking, resulting in a decrease in average intrasubject standard deviation from 0.93+/-0.51 to 0.47+/-0.22 cm H(2)O (P < 0.001). Auditory masking can be used effectively to combat audio-laryngeal reflexes and aid subjects in maintaining constant glottal configuration and frequency, thereby increasing intrasubject consistency when measuring subglottal pressure. By considering auditory feedback, a more reliable method of measurement was developed. This method could be used by clinicians, as reliable, immediately available values of subglottal pressure are useful in evaluating laryngeal health and monitoring treatment progress.

  13. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  14. Generation of novel resistance genes using mutation and targeted gene editing.

    PubMed

    Gal-On, Amit; Fuchs, Marc; Gray, Stewart

    2017-10-01

    Classical breeding for virus resistance is a lengthy process and is restricted by the availability of resistance genes. Precise genome editing is a 'dream technology' to improve plants for virus resistance and these tools have opened new and very promising ways to generate virus resistant plants by disrupting host susceptibility genes, or by increasing the expression of viral resistance genes. However, precise targets must be identified and their roles understood to minimize potential negative effects on the plant. Nonetheless, the opportunities for genome editing are expanding, as are the technologies to generate effective and broad-spectrum resistance against plant viruses. Here we provide insights into recent progress related to gene targets and gene editing technologies. Published by Elsevier B.V.

  15. A Novel Anti-Influenza Copper Oxide Containing Respiratory Face Mask

    PubMed Central

    Borkow, Gadi; Zhou, Steve S.; Page, Tom; Gabbay, Jeffrey

    2010-01-01

    Background Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. Methodology/Principal Findings We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66±0.51 and 6.17±0.37 log10TCID50 of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (≤0.88 log10TCID50), while 4.67±1.35 log10TCID50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were ≤0.97±0.01 log10TCID50 and from the control masks 5.03±0.54 log10TCID50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Conclusions/Significance Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks

  16. Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Ohnishi, Takayuki; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi; Bai, Shufeng; Wang, Jen-Shiang; Howell, Rafael; Chen, George; Li, Jiangwei; Tao, Jun; Wiley, Jim; Kurosawa, Terunobu; Saito, Yasuko; Takigawa, Tadahiro

    2010-09-01

    In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.

  17. The Role of RT Carry-Over for Congruence Sequence Effects in Masked Priming

    ERIC Educational Resources Information Center

    Huber-Huber, Christoph; Ansorge, Ulrich

    2017-01-01

    The present study disentangles 2 sources of the congruence sequence effect with masked primes: congruence and response time of the previous trial (reaction time [RT] carry-over). Using arrows as primes and targets and a metacontrast masking procedure we found congruence as well as congruence sequence effects. In addition, congruence sequence…

  18. Mask CD relationship to temperature at the time backscatter is received

    NASA Astrophysics Data System (ADS)

    Zable, Harold; Kronmiller, Tom; Pearman, Ryan; Guthrie, Bill; Shirali, Nagesh; Masuda, Yukihiro; Kamikubo, Takashi; Nakayamada, Noriaki; Fujimura, Aki

    2017-07-01

    Mask writers need to be able to write sub-50nm features accurately. Nano-imprint lithography (NIL) masters need to create sub-20nm line and space (L:S) patterns reliably. Increasingly slower resists are deployed, but mask write times need to remain reasonable. The leading edge EBM-9500 offers 1200A/cm2 current density to shoot variable shaped beam (VSB) to write the masks. Last year, thermal effect correction (TEC) was introduced by NuFlare in the EBM-95001. It is a GPU-accelerated inline correction for the effect that the temperature of the resist has on CD. For example, a 100nm CD may print at 102nm where that area was at a comparably high temperature at the time of the shot. Since thermal effect is a temporal effect, the simulated temperature of the surface of the mask is dynamically updated for the effect of each shot in order to accurately predict the cumulative effect that is the temperature at the location of the shot at the time of the shot and therefore its impact on CD. The shot dose is changed to reverse the effects of the temperature change. This paper for the first time reveals an enhancement to this thermal model and a simulator for it. It turns out that the temperature at the time each location receives backscatter from other shots also make a difference to the CD. The effect is secondary, but still measurable for some resists and substrates. Results of a test-chip study will be presented. The computation required for the backscatter effect is substantial. It has been demonstrated that this calculation can be performed fast enough to be inline with the EBM-9500 with a reasonable-sized computing platform. Run-time results and the computing architecture will be presented.

  19. Associative and repetition priming with the repeated masked prime technique: no priming found.

    PubMed

    Avons, S E; Russo, Riccardo; Cinel, Caterina; Verolini, Veronica; Glynn, Kevin; McDonald, Rebecca; Cameron, Marie

    2009-01-01

    Wentura and Frings (2005) reported evidence of subliminal categorical priming on a lexical decision task, using a new method of visual masking in which the prime string consisted of the prime word flanked by random consonants and random letter masks alternated with the prime string on successive refresh cycles. We investigated associative and repetition priming on lexical decision, using the same method of visual masking. Three experiments failed to show any evidence of associative priming, (1) when the prime string was fixed at 10 characters (three to six flanking letters) and (2) when the number of flanking letters were reduced or absent. In all cases, prime detection was at chance level. Strong associative priming was observed with visible unmasked primes, but the addition of flanking letters restricted priming even though prime detection was still high. With repetition priming, no priming effects were found with the repeated masked technique, and prime detection was poor but just above chance levels. We conclude that with repeated masked primes, there is effective visual masking but that associative priming and repetition priming do not occur with experiment-unique prime-target pairs. Explanations for this apparent discrepancy across priming paradigms are discussed. The priming stimuli and prime-target pairs used in this study may be downloaded as supplemental materials from mc.psychonomic-journals.org/content/supplemental.

  20. Formulation design of taste-masked particles, including famotidine, for an oral fast-disintegrating dosage form.

    PubMed

    Mizumoto, Takao; Tamura, Tetsuya; Kawai, Hitoshi; Kajiyama, Atsushi; Itai, Shigeru

    2008-04-01

    In this study, the taste-masking of famotidine, which could apply to any fast-disintegrating tablet, was investigated using the spray-dry method. The target characteristics of taste-masked particles were set as follows: the dissolution rate is not to be more than 30% at 1 min and not less than 85% at 15 min, and the particle size is not to be more than 150 microm in diameter to avoid a gritty feeling in the mouth. The target dissolution profiles of spray-dried particles consisting of Aquacoat ECD30 and Eudragit NE30D or triacetin was accomplished by the screening of formulas and the appropriate lab-scale manufacturing conditions. Lab-scale testing produced taste-masked particles that met the formulation targets. On the pilot scale, spray-dried particles with attributes, such as dissolution rate and particle size, of the same quality were produced, and reproducibility was also confirmed. This confirmed that the spray-dry method produced the most appropriate taste-masked particles for fast-disintegrating dosage forms.

  1. Interaction of Object Binding Cues in Binaural Masking Pattern Experiments.

    PubMed

    Verhey, Jesko L; Lübken, Björn; van de Par, Steven

    2016-01-01

    Object binding cues such as binaural and across-frequency modulation cues are likely to be used by the auditory system to separate sounds from different sources in complex auditory scenes. The present study investigates the interaction of these cues in a binaural masking pattern paradigm where a sinusoidal target is masked by a narrowband noise. It was hypothesised that beating between signal and masker may contribute to signal detection when signal and masker do not spectrally overlap but that this cue could not be used in combination with interaural cues. To test this hypothesis an additional sinusoidal interferer was added to the noise masker with a lower frequency than the noise whereas the target had a higher frequency than the noise. Thresholds increase when the interferer is added. This effect is largest when the spectral interferer-masker and masker-target distances are equal. The result supports the hypothesis that modulation cues contribute to signal detection in the classical masking paradigm and that these are analysed with modulation bandpass filters. A monaural model including an across-frequency modulation process is presented that account for this effect. Interestingly, the interferer also affects dichotic thresholds indicating that modulation cues also play a role in binaural processing.

  2. Mechanical microencapsulation: The best technique in taste masking for the manufacturing scale - Effect of polymer encapsulation on drug targeting.

    PubMed

    Al-Kasmi, Basheer; Alsirawan, Mhd Bashir; Bashimam, Mais; El-Zein, Hind

    2017-08-28

    Drug taste masking is a crucial process for the preparation of pediatric and geriatric formulations as well as fast dissolving tablets. Taste masking techniques aim to prevent drug release in saliva and at the same time to obtain the desired release profile in gastrointestinal tract. Several taste masking methods are reported, however this review has focused on a group of promising methods; complexation, encapsulation, and hot melting. The effects of each method on the physicochemical properties of the drug are described in details. Furthermore, a scoring system was established to evaluate each process using recent published data of selected factors. These include, input, process, and output factors that are related to each taste masking method. Input factors include the attributes of the materials used for taste masking. Process factors include equipment type and process parameters. Finally, output factors, include taste masking quality and yield. As a result, Mechanical microencapsulation obtained the highest score (5/8) along with complexation with cyclodextrin suggesting that these methods are the most preferable for drug taste masking. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Spatial Release from Masking in Children: Effects of Simulated Unilateral Hearing Loss

    PubMed Central

    Corbin, Nicole E.; Buss, Emily; Leibold, Lori J.

    2016-01-01

    Objectives The purpose of this study was twofold: 1) to determine the effect of an acute simulated unilateral hearing loss on children’s spatial release from masking in two-talker speech and speech-shaped noise, and 2) to develop a procedure to be used in future studies that will assess spatial release from masking in children who have permanent unilateral hearing loss. There were three main predictions. First, spatial release from masking was expected to be larger in two-talker speech than speech-shaped noise. Second, simulated unilateral hearing loss was expected to worsen performance in all listening conditions, but particularly in the spatially separated two-talker speech masker. Third, spatial release from masking was expected to be smaller for children than for adults in the two-talker masker. Design Participants were 12 children (8.7 to 10.9 yrs) and 11 adults (18.5 to 30.4 yrs) with normal bilateral hearing. Thresholds for 50%-correct recognition of Bamford-Kowal-Bench sentences were measured adaptively in continuous two-talker speech or speech-shaped noise. Target sentences were always presented from a loudspeaker at 0° azimuth. The masker stimulus was either co-located with the target or spatially separated to +90° or −90° azimuth. Spatial release from masking was quantified as the difference between thresholds obtained when the target and masker were co-located and thresholds obtained when the masker was presented from +90° or − 90°. Testing was completed both with and without a moderate simulated unilateral hearing loss, created with a foam earplug and supra-aural earmuff. A repeated-measures design was used to compare performance between children and adults, and performance in the no-plug and simulated-unilateral-hearing-loss conditions. Results All listeners benefited from spatial separation of target and masker stimuli on the azimuth plane in the no-plug listening conditions; this benefit was larger in two-talker speech than in speech

  4. Quinolone Resistance Reversion by Targeting the SOS Response.

    PubMed

    Recacha, E; Machuca, J; Díaz de Alba, P; Ramos-Güelfo, M; Docobo-Pérez, F; Rodriguez-Beltrán, J; Blázquez, J; Pascual, A; Rodríguez-Martínez, J M

    2017-10-10

    Suppression of the SOS response has been postulated as a therapeutic strategy for potentiating antimicrobial agents. We aimed to evaluate the impact of its suppression on reversing resistance using a model of isogenic strains of Escherichia coli representing multiple levels of quinolone resistance. E. coli mutants exhibiting a spectrum of SOS activity were constructed from isogenic strains carrying quinolone resistance mechanisms with susceptible and resistant phenotypes. Changes in susceptibility were evaluated by static (MICs) and dynamic (killing curves or flow cytometry) methodologies. A peritoneal sepsis murine model was used to evaluate in vivo impact. Suppression of the SOS response was capable of resensitizing mutant strains with genes encoding three or four different resistance mechanisms (up to 15-fold reductions in MICs). Killing curve assays showed a clear disadvantage for survival (Δlog 10 CFU per milliliter [CFU/ml] of 8 log units after 24 h), and the in vivo efficacy of ciprofloxacin was significantly enhanced (Δlog 10 CFU/g of 1.76 log units) in resistant strains with a suppressed SOS response. This effect was evident even after short periods (60 min) of exposure. Suppression of the SOS response reverses antimicrobial resistance across a range of E. coli phenotypes from reduced susceptibility to highly resistant, playing a significant role in increasing the in vivo efficacy. IMPORTANCE The rapid rise of antibiotic resistance in bacterial pathogens is now considered a major global health crisis. New strategies are needed to block the development of resistance and to extend the life of antibiotics. The SOS response is a promising target for developing therapeutics to reduce the acquisition of antibiotic resistance and enhance the bactericidal activity of antimicrobial agents such as quinolones. Significant questions remain regarding its impact as a strategy for the reversion or resensitization of antibiotic-resistant bacteria. To address this

  5. The Effect of Prime Duration in Masked Orthographic Priming Depends on Neighborhood Distribution

    ERIC Educational Resources Information Center

    Robert, Christelle; Mathey, Stephanie

    2012-01-01

    A lexical decision task was used with a masked priming procedure to investigate whether and to what extent neighborhood distribution influences the effect of prime duration in masked orthographic priming. French word targets had two higher frequency neighbors that were either distributed over two letter positions (e.g., "LOBE/robe-loge")…

  6. Drug resistance to targeted therapies: déjà vu all over again.

    PubMed

    Groenendijk, Floris H; Bernards, René

    2014-09-12

    A major limitation of targeted anticancer therapies is intrinsic or acquired resistance. This review emphasizes similarities in the mechanisms of resistance to endocrine therapies in breast cancer and those seen with the new generation of targeted cancer therapeutics. Resistance to single-agent cancer therapeutics is frequently the result of reactivation of the signaling pathway, indicating that a major limitation of targeted agents lies in their inability to fully block the cancer-relevant signaling pathway. The development of mechanism-based combinations of targeted therapies together with non-invasive molecular disease monitoring is a logical way forward to delay and ultimately overcome drug resistance development. Copyright © 2014 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  7. Widespread occurrence of both metabolic and target-site herbicide resistance mechanisms in Lolium rigidum populations.

    PubMed

    Han, Heping; Yu, Qin; Owen, Mechelle J; Cawthray, Gregory R; Powles, Stephen B

    2016-02-01

    Lolium rigidum populations in Australia and globally have demonstrated rapid and widespread evolution of resistance to acetyl coenzyme A carboxylase (ACCase)-inhibiting and acetolactate synthase (ALS)-inhibiting herbicides. Thirty-three resistant L. rigidum populations, randomly collected from crop fields in a most recent resistance survey, were analysed for non-target-site diclofop metabolism and all known target-site ACCase gene resistance-endowing mutations. The HPLC profile of [(14) C]-diclofop-methyl in vivo metabolism revealed that 79% of these resistant L. rigidum populations showed enhanced capacity for diclofop acid metabolism (metabolic resistance). ACCase gene sequencing identified that 91% of the populations contain plants with ACCase resistance mutation(s). Importantly, 70% of the populations exhibit both non-target-site metabolic resistance and target-site ACCase mutations. This work demonstrates that metabolic herbicide resistance is commonly occurring in L. rigidum, and coevolution of both metabolic resistance and target-site resistance is an evolutionary reality. Metabolic herbicide resistance can potentially endow resistance to many herbicides and poses a threat to herbicide sustainability and thus crop production, calling for major research and management efforts. © 2015 Society of Chemical Industry.

  8. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  9. Mapping the pathways of resistance to targeted therapies

    PubMed Central

    Wood, Kris C.

    2015-01-01

    Resistance substantially limits the depth and duration of clinical responses to targeted anticancer therapies. Through the use of complementary experimental approaches, investigators have revealed that cancer cells can achieve resistance through adaptation or selection driven by specific genetic, epigenetic, or microenvironmental alterations. Ultimately, these diverse alterations often lead to the activation of signaling pathways that, when co-opted, enable cancer cells to survive drug treatments. Recently developed methods enable the direct and scalable identification of the signaling pathways capable of driving resistance in specific contexts. Using these methods, novel pathways of resistance to clinically approved drugs have been identified and validated. By combining systematic resistance pathway mapping methods with studies revealing biomarkers of specific resistance pathways and pharmacological approaches to block these pathways, it may be possible to rationally construct drug combinations that yield more penetrant and lasting responses in patients. PMID:26392071

  10. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  11. RADIATION-RESISTANT FIBER OPTIC STRAIN SENSORS FOR SNS TARGET INSTRUMENTATION

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blokland, Willem; Bryan, Jeff; Riemer, Bernie

    2016-01-01

    Measurement of stresses and strains in the mercury tar-get vessel of the Spallation Neutron Source (SNS) is important to understand the structural dynamics of the target. This work reports the development of radiation-resistant fiber optic strain sensors for the SNS target in-strumentation.

  12. Targeted nanomedicine for cancer therapeutics: Towards precision medicine overcoming drug resistance.

    PubMed

    Bar-Zeev, Maya; Livney, Yoav D; Assaraf, Yehuda G

    2017-03-01

    Intrinsic anticancer drug resistance appearing prior to chemotherapy as well as acquired resistance due to drug treatment, remain the dominant impediments towards curative cancer therapy. Hence, novel targeted strategies to overcome cancer drug resistance constitute a key aim of cancer research. In this respect, targeted nanomedicine offers innovative therapeutic strategies to overcome the various limitations of conventional chemotherapy, enabling enhanced selectivity, early and more precise cancer diagnosis, individualized treatment as well as overcoming of drug resistance, including multidrug resistance (MDR). Delivery systems based on nanoparticles (NPs) include diverse platforms enabling a plethora of rationally designed therapeutic nanomedicines. Here we review NPs designed to enhance antitumor drug uptake and selective intracellular accumulation using strategies including passive and active targeting, stimuli-responsive drug activation or target-activated release, triggered solely in the cancer cell or in specific organelles, cutting edge theranostic multifunctional NPs delivering drug combinations for synergistic therapy, while facilitating diagnostics, and personalization of therapeutic regimens. In the current paper we review the recent findings of the past four years and discuss the advantages and limitations of the various novel NPs-based drug delivery systems. Special emphasis is put on in vivo study-based evidences supporting significant therapeutic impact in chemoresistant cancers. A future perspective is proposed for further research and development of complex targeted, multi-stage responsive nanomedical drug delivery systems for personalized cancer diagnosis and efficacious therapy. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. [Antifungals cellular targets and mechanisms of resistance].

    PubMed

    Accoceberry, Isabelle; Noël, Thierry

    2006-01-01

    Antifungals of systemic use for the treatment of invasive fungal infections belong to four main chemical families which have globally three cellular targets in fungal cells: fluorinated pyrimidines act on deoxyribonucleic acid (DNA) replication and protein synthesis; polyenes and azoles are toxic for ergosterol and its biosynthetic pathway; lipopeptides inhibit the synthesis of cell wall beta glucans. The resistance mechanisms that are developed by some fungi begin to be well understood particularly in Candida yeasts. The underlying bases of these mechanisms are either mutations that modify the antifungal target, or that block access to the target, and, on the other hand, the overexpression of genes encoding the target, or some membrane proteins involved in the active efflux of antifungal drugs.

  14. 2013 mask industry survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  15. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  16. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  17. Effects of set-size and lateral masking in visual search.

    PubMed

    Põder, Endel

    2004-01-01

    In the present research, the roles of lateral masking and central processing limitations in visual search were studied. Two search conditions were used: (1) target differed from distractors by presence/absence of a simple feature; (2) target differed by relative position of the same components only. The number of displayed stimuli (set-size) and the distance between neighbouring stimuli were varied as independently as possible in order to measure the effect of both. The effect of distance between stimuli (lateral masking) was found to be similar in both conditions. The effect of set-size was much larger for relative position stimuli. The results support the view that perception of relative position of stimulus components is limited mainly by the capacity of central processing.

  18. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    PubMed Central

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  19. Transferrin receptor-targeted pH-sensitive micellar system for diminution of drug resistance and targetable delivery in multidrug-resistant breast cancer

    PubMed Central

    Gao, Wei; Ye, Guihua; Duan, Xiaochuan; Yang, Xiaoying; Yang, Victor C

    2017-01-01

    The emergence of drug resistance is partially associated with overproduction of transferrin receptor (TfR). To overcome multidrug resistance (MDR) and achieve tumor target delivery, we designed a novel biodegradable pH-sensitive micellar system modified with HAIYPRH, a TfR ligand (7pep). First, the polymers poly(l-histidine)-coupled polyethylene glycol-2000 (PHIS-PEG2000) and 7pep-modified 1,2-distearoyl-sn-glycero-3-phosphoethanolamine-polyethylene glycol-2000 (7pep-DSPE-PEG2000) were synthesized, and the mixed micelles were prepared by blending of PHIS-PEG2000 and 1,2-distearoyl-sn-glycero-3-phosphoethanolamine-polyethylene glycol-2000 (DSPE-PEG2000) or 7pep-DSPE-PEG2000 (7-pep HD micelles). The micelles exhibited good size uniformity, high encapsulation efficiency, and a low critical micelle concentration. By changing the polymer ratio in the micellar formulation, the pH response range was specially tailored to pH ~6.0. When loaded with antitumor drug doxorubicin (DOX), the micelle showed an acid pH-triggering drug release profile. The cellular uptake and cytotoxicity study demonstrated that 7-pep HD micelles could significantly enhance the intracellular level and antitumor efficacy of DOX in multidrug-resistant cells (MCF-7/Adr), which attributed to the synergistic effect of poly(l-histidine)-triggered endolysosom escape and TfR-mediated endocytosis. Most importantly, the in vivo imaging study confirmed the target-ability of 7-pep HD micelles to MDR tumor. These findings indicated that 7-pep HD micelles would be a promising drug delivery system in the treatment of drug-resistant tumors. PMID:28223798

  20. Interaural level differences do not suffice for restoring spatial release from masking in simulated cochlear implant listening.

    PubMed

    Ihlefeld, Antje; Litovsky, Ruth Y

    2012-01-01

    Spatial release from masking refers to a benefit for speech understanding. It occurs when a target talker and a masker talker are spatially separated. In those cases, speech intelligibility for target speech is typically higher than when both talkers are at the same location. In cochlear implant listeners, spatial release from masking is much reduced or absent compared with normal hearing listeners. Perhaps this reduced spatial release occurs because cochlear implant listeners cannot effectively attend to spatial cues. Three experiments examined factors that may interfere with deploying spatial attention to a target talker masked by another talker. To simulate cochlear implant listening, stimuli were vocoded with two unique features. First, we used 50-Hz low-pass filtered speech envelopes and noise carriers, strongly reducing the possibility of temporal pitch cues; second, co-modulation was imposed on target and masker utterances to enhance perceptual fusion between the two sources. Stimuli were presented over headphones. Experiments 1 and 2 presented high-fidelity spatial cues with unprocessed and vocoded speech. Experiment 3 maintained faithful long-term average interaural level differences but presented scrambled interaural time differences with vocoded speech. Results show a robust spatial release from masking in Experiments 1 and 2, and a greatly reduced spatial release in Experiment 3. Faithful long-term average interaural level differences were insufficient for producing spatial release from masking. This suggests that appropriate interaural time differences are necessary for restoring spatial release from masking, at least for a situation where there are few viable alternative segregation cues.

  1. Reduced OSM for Long Duration Targets: Individuation or Items Loaded into VSTM?

    ERIC Educational Resources Information Center

    Guest, Duncan; Gellatly, Angus; Pilling, Michael

    2012-01-01

    Typical studies of object substitution masking (OSM) employ a briefly presented search array. The target item is indicated by a cue/mask that surrounds but does not overlap the target and, compared to a common offset control condition, report of the target is reduced when the mask remains present after target offset. Given how little observers are…

  2. Progress on EUV mask fabrication for 32-nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Zhang, Guojing; Yan, Pei-Yang; Liang, Ted; Park, Seh-jin; Sanchez, Peter; Shu, Emily Y.; Ultanir, Erdem A.; Henrichs, Sven; Stivers, Alan; Vandentop, Gilroy; Lieberman, Barry; Qu, Ping

    2007-05-01

    Extreme ultraviolet lithography (EUVL) tool development achieved a big milestone last year as two full-field Alpha Demo Tools (ADT) were shipped to customers by ASML. In the future horizon, a full field "EUV1" exposure tool from Nikon will be available by the end of 20071 and the pre-production EUV exposure tools from ASML are targeted for 20092. It is essential that high quality EUVL masks can be made and delivered to the EUVL tool users to support the technology development. In the past year, we have demonstrated mask fabrication with low stress absorber deposition and good etch process control yielding a vertical etch profile and a mask CD control of 5.7 nm for 32 nm (1x) space and 7.4 nm for 32 nm (1x) lines. Mask pattern resolution of 15 nm (1x) dense lines was achieved. Full field reflective mask die-to-die inspection at a 125nm pixel size was demonstrated after low defect multilayer blanks became available. In this paper, we will present details of the Intel EUVL Mask Pilot Line progress in EUVL mask defect reduction, pattern CD performance, program defect mask design and inspection, in-house absorber film development and its performance, and EUVL metrology tool development. We will demonstrate an overall improvement in EUV mask manufacturing readiness due to our Pilot Line activities.

  3. Cross-Language Differences in Informational Masking of Speech by Speech: English versus Mandarin Chinese

    ERIC Educational Resources Information Center

    Wu, Xihong; Yang, Zhigang; Huang, Ying; Chen, Jing; Li, Liang; Daneman, Meredyth; Schneider, Bruce A.

    2011-01-01

    Purpose: The purpose of the study was to determine why perceived spatial separation provides a greater release from informational masking in Chinese than English when target sentences in each of the languages are masked by other talkers speaking the same language. Method: Monolingual speakers of English and Mandarin Chinese listened to…

  4. Early Morphological Decomposition of Suffixed Words: Masked Priming Evidence with Transposed-Letter Nonword Primes

    ERIC Educational Resources Information Center

    Beyersmann, Elisabeth; Dunabeitia, Jon Andoni; Carreiras, Manuel; Coltheart, Max; Castles, Anne

    2013-01-01

    Many studies have previously reported that the recognition of a stem target (e.g., "teach") is facilitated by the prior masked presentation of a prime consisting of a derived form of it (e.g., "teacher"). We conducted two lexical decision experiments to investigate masked morphological priming in Spanish. Experiment 1 showed…

  5. Masked priming effect reflects evidence accumulated by the prime.

    PubMed

    Kinoshita, Sachiko; Norris, Dennis

    2010-01-01

    In the same-different match task, masked priming is observed with the same responses but not different responses. Norris and Kinoshita's (2008) Bayesian reader account of masked priming explains this pattern based on the same principle as that explaining the absence of priming for nonwords in the lexical decision task. The pattern of priming follows from the way the model makes optimal decisions in the two tasks; priming does not depend on first activating the prime and then the target. An alternative explanation is in terms of a bias towards responding "same" that exactly counters the facilitatory effect of lexical access. The present study tested these two views by varying both the degree to which the prime predicts the response and the visibility of the prime. Unmasked primes produced effects expected from the view that priming is influenced by the degree to which the prime predicts the response. In contrast, with masked primes, the size of priming for the same response was completely unaffected by predictability. These results rule out response bias as an explanation of the absence of masked priming for different responses and, in turn, indicate that masked priming is not a consequence of automatic lexical access of the prime.

  6. An analysis of the masking of speech by competing speech using self-report data.

    PubMed

    Agus, Trevor R; Akeroyd, Michael A; Noble, William; Bhullar, Navjot

    2009-01-01

    Many of the items in the "Speech, Spatial, and Qualities of Hearing" scale questionnaire [S. Gatehouse and W. Noble, Int. J. Audiol. 43, 85-99 (2004)] are concerned with speech understanding in a variety of backgrounds, both speech and nonspeech. To study if this self-report data reflected informational masking, previously collected data on 414 people were analyzed. The lowest scores (greatest difficulties) were found for the two items in which there were two speech targets, with successively higher scores for competing speech (six items), energetic masking (one item), and no masking (three items). The results suggest significant masking by competing speech in everyday listening situations.

  7. A challenging dissociation in masked identity priming with the lexical decision task.

    PubMed

    Perea, Manuel; Jiménez, María; Gómez, Pablo

    2014-05-01

    The masked priming technique has been used extensively to explore the early stages of visual-word recognition. One key phenomenon in masked priming lexical decision is that identity priming is robust for words, whereas it is small/unreliable for nonwords. This dissociation has usually been explained on the basis that masked priming effects are lexical in nature, and hence there should not be an identity prime facilitation for nonwords. We present two experiments whose results are at odds with the assumption made by models that postulate that identity priming is purely lexical, and also challenge the assumption that word and nonword responses are based on the same information. Our experiments revealed that for nonwords, but not for words, matched-case identity PRIME-TARGET pairs were responded to faster than mismatched-case identity prime-TARGET pairs, and this phenomenon was not modulated by the lowercase/uppercase feature similarity of the stimuli. Copyright © 2014 Elsevier B.V. All rights reserved.

  8. Lithographic qualification of high-transmission mask blank for 10nm node and beyond

    NASA Astrophysics Data System (ADS)

    Xu, Yongan; Faure, Tom; Viswanathan, Ramya; Lobb, Granger; Wistrom, Richard; Burns, Sean; Hu, Lin; Graur, Ioana; Bleiman, Ben; Fischer, Dan; Mignot, Yann; Sakamoto, Yoshifumi; Toda, Yusuke; Bolton, John; Bailey, Todd; Felix, Nelson; Arnold, John; Colburn, Matthew

    2016-04-01

    In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.

  9. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    PubMed

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  10. "US-detonated nano bombs" facilitate targeting treatment of resistant breast cancer.

    PubMed

    Shi, Jinjin; Liu, Wei; Fu, Yu; Yin, Na; Zhang, Hongling; Chang, Junbiao; Zhang, Zhenzhong

    2018-03-28

    Reversal of drug resistance and targeted therapy are the keys but remain challenging in resistant breast cancer treatment. Herein, low frequency ultrasound detonated "nano bombs" were rationally designed and used for treatment of resistant breast cancer. For the 'nano bombs', the ammunition (Doxorubicin, DOX) was loaded into the ammunition depot (hollow mesoporous TiO 2 , MTNs), and the safety device (dsDNA) was wrapped on the surface of MTNs to avoid the unexpected DOX release. We found the "US-detonated explosive" abilities of "nano bomb" MTNs (NBMTNs), including explosive generation of ROS, explosive release of DOX, US-triggered lysosome escape and mitochondrial targeting in the in vitro and in vivo studies. More importantly, the drug resistance of MCF-7/ADR cells could be reversed via the inhibition of mitochondrial energy supply approach caused by the "explosion" of NBMTNs. Furthermore, NBMTNs combined the superior chemotherapy efficacy of DOX and potent SDT efficacy in one single platform and significantly enhanced the anticancer efficacy. Our results demonstrate an approach for reversing resistance and specific targeting of tumors using 'US-detonated nano bombs'. Copyright © 2018 Elsevier B.V. All rights reserved.

  11. 2012 Mask Industry Survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  12. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    PubMed

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  13. Improved detection of genetic markers of antimicrobial resistance by hybridization probe-based melting curve analysis using primers to mask proximal mutations: examples include the influenza H275Y substitution.

    PubMed

    Whiley, David M; Jacob, Kevin; Nakos, Jennifer; Bletchly, Cheryl; Nimmo, Graeme R; Nissen, Michael D; Sloots, Theo P

    2012-06-01

    Numerous real-time PCR assays have been described for detection of the influenza A H275Y alteration. However, the performance of these methods can be undermined by sequence variation in the regions flanking the codon of interest. This is a problem encountered more broadly in microbial diagnostics. In this study, we developed a modification of hybridization probe-based melting curve analysis, whereby primers are used to mask proximal mutations in the sequence targets of hybridization probes, so as to limit the potential for sequence variation to interfere with typing. The approach was applied to the H275Y alteration of the influenza A (H1N1) 2009 strain, as well as a Neisseria gonorrhoeae mutation associated with antimicrobial resistance. Assay performances were assessed using influenza A and N. gonorrhoeae strains characterized by DNA sequencing. The modified hybridization probe-based approach proved successful in limiting the effects of proximal mutations, with the results of melting curve analyses being 100% consistent with the results of DNA sequencing for all influenza A and N. gonorrhoeae strains tested. Notably, these included influenza A and N. gonorrhoeae strains exhibiting additional mutations in hybridization probe targets. Of particular interest was that the H275Y assay correctly typed influenza A strains harbouring a T822C nucleotide substitution, previously shown to interfere with H275Y typing methods. Overall our modified hybridization probe-based approach provides a simple means of circumventing problems caused by sequence variation, and offers improved detection of the influenza A H275Y alteration and potentially other resistance mechanisms.

  14. Detection of target-probe oligonucleotide hybridization using synthetic nanopore resistive pulse sensing.

    PubMed

    Booth, Marsilea Adela; Vogel, Robert; Curran, James M; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2013-07-15

    Despite the plethora of DNA sensor platforms available, a portable, sensitive, selective and economic sensor able to rival current fluorescence-based techniques would find use in many applications. In this research, probe oligonucleotide-grafted particles are used to detect target DNA in solution through a resistive pulse nanopore detection technique. Using carbodiimide chemistry, functionalized probe DNA strands are attached to carboxylated dextran-based magnetic particles. Subsequent incubation with complementary target DNA yields a change in surface properties as the two DNA strands hybridize. Particle-by-particle analysis with resistive pulse sensing is performed to detect these changes. A variable pressure method allows identification of changes in the surface charge of particles. As proof-of-principle, we demonstrate that target hybridization is selectively detected at micromolar concentrations (nanomoles of target) using resistive pulse sensing, confirmed by fluorescence and phase analysis light scattering as complementary techniques. The advantages, feasibility and limitations of using resistive pulse sensing for sample analysis are discussed. Copyright © 2013 Elsevier B.V. All rights reserved.

  15. Theoretical modeling of masking DNA application in aptamer-facilitated biomarker discovery.

    PubMed

    Cherney, Leonid T; Obrecht, Natalia M; Krylov, Sergey N

    2013-04-16

    In aptamer-facilitated biomarker discovery (AptaBiD), aptamers are selected from a library of random DNA (or RNA) sequences for their ability to specifically bind cell-surface biomarkers. The library is incubated with intact cells, and cell-bound DNA molecules are separated from those unbound and amplified by the polymerase chain reaction (PCR). The partitioning/amplification cycle is repeated multiple times while alternating target cells and control cells. Efficient aptamer selection in AptaBiD relies on the inclusion of masking DNA within the cell and library mixture. Masking DNA lacks primer regions for PCR amplification and is typically taken in excess to the library. The role of masking DNA within the selection mixture is to outcompete any nonspecific binding sequences within the initial library, thus allowing specific DNA sequences (i.e., aptamers) to be selected more efficiently. Efficient AptaBiD requires an optimum ratio of masking DNA to library DNA, at which aptamers still bind specific binding sites but nonaptamers within the library do not bind nonspecific binding sites. Here, we have developed a mathematical model that describes the binding processes taking place within the equilibrium mixture of masking DNA, library DNA, and target cells. An obtained mathematical solution allows one to estimate the concentration of masking DNA that is required to outcompete the library DNA at a desirable ratio of bound masking DNA to bound library DNA. The required concentration depends on concentrations of the library and cells as well as on unknown cell characteristics. These characteristics include the concentration of total binding sites on the cell surface, N, and equilibrium dissociation constants, K(nsL) and K(nsM), for nonspecific binding of the library DNA and masking DNA, respectively. We developed a theory that allows the determination of N, K(nsL), and K(nsM) based on measurements of EC50 values for cells mixed separately with the library and masking DNA

  16. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    PubMed

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  17. Mask industry assessment: 2008

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2008-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the seventh in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2007 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry.

  18. Mask industry assessment: 2009

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the eighth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2008 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry. This in combination with the past surveys represents a comprehensive view of changes in the industry.

  19. STAT3 signaling mediates tumour resistance to EGFR targeted therapeutics.

    PubMed

    Zulkifli, Ahmad A; Tan, Fiona H; Putoczki, Tracy L; Stylli, Stanley S; Luwor, Rodney B

    2017-08-15

    Several EGFR inhibitors are currently undergoing clinical assessment or are approved for the clinical management of patients with varying tumour types. However, treatment often results in a lack of response in many patients. The majority of patients that initially respond eventually present with tumours that display acquired resistance to the original therapy. A large number of receptor tyrosine and intracellular kinases have been implicated in driving signaling that mediates this tumour resistance to anti-EGFR targeted therapy, and in a few cases these discoveries have led to overall changes in prospective tumour screening and clinical practice (K-RAS in mCRC and EGFR T790M in NSCLC). In this mini-review, we specifically focus on the role of the STAT3 signaling axis in providing both intrinsic and acquired resistance to inhibitors of the EGFR. We also focus on STAT3 pathway targeting in an attempt to overcome resistance to anti-EGFR therapeutics. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli.

    PubMed

    Necciari, Thibaud; Laback, Bernhard; Savel, Sophie; Ystad, Sølvi; Balazs, Peter; Meunier, Sabine; Kronland-Martinet, Richard

    2016-01-01

    Many audio applications perform perception-based time-frequency (TF) analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain) using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1) with standard model parameters (i.e. without efferents), (2) with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other) effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using maximally

  1. Perceptual learning for speech in noise after application of binary time-frequency masks

    PubMed Central

    Ahmadi, Mahnaz; Gross, Vauna L.; Sinex, Donal G.

    2013-01-01

    Ideal time-frequency (TF) masks can reject noise and improve the recognition of speech-noise mixtures. An ideal TF mask is constructed with prior knowledge of the target speech signal. The intelligibility of a processed speech-noise mixture depends upon the threshold criterion used to define the TF mask. The study reported here assessed the effect of training on the recognition of speech in noise after processing by ideal TF masks that did not restore perfect speech intelligibility. Two groups of listeners with normal hearing listened to speech-noise mixtures processed by TF masks calculated with different threshold criteria. For each group, a threshold criterion that initially produced word recognition scores between 0.56–0.69 was chosen for training. Listeners practiced with one set of TF-masked sentences until their word recognition performance approached asymptote. Perceptual learning was quantified by comparing word-recognition scores in the first and last training sessions. Word recognition scores improved with practice for all listeners with the greatest improvement observed for the same materials used in training. PMID:23464038

  2. Oncogenic drivers, targeted therapies, and acquired resistance in non-small-cell lung cancer.

    PubMed

    Gower, Arjan; Wang, Yisong; Giaccone, Giuseppe

    2014-07-01

    In the past decade, a shift toward targeted therapies in non-small-cell lung cancer following molecular profiling has dramatically changed the way advanced adenocarcinoma is treated. However, tumor cells inevitably acquire resistance to such therapies, circumventing any sustained clinical benefit. As the genomic classification of lung cancer continues to evolve and as the mechanisms of acquired resistance to targeted therapies become elucidated and more improved target-specific drugs come into sight, the future will see more promising results from the clinic through the development of new therapeutic strategies to overcome, or prevent the development of, resistance for lung cancer patients.

  3. Masking release with changing fundamental frequency: Electric acoustic stimulation resembles normal hearing subjects.

    PubMed

    Auinger, Alice Barbara; Riss, Dominik; Liepins, Rudolfs; Rader, Tobias; Keck, Tilman; Keintzel, Thomas; Kaider, Alexandra; Baumgartner, Wolf-Dieter; Gstoettner, Wolfgang; Arnoldner, Christoph

    2017-07-01

    It has been shown that patients with electric acoustic stimulation (EAS) perform better in noisy environments than patients with a cochlear implant (CI). One reason for this could be the preserved access to acoustic low-frequency cues including the fundamental frequency (F0). Therefore, our primary aim was to investigate whether users of EAS experience a release from masking with increasing F0 difference between target talker and masking talker. The study comprised 29 patients and consisted of three groups of subjects: EAS users, CI users and normal-hearing listeners (NH). All CI and EAS users were implanted with a MED-EL cochlear implant and had at least 12 months of experience with the implant. Speech perception was assessed with the Oldenburg sentence test (OlSa) using one sentence from the test corpus as speech masker. The F0 in this masking sentence was shifted upwards by 4, 8, or 12 semitones. For each of these masker conditions the speech reception threshold (SRT) was assessed by adaptively varying the masker level while presenting the target sentences at a fixed level. A statistically significant improvement in speech perception was found for increasing difference in F0 between target sentence and masker sentence in EAS users (p = 0.038) and in NH listeners (p = 0.003). In CI users (classic CI or EAS users with electrical stimulation only) speech perception was independent from differences in F0 between target and masker. A release from masking with increasing difference in F0 between target and masking speech was only observed in listeners and configurations in which the low-frequency region was presented acoustically. Thus, the speech information contained in the low frequencies seems to be crucial for allowing listeners to separate multiple sources. By combining acoustic and electric information, EAS users even manage tasks as complicated as segregating the audio streams from multiple talkers. Preserving the natural code, like fine-structure cues in

  4. Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings

    NASA Astrophysics Data System (ADS)

    Palitzsch, Katrin; Kubis, Michael; Schroeder, Uwe P.; Schumacher, Karl; Frangen, Andreas

    2004-05-01

    CD control is crucial to maximize product yields on 300mm wafers. This is particularly true for DRAM frontend lithography layers, like gate level, and deep trench (capacitor) level. In the DRAM process, large areas of the chip are taken up by array structures, which are difficult to structure due to aggressive pitch requirements. Consequently, the lithography process is centered such that the array structures are printed on target. Optical proximity correction is applied to print gate level structures in the periphery circuitry on target. Only slight differences of the different Zernike terms can cause rather large variations of the proximity curves, resulting in a difference of isolated and semi-isolated lines printed on different tools. If the deviations are too large, tool specific OPC is needed. The same is true for deep trench level, where the length to width ratio of elongated contact-like structures is an important parameter to adjust the electrical properties of the chip. Again, masks with specific biases for tools with different Zernikes are needed to optimize product yield. Additionally, mask making contributes to the CD variation of the process. Theoretically, the CD deviation caused by an off-centered mask process can easily eat up the majority of the CD budget of a lithography process. In practice, masks are very often distributed intelligently among production tools, such that lens and mask effects cancel each other. However, only dose adjusting and mask allocation may still result in a high CD variation with large systematical contributions. By adjusting the illumination settings, we have successfully implemented a method to reduce CD variation on our advanced processes. Especially inner and outer sigma for annular illumination, and the numerical aperture, can be optimized to match mask and stepper properties. This process will be shown to overcome slight lens and mask differences effectively. The effects on lithography process windows have to be

  5. In-vivo heat retention comparison of eyelid warming masks.

    PubMed

    Bitton, Etty; Lacroix, Zoé; Léger, Stéphanie

    2016-08-01

    Meibomian gland dysfunction (MGD) is one of the most common causes of evaporative dry eye. Warm compresses (WC) are recommended as adjunct therapy to slowly transfer heat to the meibomian glands to melt or soften the stagnant meibum with targeted temperatures of 40-45°C. This clinical study evaluated the heat retention profiles of commercially available eyelid warming masks over a 12-min interval. Five eyelid-warming masks (MGDRx Eyebag(®), EyeDoctor(®), Bruder(®), Tranquileyes XR™, Thera°Pearl(®)) were heated following manufacturer's instructions and heat retention was assessed at 1-min intervals for 12min. A facecloth warmed with hot tap water was used as comparison. Twelve (n=12) subjects participated in the study (10F:2M, ranging in age from 21 to 30 with an average of 23.2±3.8years). Each mask demonstrated a unique heat retention profile, reaching maximum temperature at different times and having a different final temperature at the end of the 12-min evaluation. After heating, all eyelid warming masks reached a temperature near 37°C within the first minute. The facecloth was significantly cooler than all other masks as of the 2-min mark (p<0.05). Reusability, availability and heat retention profiles should be considered when selecting an eyelid warming masks for adjunct WC therapy in the management of MGD. All masks tested, with the exception of the facecloth, demonstrated stable heat retention throughout the 12min, bringing further awareness that patient education is required to discuss the shortcomings of the heat retention of the facecloth, if only heated once. Copyright © 2016 British Contact Lens Association. Published by Elsevier Ltd. All rights reserved.

  6. Cross-language phonological activation: evidence from masked onset priming and ERPs.

    PubMed

    Jouravlev, Olessia; Lupker, Stephen J; Jared, Debra

    2014-07-01

    The goal of the present research was to provide direct evidence for the cross-language interaction of phonologies at the sub-lexical level by using the masked onset priming paradigm. More specifically, we investigated whether there is a cross-language masked onset priming effect (MOPE) with L2 (English) primes and L1 (Russian) targets and whether it is modulated by the orthographic similarity of primes and targets. Primes and targets had onsets that overlapped either only phonologically, only orthographically, both phonologically and orthographically, or did not have any overlap. Phonological overlap, but not orthographic overlap, between primes and targets led to faster naming latencies. In contrast, the ERP data provided evidence for effects of both phonological and orthographic overlap. Finally, the time-course of phonological and orthographic processing for our bilinguals mirrored the time-course previously reported for monolinguals in the ERP data. These results provide evidence for shared representations at the sub-lexical level for a bilingual's two languages. Copyright © 2014 Elsevier Inc. All rights reserved.

  7. SMIF capability at Intel Mask Operation improves yield

    NASA Astrophysics Data System (ADS)

    Dam, Thuc H.; Pekny, Matt; Millino, Jim; Luu, Gibson; Melwani, Nitesh; Venkatramani, Aparna; Tavassoli, Malahat

    2003-08-01

    At Intel Mask Operations (IMO), Standard Mechanical Interface (SMIF) processing has been employed to reduce environmental particle contamination from manual handling-related activities. SMIF handling entailed the utilization of automated robotic transfers of photoblanks/reticles between SMIF pods, whereas conventional handling utilized manual pick transfers of masks between SMIF pods with intermediate storage in Toppan compacts. The SMIF-enabling units in IMO's process line included: (1) coater, (2) exposure, (3) developer, (4) dry etcher, and (5) inspection. Each unit is equipped with automated I/O port, environmentally enclosed processing chamber, and SMIF pods. Yield metrics were utilized to demonstrate the effectiveness and advantages of SMIF processing compared to manual processing. The areas focused in this paper were blank resist coating, binary front-end reticle processing and 2nd level PSM reticle processing. Results obtained from the investigation showed yield improvements in these areas.

  8. Electrophysiological evidence for size invariance in masked picture repetition priming

    PubMed Central

    Eddy, Marianna D.; Holcomb, Phillip J.

    2009-01-01

    This experiment examined invariance in object representations through measuring event-related potentials (ERPs) to pictures in a masked repetition priming paradigm. Pairs of pictures were presented where the prime was either the same size or half the size of the target object and the target was either presented in a normal orientation or was a normal sized mirror reflection of the prime object. Previous masked repetition priming studies have found a cascade of priming effect sensitive to perceptual (N190/P190) and semantic (N400) properties of the stimulus. This experiment found that both early (N190/P190 effects) and later effects (N400) were invariant to size, whereas only the N190/P190 effect was invariant to mirror reflection. The combination of a small prime and a mirror reflected target led to no significant priming effects. Taken together, the results of this set of experiments suggests that object recognition, more specifically, activating an object representation, occurs in a hierarchical fashion where overlapping perceptual information between the prime and target is necessary, although not always sufficient, to activate a higher level semantic representation. PMID:19560248

  9. Recovery from Object Substitution Masking Induced by Transient Suppression of Visual Motion Processing: A Repetitive Transcranial Magnetic Stimulation Study

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Kihara, Ken; Mima, Tatsuya; Ueki, Yoshino; Fukuyama, Hidenao; Osaka, Naoyuki

    2007-01-01

    Object substitution masking is a form of visual backward masking in which a briefly presented target is rendered invisible by a lingering mask that is too sparse to produce lower image-level interference. Recent studies suggested the importance of an updating process in a higher object-level representation, which should rely on the processing of…

  10. The influence of informational masking in reverberant, multi-talker environments.

    PubMed

    Westermann, Adam; Buchholz, Jörg M

    2015-08-01

    The relevance of informational masking (IM) in real-world listening is not well understood. In literature, IM effects of up to 10 dB in measured speech reception thresholds (SRTs) are reported. However, these experiments typically employed simplified spatial configurations and speech corpora that magnified confusions. In this study, SRTs were measured with normal hearing subjects in a simulated cafeteria environment. The environment was reproduced by a 41-channel 3D-loudspeaker array. The target talker was 2 m in front of the listener and masking talkers were either spread throughout the room or colocated with the target. Three types of maskers were realized: one with the same talker as the target (maximum IM), one with talkers different from the target, and one with unintelligible, noise-vocoded talkers (minimal IM). Overall, SRTs improved for the spatially distributed conditions compared to the colocated conditions. Within the spatially distributed conditions, there was no significant difference between thresholds with the different- and vocoded-talker maskers. Conditions with the same-talker masker were the only conditions with substantially higher thresholds, especially in the colocated conditions. These results suggest that IM related to target-masker confusions, at least for normal-hearing listeners, is of low relevance in real-life listening.

  11. How to obtain accurate resist simulations in very low-k1 era?

    NASA Astrophysics Data System (ADS)

    Chiou, Tsann-Bim; Park, Chan-Ha; Choi, Jae-Seung; Min, Young-Hong; Hansen, Steve; Tseng, Shih-En; Chen, Alek C.; Yim, Donggyu

    2006-03-01

    A procedure for calibrating a resist model iteratively adjusts appropriate parameters until the simulations of the model match the experimental data. The tunable parameters may include the shape of the illuminator, the geometry and transmittance/phase of the mask, light source and scanner-related parameters that affect imaging quality, resist process control and most importantly the physical/chemical factors in the resist model. The resist model can be accurately calibrated by measuring critical dimensions (CD) of a focus-exposure matrix (FEM) and the technique has been demonstrated to be very successful in predicting lithographic performance. However, resist model calibration is more challenging in the low k1 (<0.3) regime because numerous uncertainties, such as mask and resist CD metrology errors, are becoming too large to be ignored. This study demonstrates a resist model calibration procedure for a 0.29 k1 process using a 6% halftone mask containing 2D brickwall patterns. The influence of different scanning electron microscopes (SEM) and their wafer metrology signal analysis algorithms on the accuracy of the resist model is evaluated. As an example of the metrology issue of the resist pattern, the treatment of a sidewall angle is demonstrated for the resist line ends where the contrast is relatively low. Additionally, the mask optical proximity correction (OPC) and corner rounding are considered in the calibration procedure that is based on captured SEM images. Accordingly, the average root-mean-square (RMS) error, which is the difference between simulated and experimental CDs, can be improved by considering the metrological issues. Moreover, a weighting method and a measured CD tolerance are proposed to handle the different CD variations of the various edge points of the wafer resist pattern. After the weighting method is implemented and the CD selection criteria applied, the RMS error can be further suppressed. Therefore, the resist CD and process window can

  12. EUVL mask dual pods to be used for mask shipping and handling in exposure tools

    NASA Astrophysics Data System (ADS)

    Gomei, Yoshio; Ota, Kazuya; Lystad, John; Halbmair, Dave; He, Long

    2007-03-01

    The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.

  13. Mask strategy at International SEMATECH

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  14. Vibrotactile masking experiments reveal accelerated somatosensory processing in congenitally blind braille readers.

    PubMed

    Bhattacharjee, Arindam; Ye, Amanda J; Lisak, Joy A; Vargas, Maria G; Goldreich, Daniel

    2010-10-27

    Braille reading is a demanding task that requires the identification of rapidly varying tactile patterns. During proficient reading, neighboring characters impact the fingertip at ∼100 ms intervals, and adjacent raised dots within a character at 50 ms intervals. Because the brain requires time to interpret afferent sensorineural activity, among other reasons, tactile stimuli separated by such short temporal intervals pose a challenge to perception. How, then, do proficient Braille readers successfully interpret inputs arising from their fingertips at such rapid rates? We hypothesized that somatosensory perceptual consolidation occurs more rapidly in proficient Braille readers. If so, Braille readers should outperform sighted participants on masking tasks, which demand rapid perceptual processing, but would not necessarily outperform the sighted on tests of simple vibrotactile sensitivity. To investigate, we conducted two-interval forced-choice vibrotactile detection, amplitude discrimination, and masking tasks on the index fingertips of 89 sighted and 57 profoundly blind humans. Sighted and blind participants had similar unmasked detection (25 ms target tap) and amplitude discrimination (compared with 100 μm reference tap) thresholds, but congenitally blind Braille readers, the fastest readers among the blind participants, exhibited significantly less masking than the sighted (masker, 50 Hz, 50 μm; target-masker delays, ±50 and ±100 ms). Indeed, Braille reading speed correlated significantly and specifically with masking task performance, and in particular with the backward masking decay time constant. We conclude that vibrotactile sensitivity is unchanged but that perceptual processing is accelerated in congenitally blind Braille readers.

  15. Vibrotactile masking experiments reveal accelerated somatosensory processing in congenitally blind Braille readers

    PubMed Central

    Bhattacharjee, Arindam; Ye, Amanda J.; Lisak, Joy A.; Vargas, Maria G.; Goldreich, Daniel

    2010-01-01

    Braille reading is a demanding task that requires the identification of rapidly varying tactile patterns. During proficient reading, neighboring characters impact the fingertip at about 100-ms intervals, and adjacent raised dots within a character at 50-ms intervals. Because the brain requires time to interpret afferent sensorineural activity, among other reasons, tactile stimuli separated by such short temporal intervals pose a challenge to perception. How, then, do proficient Braille readers successfully interpret inputs arising from their fingertips at such rapid rates? We hypothesized that somatosensory perceptual consolidation occurs more rapidly in proficient Braille readers. If so, Braille readers should outperform sighted participants on masking tasks, which demand rapid perceptual processing, but would not necessarily outperform the sighted on tests of simple vibrotactile sensitivity. To investigate, we conducted two-interval forced-choice vibrotactile detection, amplitude discrimination, and masking tasks on the index fingertips of 89 sighted and 57 profoundly blind humans. Sighted and blind participants had similar unmasked detection (25-ms target tap) and amplitude discrimination (compared to 100-micron reference tap) thresholds, but congenitally blind Braille readers, the fastest readers among the blind participants, exhibited significantly less masking than the sighted (masker: 50-Hz, 50-micron; target-masker delays ±50 and ±100 ms). Indeed, Braille reading speed correlated significantly and specifically with masking task performance, and in particular with the backward masking decay time constant. We conclude that vibrotactile sensitivity is unchanged, but that perceptual processing is accelerated in congenitally blind Braille readers. PMID:20980584

  16. Effects of seed mixture sowing with resistant and susceptible rice on population dynamics of target planthoppers and non-target stemborers and leaffolders.

    PubMed

    Li, Zhuo; Wan, Guijun; Wang, Long; Parajulee, Megha N; Zhao, Zihua; Chen, Fajun

    2018-07-01

    The widespread planting of insect-resistant crops has caused a dramatic shift in agricultural landscapes, thus raising concerns about the potential impact on both target and non-target pests worldwide. In this study, we examined the potential effects of six seed mixture ratios of insect-resistance dominance [100% (R100), 95% (S05R95), 90% (S10R90), 80% (S20R80), 60% (S40R60), and 0% (S100)] on target and non-target pests in a 2-year field trial in southern China. The occurrence of the target pests Nilaparvata lugens and Sogatella furcifera decreased with an increase in the ratio of resistant rice, and mixture ratios with ≥90% resistant rice significantly increased the pest suppression efficiency, with the lowest occurrences of the non-target pests Sesamia inferens, Chilo suppressalis and Cnaphalocrocis medinalis for S100 and S10R90 seed mixture ratios. Furthermore, there were no significant differences in the 1000-grain dry weight and grain yield between R100 and other treatments with ≥80% resistant seeds in the mixture (S20R80, S10R90 and S05R95). S10R90 produced a good yield and provided the most effective control of both target and non-target pests, with the potential to significantly reduce the application of chemical pesticides for integrated pest management in paddy fields. It is further presumed that the strategy of seed mixture with resistant and susceptible rice would be advantageous for rice yield stability. © 2018 Society of Chemical Industry. © 2018 Society of Chemical Industry.

  17. Perception as Evidence Accumulation and Bayesian Inference: Insights from Masked Priming

    ERIC Educational Resources Information Center

    Norris, Dennis; Kinoshita, Sachiko

    2008-01-01

    The authors argue that perception is Bayesian inference based on accumulation of noisy evidence and that, in masked priming, the perceptual system is tricked into treating the prime and the target as a single object. Of the 2 algorithms considered for formalizing how the evidence sampled from a prime and target is combined, only 1 was shown to be…

  18. Target and Non-target Site Mechanisms Developed by Glyphosate-Resistant Hairy beggarticks (Bidens pilosa L.) Populations from Mexico

    PubMed Central

    Alcántara-de la Cruz, Ricardo; Fernández-Moreno, Pablo T.; Ozuna, Carmen V.; Rojano-Delgado, Antonia M.; Cruz-Hipolito, Hugo E.; Domínguez-Valenzuela, José A.; Barro, Francisco; De Prado, Rafael

    2016-01-01

    In 2014 hairy beggarticks (Bidens pilosa L.) has been identified as being glyphosate-resistant in citrus orchards from Mexico. The target and non-target site mechanisms involved in the response to glyphosate of two resistant populations (R1 and R2) and one susceptible (S) were studied. Experiments of dose-response, shikimic acid accumulation, uptake-translocation, enzyme activity and 5-enolpyruvyl shikimate-3-phosphate synthase (EPSPS) gene sequencing were carried out in each population. The R1 and R2 populations were 20.4 and 2.8-fold less glyphosate sensitive, respectively, than the S population. The resistant populations showed a lesser shikimic acid accumulation than the S population. In the latter one, 24.9% of 14C-glyphosate was translocated to the roots at 96 h after treatment; in the R1 and R2 populations only 12.9 and 15.5%, respectively, was translocated. Qualitative results confirmed the reduced 14C-glyphosate translocation in the resistant populations. The EPSPS enzyme activity of the S population was 128.4 and 8.5-fold higher than the R1 and R2 populations of glyphosate-treated plants, respectively. A single (Pro-106-Ser), and a double (Thr-102-Ile followed by Pro-106-Ser) mutations were identified in the EPSPS2 gene conferred high resistance in R1 population. Target-site mutations associated with a reduced translocation were responsible for the higher glyphosate resistance in the R1 population. The low-intermediate resistance of the R2 population was mediated by reduced translocation. This is the first glyphosate resistance case confirmed in hairy beggarticks in the world. PMID:27752259

  19. The serial nature of the masked onset priming effect revisited.

    PubMed

    Mousikou, Petroula; Coltheart, Max

    2014-01-01

    Reading aloud is faster when target words/nonwords are preceded by masked prime words/nonwords that share their first sound with the target (e.g., save-SINK) compared to when primes and targets are unrelated to each other (e.g., farm-SINK). This empirical phenomenon is the masked onset priming effect (MOPE) and is known to be due to serial left-to-right processing of the prime by a sublexical reading mechanism. However, the literature in this domain lacks a critical experiment. It is possible that when primes are real words their orthographic/phonological representations are activated in parallel and holistically during prime presentation, so any phoneme overlap between primes and targets (and not just initial-phoneme overlap) could facilitate target reading aloud. This is the prediction made by the only computational models of reading aloud that are able to simulate the MOPE, namely the DRC1.2.1, CDP+, and CDP++ models. We tested this prediction in the present study and found that initial-phoneme overlap (blip-BEST), but not end-phoneme overlap (flat-BEST), facilitated target reading aloud compared to no phoneme overlap (junk-BEST). These results provide support for a reading mechanism that operates serially and from left to right, yet are inconsistent with all existing computational models of single-word reading aloud.

  20. Understanding EUV mask blank surface roughness induced LWR and associated roughness requirement

    NASA Astrophysics Data System (ADS)

    Yan, Pei-Yang; Zhang, Guojing; Gullikson, Eric M.; Goldberg, Ken A.; Benk, Markus P.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) mask multi-layer (ML) blank surface roughness specification historically comes from blank defect inspection tool requirement. Later, new concerns on ML surface roughness induced wafer pattern line width roughness (LWR) arise. In this paper, we have studied wafer level pattern LWR as a function of EUVL mask surface roughness via High-NA Actinic Reticle Review Tool. We found that the blank surface roughness induced LWR at current blank roughness level is in the order of 0.5nm 3σ for NA=0.42 at the best focus. At defocus of ±40nm, the corresponding LWR will be 0.2nm higher. Further reducing EUVL mask blank surface roughness will increase the blank cost with limited benefit in improving the pattern LWR, provided that the intrinsic resist LWR is in the order of 1nm and above.

  1. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    PubMed

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; P<.001). The intraoral mask resulted in greater received minute volume received compared with conventional cuffed face mask during ventilation with a 1-handed mask seal in a cadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  2. Masks in Pedagogical Practice

    ERIC Educational Resources Information Center

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  3. Keeping African Masks Real

    ERIC Educational Resources Information Center

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  4. Masks in Imaging Flow Cytometry

    PubMed Central

    Dominical, Venina; Samsel, Leigh; McCoy, J. Philip

    2016-01-01

    Data analysis in imaging flow cytometry incorporates elements of flow cytometry together with other aspects of morphological analysis of images. A crucial early step in this analysis is the creation of a mask to distinguish the portion of the image upon which further examination of specified features can be performed. Default masks are provided by the manufacturer of the imaging flow cytometer but additional custom masks can be created by the individual user for specific applications. Flawed or inaccurate masks can have a substantial negative impact on the overall analysis of a sample, thus great care must be taken to ensure the accuracy of masks. Here we discuss various types of masks and cite examples of their use. Furthermore we provide our insight for how to approach selecting and assessing the optimal mask for a specific analysis. PMID:27461256

  5. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  6. Being Forward Not Backward: Lexical Limits to Masked Priming

    ERIC Educational Resources Information Center

    Davis, Chris; Kim, Jeesun; Forster, Kenneth I.

    2008-01-01

    This study investigated whether masked priming is mediated by existing memory representations by determining whether nonwords targets would show repetition priming. To avoid the potential confound that nonword repetition priming would be obscured by a familiarity response bias, the standard lexical decision and naming tasks were modified to make…

  7. Masked Inhibitory Priming in English: Evidence for Lexical Inhibition

    ERIC Educational Resources Information Center

    Davis, Colin J.; Lupker, Stephen J.

    2006-01-01

    Predictions derived from the interactive activation (IA) model were tested in 3 experiments using the masked priming technique in the lexical decision task. Experiment 1 showed a strong effect of prime lexicality: Classifications of target words were facilitated by orthographically related nonword primes (relative to unrelated nonword primes) but…

  8. Effects of non-simultaneous masking on the binaural masking level difference

    PubMed Central

    Buss, Emily; Hall III, Joseph W.

    2011-01-01

    The present study sought to clarify the role of non-simultaneous masking in the binaural masking level difference for maskers that fluctuate in level. In the first experiment the signal was a brief 500-Hz tone, and the masker was a bandpass noise (100–2000 Hz), with the initial and final 200-ms bursts presented at 40-dB spectrum level and the inter-burst gap presented at 20-dB spectrum level. Temporal windows were fitted to thresholds measured for a range of gap durations and signal positions within the gap. In the second experiment, individual differences in out of phase (NoSπ) thresholds were compared for a brief signal in a gapped bandpass masker, a brief signal in a steady bandpass masker, and a long signal in a narrowband (50-Hz-wide) noise masker. The third experiment measured brief tone detection thresholds in forward, simultaneous, and backward masking conditions for a 50- and for a 1900-Hz-wide noise masker centered on the 500-Hz signal frequency. Results are consistent with comparable temporal resolution in the in phase (NoSo) and NoSπ conditions and no effect of temporal resolution on individual observers’ ability to utilize binaural cues in narrowband noise. The large masking release observed for a narrowband noise masker may be due to binaural masking release from non-simultaneous, informational masking. PMID:21361448

  9. Masks: The Artist in Me

    ERIC Educational Resources Information Center

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  10. Forward masking of frequency modulationa

    PubMed Central

    Byrne, Andrew J.; Wojtczak, Magdalena; Viemeister, Neal F.

    2012-01-01

    Forward masking of sinusoidal frequency modulation (FM) was measured with three types of maskers: FM, amplitude modulation (AM), and a masker created by combining the magnitude spectrum of an FM tone with random component phases. For the signal FM rates used (5, 20, and 40 Hz), an FM masker raised detection thresholds in terms of frequency deviation by a factor of about 5 relative to without a masker. The AM masker produced a much smaller effect, suggesting that FM-to-AM conversion did not contribute substantially to the FM forward masking. The modulation depth of an FM masker had a nonmonotonic effect, with maximal masking observed at an intermediate value within the range of possible depths, while the random-phase FM masker produced less masking, arguing against a spectrally-based explanation for FM forward masking. Broad FM-rate selectivity for forward masking was observed for both 4-kHz and 500-Hz carriers. Thresholds measured as a function of the masker-signal delay showed slow recovery from FM forward masking, with residual masking for delays up to 500 ms. The FM forward-masking effect resembles that observed for AM [Wojtczak and Viemeister (2005). J. Acoust. Soc. Am. 188, 3198–3210] and may reflect modulation-rate selective neural adaptation to FM. PMID:23145618

  11. Automatic pattern localization across layout database and photolithography mask

    NASA Astrophysics Data System (ADS)

    Morey, Philippe; Brault, Frederic; Beisser, Eric; Ache, Oliver; Röth, Klaus-Dieter

    2016-03-01

    Advanced process photolithography masks require more and more controls for registration versus design and critical dimension uniformity (CDU). The distribution of the measurement points should be distributed all over the whole mask and may be denser in areas critical to wafer overlay requirements. This means that some, if not many, of theses controls should be made inside the customer die and may use non-dedicated patterns. It is then mandatory to access the original layout database to select patterns for the metrology process. Finding hundreds of relevant patterns in a database containing billions of polygons may be possible, but in addition, it is mandatory to create the complete metrology job fast and reliable. Combining, on one hand, a software expertise in mask databases processing and, on the other hand, advanced skills in control and registration equipment, we have developed a Mask Dataprep Station able to select an appropriate number of measurement targets and their positions in a huge database and automatically create measurement jobs on the corresponding area on the mask for the registration metrology system. In addition, the required design clips are generated from the database in order to perform the rendering procedure on the metrology system. This new methodology has been validated on real production line for the most advanced process. This paper presents the main challenges that we have faced, as well as some results on the global performances.

  12. An Electrophysiological Investigation of Early Effects of Masked Morphological Priming

    ERIC Educational Resources Information Center

    Morris, Joanna; Grainger, Jonathan; Holcomb, Phillip J.

    2008-01-01

    This experiment examined event-related responses to targets preceded by semantically transparent morphologically related primes (e.g., farmer-farm), semantically opaque primes with an apparent morphological relation (corner-corn), and orthographically, but not morphologically, related primes (scandal-scan) using the masked priming technique…

  13. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    PubMed

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. A new approach in dry technology for non-degrading optical and EUV mask cleaning

    NASA Astrophysics Data System (ADS)

    Varghese, Ivin; Smith, Ben; Balooch, Mehdi; Bowers, Chuck

    2012-11-01

    The Eco-Snow Systems group of RAVE N.P., Inc. has developed a new cleaning technique to target several of the advanced and next generation mask clean challenges. This new technique, especially when combined with Eco-Snow Systems cryogenic CO2 cleaning technology, provides several advantages over existing methods because it: 1) is solely based on dry technique without requiring additional complementary aggressive wet chemistries that degrade the mask, 2) operates at atmospheric pressure and therefore avoids expensive and complicated equipment associated with vacuum systems, 3) generates ultra-clean reactants eliminating possible byproduct adders, 4) can be applied locally for site specific cleaning without exposing the rest of the mask or can be used to clean the entire mask, 5) removes organic as well as inorganic particulates and film contaminations, and 6) complements current techniques utilized for cleaning of advanced masks such as reduced chemistry wet cleans. In this paper, we shall present examples demonstrating the capability of this new technique for removal of pellicle glue residues and for critical removal of carbon contamination on EUV masks.

  15. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    PubMed

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  16. Characteristics and issues of an EUVL mask applying phase-shifting thinner absorber for device fabrication

    NASA Astrophysics Data System (ADS)

    Seo, Hwan-Seok; Lee, Dong-Gun; Ahn, Byung-Sup; Han, Hakseung; Huh, Sungmin; Kang, In-Yong; Kim, Hoon; Kim, Dongwan; Kim, Seong-Sue; Cho, Han-Ku

    2009-03-01

    Phase-shifting EUVL masks applying thinner absorber are investigated to design optimum mask structure with less shadowing problems. Simulations using S-Litho show that H-V bias in Si capping structure is higher than that of Ru capping since the high n (= 0.999) of Si increases sensible absorber height. Phase differences obtained from the patterned masks using the EUV CSM are well-matched with the calculated values using the practical refractive index of absorber materials. Although the mask with 62.4-nm-thick absorber, among the in-house masks, shows the closest phase ΔΦ(= 176°) to the out-of-phase condition, higher NILS and contrast as well as lower H-V bias are obtained with 52.4-nm-thick absorber (ΔΦ = 151°) which has higher R/R0 ratio. MET results also show that lithography performances including MEEF, PW, and resist threshold (dose), are improved with thinner absorber structure. However, low OD in EUVL mask, especially in thinner absorber structure, results in light leakage from the neighboring exposure shots, and thus an appropriate light-shielding layer should be introduced.

  17. Assessment of a respiratory face mask for capturing air pollutants and pathogens including human influenza and rhinoviruses.

    PubMed

    Zhou, S Steve; Lukula, Salimatu; Chiossone, Cory; Nims, Raymond W; Suchmann, Donna B; Ijaz, M Khalid

    2018-03-01

    Prevention of infection with airborne pathogens and exposure to airborne particulates and aerosols (environmental pollutants and allergens) can be facilitated through use of disposable face masks. The effectiveness of such masks for excluding pathogens and pollutants is dependent on the intrinsic ability of the masks to resist penetration by airborne contaminants. This study evaluated the relative contributions of a mask, valve, and Micro Ventilator on aerosol filtration efficiency of a new N95 respiratory face mask. The test mask was challenged, using standardized methods, with influenza A and rhinovirus type 14, bacteriophage ΦΧ174, Staphylococcus aureus ( S . aureus ), and model pollutants. The statistical significance of results obtained for different challenge microbial agents and for different mask configurations (masks with operational or nonoperational ventilation fans and masks with sealed Smart Valves) was assessed. The results demonstrate >99.7% efficiency of each test mask configuration for exclusion of influenza A virus, rhinovirus 14, and S . aureus and >99.3% efficiency for paraffin oil and sodium chloride (surrogates for PM 2.5 ). Statistically significant differences in effectiveness of the different mask configurations were not identified. The efficiencies of the masks for excluding smaller-size (i.e., rhinovirus and bacteriophage ΦΧ174) vs. larger-size microbial agents (influenza virus, S . aureus ) were not significantly different. The masks, with or without features intended for enhancing comfort, provide protection against both small- and large-size pathogens. Importantly, the mask appears to be highly efficient for filtration of pathogens, including influenza and rhinoviruses, as well as the fine particulates (PM 2.5 ) present in aerosols that represent a greater challenge for many types of dental and surgical masks. This renders this individual-use N95 respiratory mask an improvement over the former types of masks for protection against

  18. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli

    PubMed Central

    Laback, Bernhard; Savel, Sophie; Ystad, Sølvi; Balazs, Peter; Meunier, Sabine; Kronland-Martinet, Richard

    2016-01-01

    Many audio applications perform perception-based time-frequency (TF) analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain) using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1) with standard model parameters (i.e. without efferents), (2) with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other) effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using maximally

  19. Time course of dichoptic masking in normals and suppression in amblyopes.

    PubMed

    Zhou, Jiawei; McNeal, Suzanne; Babu, Raiju J; Baker, Daniel H; Bobier, William R; Hess, Robert F

    2014-04-17

    To better understand the relationship between dichoptic masking in normal vision and suppression in amblyopia we address three questions: First, what is the time course of dichoptic masking in normals and amblyopes? Second, is interocular suppression low-pass or band-pass in its spatial dependence? And third, in the above two regards, is dichoptic masking in normals different from amblyopic suppression? We measured the dependence of dichoptic masking in normal controls and amblyopes on the temporal duration of presentation under three conditions; monocular (the nontested eye-i.e., dominant eye of normals or nonamblyopic eye of amblyopes, being patched), dichoptic-luminance (the nontested eye seeing a mean luminance-i.e., a DC component) and dichoptic-contrast (the nontested eye seeing high-contrast visual noise). The subject had to detect a letter in the other eye, the contrast of which was varied. We found that threshold elevation relative to the patched condition occurred in both normals and amblyopes when the nontested eye saw either 1/f or band-pass filtered noise, but not just mean luminance (i.e., there was no masking from the DC component that corresponds to a channel responsive to a spatial frequency of 0 cyc/deg); longer presentation of the target (corresponding to lower temporal frequencies) produced greater threshold elevation. Dichoptic masking exhibits similar properties in both subject groups, being low-pass temporally and band-pass spatially, so that masking was greatest at the longest presentation durations and was not greatly affected by mean luminance in the nontested eye. Copyright 2014 The Association for Research in Vision and Ophthalmology, Inc.

  20. Evaluation of setup uncertainties for single-fraction SRS by comparing two different mask-creation methods

    NASA Astrophysics Data System (ADS)

    Baek, Jong Geun; Jang, Hyun Soo; Oh, Young Kee; Lee, Hyun Jeong; Kim, Eng Chan

    2015-07-01

    The purpose of this study was to evaluate the setup uncertainties for single-fraction stereotactic radiosurgery (SF-SRS) based on clinical data with two different mask-creation methods using pretreatment con-beam computed tomography imaging guidance. Dedicated frameless fixation Brain- LAB masks for 23 patients were created as a routine mask (R-mask) making method, as explained in the BrainLAB's user manual. Alternative masks (A-masks), which were created by modifying the cover range of the R-masks for the patient's head, were used for 23 patients. The systematic errors including these for each mask and stereotactic target localizer were analyzed, and the errors were calculated as the means ± standard deviations (SD) from the left-right (LR), superior-inferior (SI), anterior-posterior (AP), and yaw setup corrections. In addition, the frequencies of the threedimensional (3D) vector length were analyzed. The values of the mean setup corrections for the R-mask in all directions were < 0.7 mm and < 0.1°, whereas the magnitudes of the SDs were relatively large compared to the mean values. In contrast, the means and SDs of the A-mask were smaller than those for the R-mask with the exception of the SD in the AP direction. The means and SDs in the yaw rotational direction for the R-mask and the A-mask system were comparable. 3D vector shifts of larger magnitude occurred more frequently for the R-mask than the A-mask. The setup uncertainties for each mask with the stereotactic localizing system had an asymmetric offset towards the positive AP direction. The A-mask-creation method, which is capable of covering the top of the patient's head, is superior to that for the R-mask, so the use of the A-mask is encouraged for SF-SRS to reduce the setup uncertainties. Moreover, careful mask-making is required to prevent possible setup uncertainties.

  1. Target-site resistance to neonicotinoids.

    PubMed

    Crossthwaite, Andrew J; Rendine, Stefano; Stenta, Marco; Slater, Russell

    2014-10-01

    Neonicotinoid insecticides selectively target the invertebrate nicotinic acetylcholine receptor and disrupt excitatory cholinergic neurotransmission. First launched over 20 years ago, their broad pest spectrum, variety of application methods and relatively low risk to nontarget organisms have resulted in this class dominating the insecticide market with global annual sales in excess of $3.5 bn. This remarkable commercial success brings with it conditions in the field that favour selection of resistant phenotypes. A number of important pest species have been identified with mutations at the nicotinic acetylcholine receptor associated with insensitivity to neonicotinoids. The detailed characterization of these mutations has facilitated a greater understanding of the invertebrate nicotinic acetylcholine receptor.

  2. Characterization of 193-nm resists for optical mask manufacturing

    NASA Astrophysics Data System (ADS)

    Fosshaug, Hans; Paulsson, Adisa; Berzinsh, Uldis; Magnusson, Helena

    2004-12-01

    The push for smaller linewidths and tighter critical dimension (CD) budgets forced manufacturers of optical pattern generators to move from traditional i-line to deep ultraviolet (DUV) resist processing. Entering the DUV area was not without pain. The process conditions, especially exposure times of a few hours, put very tough demands on the resist material itself. However, today 248nm laser writers are fully operating using a resist process that exhibits the requested resolution, CD uniformity and environmental stability. The continuous demands of CD performance made Micronic to investigate suitable resist candidate materials for the next generation optical writer using 193nm excimer laser exposure. This paper reports on resist benchmarking of one commercial as well as several newly developed resists. The resists were investigated using a wafer scanner. The data obtained illustrate the current performance of 193nm photoresists, and further demonstrate that despite good progress in resist formulation optimization, the status is still a bit from the required lithographic performance.

  3. Optical inspection of NGL masks

    NASA Astrophysics Data System (ADS)

    Pettibone, Donald W.; Stokowski, Stanley E.

    2004-12-01

    For the last five years KLA-Tencor and our joint venture partners have pursued a research program studying the ability of optical inspection tools to meet the inspection needs of possible NGL lithographies. The NGL technologies that we have studied include SCALPEL, PREVAIL, EUV lithography, and Step and Flash Imprint Lithography. We will discuss the sensitivity of the inspection tools and mask design factors that affect tool sensitivity. Most of the work has been directed towards EUV mask inspection and how to optimize the mask to facilitate inspection. Our partners have succeeded in making high contrast EUV masks ranging in contrast from 70% to 98%. Die to die and die to database inspection of EUV masks have been achieved with a sensitivity that is comparable to what can be achieved with conventional photomasks, approximately 80nm defect sensitivity. We have inspected SCALPEL masks successfully. We have found a limitation of optical inspection when applied to PREVAIL stencil masks. We have run inspections on SFIL masks in die to die, reflected light, in an effort to provide feedback to improve the masks. We have used a UV inspection system to inspect both unpatterned EUV substrates (no coatings) and blanks (with EUV multilayer coatings). These inspection results have proven useful in driving down the substrate and blank defect levels.

  4. Castration-resistant prostate cancer: targeted therapies.

    PubMed

    Leo, S; Accettura, C; Lorusso, V

    2011-01-01

    Castration-resistant prostate cancer (CRPC) refers to patients who no longer respond to surgical or medical castration. Standard treatment options are limited. To review the concepts and rationale behind targeted agents currently in late-stage clinical testing for patients with CRPC. Novel targeted therapies in clinical trials were identified from registries. The Medline database was searched for all relevant reports published from 1996 to October 2009. Bibliographies of the retrieved articles and major international meeting abstracts were hand-searched to identify additional studies. Advances in our understanding of the molecular mechanisms underlying prostate cancer (PCa) progression have translated into a variety of treatment approaches. Agents targeting androgen receptor activation and local steroidogenesis, angiogenesis, immunotherapy, apoptosis, chaperone proteins, the insulin-like growth factor (IGF) pathway, RANK ligand, endothelin receptors, and the Src family kinases are entering or have recently completed accrual to phase III trials for patients with CRPC. There has been an increase in the understanding of the mechanisms of progression of CRPC. A number of new agents targeting mechanisms of PCa progression with early promising results are in clinical trials and have the potential to provide novel treatment options for CRPC in the near future. Copyright © 2011 S. Karger AG, Basel.

  5. Lightweight Face Mask

    NASA Technical Reports Server (NTRS)

    Cason, W. E. I.; Baucom, R. M.; Evans, R. C.

    1982-01-01

    Lightweight face mask originally developed to protect epileptic patients during seizures could have many other medical and nonmedical applications such as muscular distrophy patients, football linesmen and riot-control police. Masks are extremely lightweight, the lightest of the configurations weighing only 136 grams.

  6. Versatile fabrication of paper-based microfluidic devices with high chemical resistance using scholar glue and magnetic masks.

    PubMed

    Cardoso, Thiago M G; de Souza, Fabrício R; Garcia, Paulo T; Rabelo, Denilson; Henry, Charles S; Coltro, Wendell K T

    2017-06-29

    Simple methods have been developed for fabricating microfluidic paper-based analytical devices (μPADs) but few of these devices can be used with organic solvents and/or aqueous solutions containing surfactants. This study describes a simple fabrication strategy for μPADs that uses readily available scholar glue to create the hydrophobic flow barriers that are resistant to surfactants and organic solvents. Microfluidic structures were defined by magnetic masks designed with either neodymium magnets or magnetic sheets to define the patter, and structures were created by spraying an aqueous solution of glue on the paper surface. The glue-coated paper was then exposed to UV/Vis light for cross-linking to maximize chemical resistance. Examples of microzone arrays and microfluidic devices are demonstrated. μPADs fabricated with scholar glue retained their barriers when used with surfactants, organic solvents, and strong/weak acids and bases unlike common wax-printed barriers. Paper microzones and microfluidic devices were successfully used for colorimetric assays of clinically relevant analytes commonly detected in urinalysis to demonstrate the low background of the barrier material and generally applicability to sensing. The proposed fabrication method is attractive for both its ability to be used with diverse chemistries and the low cost and simplicity of the materials and process. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Efficient three-dimensional resist profile-driven source mask optimization optical proximity correction based on Abbe-principal component analysis and Sylvester equation

    NASA Astrophysics Data System (ADS)

    Lin, Pei-Chun; Yu, Chun-Chang; Chen, Charlie Chung-Ping

    2015-01-01

    As one of the critical stages of a very large scale integration fabrication process, postexposure bake (PEB) plays a crucial role in determining the final three-dimensional (3-D) profiles and lessening the standing wave effects. However, the full 3-D chemically amplified resist simulation is not widely adopted during the postlayout optimization due to the long run-time and huge memory usage. An efficient simulation method is proposed to simulate the PEB while considering standing wave effects and resolution enhancement techniques, such as source mask optimization and subresolution assist features based on the Sylvester equation and Abbe-principal component analysis method. Simulation results show that our algorithm is 20× faster than the conventional Gaussian convolution method.

  8. New mask technology challenges

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2001-09-01

    Mask technology development has accelerated dramatically in recent years from the glacial pace of the last three decades to the rapid and sometimes simultaneous introductions of new wavelengths and mask-based resolution enhancement techniques. The nature of the semiconductor business has also become one driven by time-to-market as an overwhelming factor in capturing market share and profit. These are among the factors that have created enormous stress on the mask industry to produce masks with enhanced capabilities, such as phase-shifting attenuators, sub-resolution assist bars, and optical proximity correction (OPC) features, while maintaining or reducing cost and cycle time. The mask can no longer be considered a commodity item that is purchased form the lowest-cost supplier. Instead, it must now be promoted as an integral part of the technical and business case for a total lithographic solution. Improving partnership between designer, mask-maker, and wafer lithographer will be the harbinger of success in finding a profitable balance of capability, cost, and cycle time. Likewise for equipment infrastructure development, stronger partnership on the international level is necessary to control development cost and mitigate schedule and technical risks.

  9. Mask Industry Assessment: 2011

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2011-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the tenth in the current series of annual reports. With ongoing industry support, the report has been used as one of the baselines to gain perspective on the technical and business status of the mask and microelectronics industries. It continues to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was essentially the same as the 2005 through 2010 surveys. Questions are grouped into following categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  10. Mask Industry Assessment: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David Y.

    2010-09-01

    A survey created supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the ninth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. It will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was basically the same as the 2005 through 2009 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  11. Mask quality assessment

    NASA Astrophysics Data System (ADS)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  12. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    NASA Astrophysics Data System (ADS)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch <=65 nm is placing greater demands on the mask. Strong resolution enhancement techniques (RETs), such as embedded and alternating phase shift masks and complex model-based optical proximity correction, are required to compensate for diffraction and limited depth of focus (DOF). To fabricate these masks, many new or upgraded tools are required to write patterns, measure feature sizes and placement, inspect for defects, review defect printability and repair defects on these masks. Beyond the significant technical challenges, suppliers of mask fabrication equipment face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper

  13. Inexpensive Masks for Film Deposition

    NASA Technical Reports Server (NTRS)

    Conley, W. R.

    1986-01-01

    Sputtered sprayed lines less than 2 millimeters wide made by superimposing masks with partially overlapping openings. Slits first cut in masks by stamping or other economical process. Masks superimposed so slits define new openings narrower than original slits.

  14. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-01-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the seventh in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2007. Questions are grouped into seven categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns, and Services. (Examples are given below). Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  15. Masking Strategies for Image Manifolds.

    PubMed

    Dadkhahi, Hamid; Duarte, Marco F

    2016-07-07

    We consider the problem of selecting an optimal mask for an image manifold, i.e., choosing a subset of the pixels of the image that preserves the manifold's geometric structure present in the original data. Such masking implements a form of compressive sensing through emerging imaging sensor platforms for which the power expense grows with the number of pixels acquired. Our goal is for the manifold learned from masked images to resemble its full image counterpart as closely as possible. More precisely, we show that one can indeed accurately learn an image manifold without having to consider a large majority of the image pixels. In doing so, we consider two masking methods that preserve the local and global geometric structure of the manifold, respectively. In each case, the process of finding the optimal masking pattern can be cast as a binary integer program, which is computationally expensive but can be approximated by a fast greedy algorithm. Numerical experiments show that the relevant manifold structure is preserved through the datadependent masking process, even for modest mask sizes.

  16. Broad targeting of resistance to apoptosis in cancer

    PubMed Central

    Mohammad, Ramzi M.; Muqbil, Irfana; Lowe, Leroy; Yedjou, Clement; Hsu, Hsue-Yin; Lin, Liang-Tzung; Siegelin, Markus David; Fimognari, Carmela; Kumar, Nagi B.; Dou, Q. Ping; Yang, Huanjie; Samadi, Abbas K.; Russo, Gian Luigi; Spagnuolo, Carmela; Ray, Swapan K.; Chakrabarti, Mrinmay; Morre, James D.; Coley, Helen M.; Honoki, Kanya; Fujii, Hiromasa; Georgakilas, Alexandros G.; Amedei, Amedeo; Niccolai, Elena; Amin, Amr; Ashraf, S. Salman; Helferich, William G.; Yang, Xujuan; Boosani, Chandra S.; Guha, Gunjan; Bhakta, Dipita; Ciriolo, Maria Rosa; Aquilano, Katia; Chen, Sophie; Mohammed, Sulma I.; Keith, W. Nicol; Bilsland, Alan; Halicka, Dorota; Nowsheen, Somaira; Azmi, Asfar S.

    2015-01-01

    Apoptosis or programmed cell death is natural way of removing aged cells from the body. Most of the anti-cancer therapies trigger apoptosis induction and related cell death networks to eliminate malignant cells. However, in cancer, de-regulated apoptotic signaling, particularly the activation of an anti-apoptotic systems, allows cancer cells to escape this program leading to uncontrolled proliferation resulting in tumor survival, therapeutic resistance and recurrence of cancer. This resistance is a complicated phenomenon that emanates from the interactions of various molecules and signaling pathways. In this comprehensive review we discuss the various factors contributing to apoptosis resistance in cancers. The key resistance targets that are discussed include (1) Bcl-2 and Mcl-1 proteins; (2) autophagy processes; (3) necrosis and necroptosis; (4) heat shock protein signaling; (5) the proteasome pathway; (6) epigenetic mechanisms; and (7) aberrant nuclear export signaling. The shortcomings of current therapeutic modalities are highlighted and a broad spectrum strategy using approaches including (a) gossypol; (b) epigallocatechin-3-gallate; (c) UMI-77 (d) triptolide and (e) selinexor that can be used to overcome cell death resistance is presented. This review provides a roadmap for the design of successful anti-cancer strategies that overcome resistance to apoptosis for better therapeutic outcome in patients with cancer. PMID:25936818

  17. Penetration of diesel exhaust particles through commercially available dust half masks.

    PubMed

    Penconek, Agata; Drążyk, Paulina; Moskal, Arkadiusz

    2013-04-01

    Half masks are certified by the competent, national institutions--National Institute for Occupational Safety and Health (NIOSH) in the USA and the respective European national institutions applying common European regulations. However, certification testing is conducted with particles of NaCl, paraffin oil, or dioctyl phthalate (DOP) and at the constant flow rate, whereas particles commonly found in workplaces may differ in size, shape, and morphology from these particles. Therefore, the aim of this study was to investigate filtration efficiency of commercially available filtering facepiece half masks under the condition of exposure to diesel fumes. In this study, we focused on the particulate phase [diesel exhaust particles (DEP)] of three (petroleum diesel, ecodiesel, and biodiesel) diesel fuel combustion types. Two types of European standard-certified half masks, FFP2 and FFP - Filtering Facepiece, and three types of popular diesel fuels were tested. The study showed that the filtration efficiencies for each examined half mask and for each of diesel exhaust fumes were lower than the minimum filtration efficiency required for the standard test aerosols by the European standards. For FFP2 and FFP3 particulate half masks, standard minimum filtration efficiency is 94 and 99%, respectively, whereas 84-89% of mass of DEP from various fuels were filtered by the tested FFP2 and only 75-86% by the FFP3. The study indicated that DEP is more penetrating for these filters than the standard salt or paraffin oil test aerosols. The study also showed that the most penetrating DEP are probably in the 30- to 300-nm size range, regardless of the fuel type and the half-mask model. Finally, the pressure drops across both half masks during the 80-min tests remained below an acceptable maximum of breathing resistance-regardless of the fuel types. The respiratory system, during 40-min test exposures, may be exposed to 12-16mg of DEP if a FFP2 or FFP3 particulate half mask is used. To

  18. Cell-Specific Establishment of Poliovirus Resistance to an Inhibitor Targeting a Cellular Protein

    PubMed Central

    Viktorova, Ekaterina G.; Nchoutmboube, Jules; Ford-Siltz, Lauren A.

    2015-01-01

    ABSTRACT It is hypothesized that targeting stable cellular factors involved in viral replication instead of virus-specific proteins may raise the barrier for development of resistant mutants, which is especially important for highly adaptable small (+)RNA viruses. However, contrary to this assumption, the accumulated evidence shows that these viruses easily generate mutants resistant to the inhibitors of cellular proteins at least in some systems. We investigated here the development of poliovirus resistance to brefeldin A (BFA), an inhibitor of the cellular protein GBF1, a guanine nucleotide exchange factor for the small cellular GTPase Arf1. We found that while resistant viruses can be easily selected in HeLa cells, they do not emerge in Vero cells, in spite that in the absence of the drug both cultures support robust virus replication. Our data show that the viral replication is much more resilient to BFA than functioning of the cellular secretory pathway, suggesting that the role of GBF1 in the viral replication is independent of its Arf activating function. We demonstrate that the level of recruitment of GBF1 to the replication complexes limits the establishment and expression of a BFA resistance phenotype in both HeLa and Vero cells. Moreover, the BFA resistance phenotype of poliovirus mutants is also cell type dependent in different cells of human origin and results in a fitness loss in the form of reduced efficiency of RNA replication in the absence of the drug. Thus, a rational approach to the development of host-targeting antivirals may overcome the superior adaptability of (+)RNA viruses. IMPORTANCE Compared to the number of viral diseases, the number of available vaccines is miniscule. For some viruses vaccine development has not been successful after multiple attempts, and for many others vaccination is not a viable option. Antiviral drugs are needed for clinical practice and public health emergencies. However, viruses are highly adaptable and can

  19. EUVL Mask Blank Repair

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barty, A; Mirkarimi, P; Stearns, D G

    2002-05-22

    EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect they present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variationsmore » in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that this technology will be extended to the repair of absorber defects in EUVL masks. However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper they present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.« less

  20. Differential detergent resistance of the apical and basolateral NPPases: relationship with polarized targeting.

    PubMed

    Delaunay, Jean-Louis; Breton, Michelyne; Goding, James W; Trugnan, Germain; Maurice, Michèle

    2007-03-15

    Targeting of glycosylphosphatidylinositol-anchored proteins to the apical surface of epithelial cells involves clustering in Triton X-100-resistant membrane microdomains or rafts. The role of these microdomains in sorting transmembrane proteins is more questionable because, unlike glycosylphosphatidylinositol-anchored proteins, apical transmembrane proteins are rather soluble in Triton X-100. They are, however, resistant to milder detergents such as Lubrol WX or Tween 20. It has been proposed that specific membrane microdomains, defined by resistance to these detergents, would carry transmembrane proteins to the apical surface. We have used MDCK cells stably transfected with the apical and basolateral pyrophosphatases/phosphodiesterases, NPP3 and NPP1, to examine the relationship between detergent resistance and apical targeting. The apically expressed wild-type NPP3 was insoluble in Lubrol WX whereas wild-type NPP1, which is expressed basolaterally, was essentially soluble. By using tail mutants and chimeric constructs that combine the cytoplasmic, transmembrane and extracellular domains of NPP1 and NPP3, we show that there is not a strict correlation between detergent resistance and apical targeting. Lubrol resistance is an intrinsic property of NPP3, which is acquired early during the biosynthetic process irrespective of its final destination, and depends on positively charged residues in its cytoplasmic tail.

  1. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    PubMed

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  2. mTOR target NDRG1 confers MGMT-dependent resistance to alkylating chemotherapy.

    PubMed

    Weiler, Markus; Blaes, Jonas; Pusch, Stefan; Sahm, Felix; Czabanka, Marcus; Luger, Sebastian; Bunse, Lukas; Solecki, Gergely; Eichwald, Viktoria; Jugold, Manfred; Hodecker, Sibylle; Osswald, Matthias; Meisner, Christoph; Hielscher, Thomas; Rübmann, Petra; Pfenning, Philipp-Niklas; Ronellenfitsch, Michael; Kempf, Tore; Schnölzer, Martina; Abdollahi, Amir; Lang, Florian; Bendszus, Martin; von Deimling, Andreas; Winkler, Frank; Weller, Michael; Vajkoczy, Peter; Platten, Michael; Wick, Wolfgang

    2014-01-07

    A hypoxic microenvironment induces resistance to alkylating agents by activating targets in the mammalian target of rapamycin (mTOR) pathway. The molecular mechanisms involved in this mTOR-mediated hypoxia-induced chemoresistance, however, are unclear. Here we identify the mTOR target N-myc downstream regulated gene 1 (NDRG1) as a key determinant of resistance toward alkylating chemotherapy, driven by hypoxia but also by therapeutic measures such as irradiation, corticosteroids, and chronic exposure to alkylating agents via distinct molecular routes involving hypoxia-inducible factor (HIF)-1alpha, p53, and the mTOR complex 2 (mTORC2)/serum glucocorticoid-induced protein kinase 1 (SGK1) pathway. Resistance toward alkylating chemotherapy but not radiotherapy was dependent on NDRG1 expression and activity. In posttreatment tumor tissue of patients with malignant gliomas, NDRG1 was induced and predictive of poor response to alkylating chemotherapy. On a molecular level, NDRG1 bound and stabilized methyltransferases, chiefly O(6)-methylguanine-DNA methyltransferase (MGMT), a key enzyme for resistance to alkylating agents in glioblastoma patients. In patients with glioblastoma, MGMT promoter methylation in tumor tissue was not more predictive for response to alkylating chemotherapy in patients who received concomitant corticosteroids.

  3. mTOR target NDRG1 confers MGMT-dependent resistance to alkylating chemotherapy

    PubMed Central

    Weiler, Markus; Blaes, Jonas; Pusch, Stefan; Sahm, Felix; Czabanka, Marcus; Luger, Sebastian; Bunse, Lukas; Solecki, Gergely; Eichwald, Viktoria; Jugold, Manfred; Hodecker, Sibylle; Osswald, Matthias; Meisner, Christoph; Hielscher, Thomas; Rübmann, Petra; Pfenning, Philipp-Niklas; Ronellenfitsch, Michael; Kempf, Tore; Schnölzer, Martina; Abdollahi, Amir; Lang, Florian; Bendszus, Martin; von Deimling, Andreas; Winkler, Frank; Weller, Michael; Vajkoczy, Peter; Platten, Michael; Wick, Wolfgang

    2014-01-01

    A hypoxic microenvironment induces resistance to alkylating agents by activating targets in the mammalian target of rapamycin (mTOR) pathway. The molecular mechanisms involved in this mTOR-mediated hypoxia-induced chemoresistance, however, are unclear. Here we identify the mTOR target N-myc downstream regulated gene 1 (NDRG1) as a key determinant of resistance toward alkylating chemotherapy, driven by hypoxia but also by therapeutic measures such as irradiation, corticosteroids, and chronic exposure to alkylating agents via distinct molecular routes involving hypoxia-inducible factor (HIF)-1alpha, p53, and the mTOR complex 2 (mTORC2)/serum glucocorticoid-induced protein kinase 1 (SGK1) pathway. Resistance toward alkylating chemotherapy but not radiotherapy was dependent on NDRG1 expression and activity. In posttreatment tumor tissue of patients with malignant gliomas, NDRG1 was induced and predictive of poor response to alkylating chemotherapy. On a molecular level, NDRG1 bound and stabilized methyltransferases, chiefly O6-methylguanine-DNA methyltransferase (MGMT), a key enzyme for resistance to alkylating agents in glioblastoma patients. In patients with glioblastoma, MGMT promoter methylation in tumor tissue was not more predictive for response to alkylating chemotherapy in patients who received concomitant corticosteroids. PMID:24367102

  4. Mask pattern generator employing EPL technology

    NASA Astrophysics Data System (ADS)

    Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro

    2003-08-01

    Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.

  5. Learning target masks in infrared linescan imagery

    NASA Astrophysics Data System (ADS)

    Fechner, Thomas; Rockinger, Oliver; Vogler, Axel; Knappe, Peter

    1997-04-01

    In this paper we propose a neural network based method for the automatic detection of ground targets in airborne infrared linescan imagery. Instead of using a dedicated feature extraction stage followed by a classification procedure, we propose the following three step scheme: In the first step of the recognition process, the input image is decomposed into its pyramid representation, thus obtaining a multiresolution signal representation. At the lowest three levels of the Laplacian pyramid a neural network filter of moderate size is trained to indicate the target location. The last step consists of a fusion process of the several neural network filters to obtain the final result. To perform this fusion we use a belief network to combine the various filter outputs in a statistical meaningful way. In addition, the belief network allows the integration of further knowledge about the image domain. By applying this multiresolution recognition scheme, we obtain a nearly scale- and rotational invariant target recognition with a significantly decreased false alarm rate compared with a single resolution target recognition scheme.

  6. Linguistic contributions to speech-on-speech masking for native and non-native listeners: language familiarity and semantic content.

    PubMed

    Brouwer, Susanne; Van Engen, Kristin J; Calandruccio, Lauren; Bradlow, Ann R

    2012-02-01

    This study examined whether speech-on-speech masking is sensitive to variation in the degree of similarity between the target and the masker speech. Three experiments investigated whether speech-in-speech recognition varies across different background speech languages (English vs Dutch) for both English and Dutch targets, as well as across variation in the semantic content of the background speech (meaningful vs semantically anomalous sentences), and across variation in listener status vis-à-vis the target and masker languages (native, non-native, or unfamiliar). The results showed that the more similar the target speech is to the masker speech (e.g., same vs different language, same vs different levels of semantic content), the greater the interference on speech recognition accuracy. Moreover, the listener's knowledge of the target and the background language modulate the size of the release from masking. These factors had an especially strong effect on masking effectiveness in highly unfavorable listening conditions. Overall this research provided evidence that that the degree of target-masker similarity plays a significant role in speech-in-speech recognition. The results also give insight into how listeners assign their resources differently depending on whether they are listening to their first or second language. © 2012 Acoustical Society of America

  7. Linguistic contributions to speech-on-speech masking for native and non-native listeners: Language familiarity and semantic content

    PubMed Central

    Brouwer, Susanne; Van Engen, Kristin J.; Calandruccio, Lauren; Bradlow, Ann R.

    2012-01-01

    This study examined whether speech-on-speech masking is sensitive to variation in the degree of similarity between the target and the masker speech. Three experiments investigated whether speech-in-speech recognition varies across different background speech languages (English vs Dutch) for both English and Dutch targets, as well as across variation in the semantic content of the background speech (meaningful vs semantically anomalous sentences), and across variation in listener status vis-à-vis the target and masker languages (native, non-native, or unfamiliar). The results showed that the more similar the target speech is to the masker speech (e.g., same vs different language, same vs different levels of semantic content), the greater the interference on speech recognition accuracy. Moreover, the listener’s knowledge of the target and the background language modulate the size of the release from masking. These factors had an especially strong effect on masking effectiveness in highly unfavorable listening conditions. Overall this research provided evidence that that the degree of target-masker similarity plays a significant role in speech-in-speech recognition. The results also give insight into how listeners assign their resources differently depending on whether they are listening to their first or second language. PMID:22352516

  8. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea

    PubMed Central

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A.; Landry, Shane; Mansfield, Darren R.; Hamilton, Garun S.

    2016-01-01

    Study Objectives: Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Methods: Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Results: Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10–15.5) cm H2O compared to nasal pillow masks, 11 (8–12.5) cm H2O and nasal masks, 10 (8–12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R2 = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5–8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Conclusions: Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. Commentary: A commentary on this article appears in this issue on page 1209. Citation: Deshpande S, Joosten S, Turton A, Edwards BA, Landry S, Mansfield DR, Hamilton GS. Oronasal masks require a higher pressure than nasal and

  9. Assessment of a respiratory face mask for capturing air pollutants and pathogens including human influenza and rhinoviruses

    PubMed Central

    Zhou, S. Steve; Lukula, Salimatu; Chiossone, Cory; Nims, Raymond W.; Suchmann, Donna B.

    2018-01-01

    Background Prevention of infection with airborne pathogens and exposure to airborne particulates and aerosols (environmental pollutants and allergens) can be facilitated through use of disposable face masks. The effectiveness of such masks for excluding pathogens and pollutants is dependent on the intrinsic ability of the masks to resist penetration by airborne contaminants. This study evaluated the relative contributions of a mask, valve, and Micro Ventilator on aerosol filtration efficiency of a new N95 respiratory face mask. Methods The test mask was challenged, using standardized methods, with influenza A and rhinovirus type 14, bacteriophage ΦΧ174, Staphylococcus aureus (S. aureus), and model pollutants. The statistical significance of results obtained for different challenge microbial agents and for different mask configurations (masks with operational or nonoperational ventilation fans and masks with sealed Smart Valves) was assessed. Results The results demonstrate >99.7% efficiency of each test mask configuration for exclusion of influenza A virus, rhinovirus 14, and S. aureus and >99.3% efficiency for paraffin oil and sodium chloride (surrogates for PM2.5). Statistically significant differences in effectiveness of the different mask configurations were not identified. The efficiencies of the masks for excluding smaller-size (i.e., rhinovirus and bacteriophage ΦΧ174) vs. larger-size microbial agents (influenza virus, S. aureus) were not significantly different. Conclusions The masks, with or without features intended for enhancing comfort, provide protection against both small- and large-size pathogens. Importantly, the mask appears to be highly efficient for filtration of pathogens, including influenza and rhinoviruses, as well as the fine particulates (PM2.5) present in aerosols that represent a greater challenge for many types of dental and surgical masks. This renders this individual-use N95 respiratory mask an improvement over the former types of

  10. UDOF direct improvement by modulating mask absorber thickness

    NASA Astrophysics Data System (ADS)

    Yu, Tuan-Yen; Lio, En Chuan; Chen, Po Tsang; Wei, Chih I.; Chen, Yi Ting; Peng, Ming Chun; Chou, William; Yu, Chun Chi

    2016-10-01

    As the process generation migrate to advanced and smaller dimension or pitch, the mask and resist 3D effects will impact the lithography focus common window severely because of both individual depth-of-focus (iDOF) range decrease and center mismatch. Furthermore, some chemical or thermal factors, such as PEB (Post Exposure Bake) also worsen the usable depth-of-focus (uDOF) performance. So the mismatch of thru-pitch iDOF center should be considered as a lithography process integration issue, and more complicated to partition the 3D effects induced by optical or chemical factors. In order to reduce the impact of 3D effects induced by both optical and chemical issues, and improve iDOF center mismatch, we would like to propose a mask absorber thickness offset approach, which is directly to compensate the iDOF center bias by adjusting mask absorber thickness, for iso, semi-iso or dense characteristics in line, space or via patterns to enlarge common process window, i.e uDOF, which intends to provide similar application as Flexwave[1] (ASML trademark). By the way, since mask absorber thickness offset approach is similar to focus tuning or change on wafer lithography process, it could be acted as the process tuning method of photoresist (PR) profile optimization locally, PR scum improvement in specific patterns or to modulate etching bias to meet process integration request. For mass production consideration, and available material, current att-PSM blank, quartz, MoSi with chrome layer as hard-mask in reticle process, will be implemented in this experiment, i.e. chrome will be kept remaining above partial thru-pitch patterns, and act as the absorber thickness bias in different patterns. And then, from the best focus offset of thru-pitch patterns, the iDOF center shifts could be directly corrected and to enlarge uDOF by increasing the overlap of iDOF. Finally, some negative tone development (NTD) result in line patterns will be demonstrated as well.

  11. Targeting Antibiotic Resistance

    PubMed Central

    Chellat, Mathieu F.; Raguž, Luka

    2016-01-01

    Abstract Finding strategies against the development of antibiotic resistance is a major global challenge for the life sciences community and for public health. The past decades have seen a dramatic worldwide increase in human‐pathogenic bacteria that are resistant to one or multiple antibiotics. More and more infections caused by resistant microorganisms fail to respond to conventional treatment, and in some cases, even last‐resort antibiotics have lost their power. In addition, industry pipelines for the development of novel antibiotics have run dry over the past decades. A recent world health day by the World Health Organization titled “Combat drug resistance: no action today means no cure tomorrow” triggered an increase in research activity, and several promising strategies have been developed to restore treatment options against infections by resistant bacterial pathogens. PMID:27000559

  12. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea.

    PubMed

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A; Landry, Shane; Mansfield, Darren R; Hamilton, Garun S

    2016-09-15

    Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10-15.5) cm H2O compared to nasal pillow masks, 11 (8-12.5) cm H2O and nasal masks, 10 (8-12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R(2) = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5-8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. A commentary on this article appears in this issue on page 1209. © 2016 American Academy of Sleep Medicine.

  13. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    NASA Astrophysics Data System (ADS)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  14. Targeting the HER family with Pan-HER effectively overcomes resistance to cetuximab

    PubMed Central

    Iida, Mari; Bahrar, Harsh; Brand, Toni M; Pearson, Hannah E; Coan, John P; Orbuch, Rachel A; Flanigan, Bailey G; Swick, Adam D; Prabakaran, Prashanth; Lantto, Johan; Horak, Ivan D.; Kragh, Michael; Salgia, Ravi; Kimple, Randy J; Wheeler, Deric L

    2016-01-01

    Cetuximab, an antibody against the Epidermal Growth Factor Receptor (EGFR) has shown efficacy in treating head and neck squamous cell carcinoma (HNSCC), metastatic colorectal cancer and non-small cell lung cancer (NSCLC). Despite the clinical success of cetuximab, many patients do not respond to cetuximab. Furthermore, virtually all patients who do initially respond become refractory, highlighting both intrinsic and acquired resistance to cetuximab as significant clinical problems. To understand mechanistically how cancerous cells acquire resistance, we previously developed models of acquired resistance using the H226 NSCLC and UM-SCC1 HNSCC cell lines. Cetuximab-resistant clones showed a robust upregulation and dependency on the HER family receptors EGFR, HER2 and HER3. Here, we examined Pan-HER, a mixture of six antibodies targeting these receptors on cetuximab-resistant clones. In cells exhibiting acquired or intrinsic resistance to cetuximab, Pan-HER treatment decreased all three receptors’ protein levels and down-stream activation of AKT and MAPK. This correlated with decreased cell proliferation in cetuximab-resistant clones. To determine whether Pan-HER had a therapeutic benefit in vivo, we established de novo cetuximab-resistant mouse xenografts and treated resistant tumors with Pan-HER. This regimen resulted in a superior growth delay of cetuximab-resistant xenografts compared to mice continued on cetuximab. Furthermore, intrinsically cetuximab-resistant HNSCC patient-derived xenograft tumors treated with Pan-HER exhibited significant growth delay compared to vehicle/cetuximab controls. These results suggest that targeting HER family receptors simultaneously with Pan-HER is a promising treatment strategy for tumors displaying intrinsic or acquired resistance to cetuximab. PMID:27422810

  15. Glyphosate resistance in Ambrosia trifida: Part 2. Rapid response physiology and non-target-site resistance.

    PubMed

    Moretti, Marcelo L; Van Horn, Christopher R; Robertson, Renae; Segobye, Kabelo; Weller, Stephen C; Young, Bryan G; Johnson, William G; Douglas Sammons, R; Wang, Dafu; Ge, Xia; d' Avignon, André; Gaines, Todd A; Westra, Philip; Green, Amanda C; Jeffery, Taylor; Lespérance, Mackenzie A; Tardif, François J; Sikkema, Peter H; Christopher Hall, J; McLean, Michael D; Lawton, Mark B; Schulz, Burkhard

    2018-05-01

    The glyphosate-resistant rapid response (GR RR) resistance mechanism in Ambrosia trifida is not due to target-site resistance (TSR) mechanisms. This study explores the physiology of the rapid response and the possibility of reduced translocation and vacuolar sequestration as non-target-site resistance (NTSR) mechanisms. GR RR leaf discs accumulated hydrogen peroxide within minutes of glyphosate exposure, but only in mature leaf tissue. The rapid response required energy either as light or exogenous sucrose. The combination of phenylalanine and tyrosine inhibited the rapid response in a dose-dependent manner. Reduced glyphosate translocation was observed in GR RR, but only when associated with tissue death caused by the rapid response. Nuclear magnetic resonance studies indicated that glyphosate enters the cytoplasm and reaches chloroplasts, and it is not moved into the vacuole of GR RR, GR non-rapid response or glyphosate-susceptible A. trifida. The GR RR mechanism of resistance is not associated with vacuole sequestration of glyphosate, and the observed reduced translocation is likely a consequence of rapid tissue death. Rapid cell death was inhibited by exogenous application of aromatic amino acids phenylalanine and tyrosine. The mechanism by which these amino acids inhibit rapid cell death in the GR RR phenotype remains unknown, and it could involve glyphosate phytotoxicity or other agents generating reactive oxygen species. Implications of these findings are discussed. The GR RR mechanism is distinct from the currently described glyphosate TSR or NTSR mechanisms in other species. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  16. The Attentional Blink Is Not Affected by Backward Masking of T2, T2-Mask SOA, or Level of T2 Impoverishment

    ERIC Educational Resources Information Center

    Jannati, Ali; Spalek, Thomas M.; Lagroix, Hayley E. P.; Di Lollo, Vincent

    2012-01-01

    Identification of the second of two targets (T2) is impaired when presented shortly after the first (T1). This "attentional blink" (AB) is thought to arise from a delay in T2 processing during which T2 is vulnerable to masking. Conventional studies have measured T2 accuracy which is constrained by the 100% ceiling. We avoided this problem by using…

  17. High brightness electrodeless Z-Pinch EUV source for mask inspection tools

    NASA Astrophysics Data System (ADS)

    Horne, Stephen F.; Partlow, Matthew J.; Gustafson, Deborah S.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2012-03-01

    Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 1995. The source is currently being used for metrology, mask inspection, and resist development. Energetiq's higher brightness source has been selected as the source for pre-production actinic mask inspection tools. This improved source enables the mask inspection tool suppliers to build prototype tools with capabilities of defect detection and review down to 16nm design rules. In this presentation we will present new source technology being developed at Energetiq to address the critical source brightness issue. The new technology will be shown to be capable of delivering brightness levels sufficient to meet the HVM requirements of AIMS and ABI and potentially API tools. The basis of the source technology is to use the stable pinch of the electrodeless light source and have a brightness of up to 100W/mm(carat)2-sr. We will explain the source design concepts, discuss the expected performance and present the modeling results for the new design.

  18. Attentional modulation of informational masking on early cortical representations of speech signals.

    PubMed

    Zhang, Changxin; Arnott, Stephen R; Rabaglia, Cristina; Avivi-Reich, Meital; Qi, James; Wu, Xihong; Li, Liang; Schneider, Bruce A

    2016-01-01

    To recognize speech in a noisy auditory scene, listeners need to perceptually segregate the target talker's voice from other competing sounds (stream segregation). A number of studies have suggested that the attentional demands placed on listeners increase as the acoustic properties and informational content of the competing sounds become more similar to that of the target voice. Hence we would expect attentional demands to be considerably greater when speech is masked by speech than when it is masked by steady-state noise. To investigate the role of attentional mechanisms in the unmasking of speech sounds, event-related potentials (ERPs) were recorded to a syllable masked by noise or competing speech under both active (the participant was asked to respond when the syllable was presented) or passive (no response was required) listening conditions. The results showed that the long-latency auditory response to a syllable (/bi/), presented at different signal-to-masker ratios (SMRs), was similar in both passive and active listening conditions, when the masker was a steady-state noise. In contrast, a switch from the passive listening condition to the active one, when the masker was two-talker speech, significantly enhanced the ERPs to the syllable. These results support the hypothesis that the need to engage attentional mechanisms in aid of scene analysis increases as the similarity (both acoustic and informational) between the target speech and the competing background sounds increases. Copyright © 2015 Elsevier B.V. All rights reserved.

  19. Coded mask telescopes for X-ray astronomy

    NASA Astrophysics Data System (ADS)

    Skinner, G. K.; Ponman, T. J.

    1987-04-01

    The principle of the coded mask techniques are discussed together with the methods of image reconstruction. The coded mask telescopes built at the University of Birmingham, including the SL 1501 coded mask X-ray telescope flown on the Skylark rocket and the Coded Mask Imaging Spectrometer (COMIS) projected for the Soviet space station Mir, are described. A diagram of a coded mask telescope and some designs for coded masks are included.

  20. Targeting Epithelial-Mesenchymal Transition (EMT) to Overcome Drug Resistance in Cancer.

    PubMed

    Du, Bowen; Shim, Joong Sup

    2016-07-22

    Epithelial-mesenchymal transition (EMT) is known to play an important role in cancer progression, metastasis and drug resistance. Although there are controversies surrounding the causal relationship between EMT and cancer metastasis, the role of EMT in cancer drug resistance has been increasingly recognized. Numerous EMT-related signaling pathways are involved in drug resistance in cancer cells. Cells undergoing EMT show a feature similar to cancer stem cells (CSCs), such as an increase in drug efflux pumps and anti-apoptotic effects. Therefore, targeting EMT has been considered a novel opportunity to overcome cancer drug resistance. This review describes the mechanism by which EMT contributes to drug resistance in cancer cells and summarizes new advances in research in EMT-associated drug resistance.

  1. An open-architecture approach to defect analysis software for mask inspection systems

    NASA Astrophysics Data System (ADS)

    Pereira, Mark; Pai, Ravi R.; Reddy, Murali Mohan; Krishna, Ravi M.

    2009-04-01

    Industry data suggests that Mask Inspection represents the second biggest component of Mask Cost and Mask Turn Around Time (TAT). Ever decreasing defect size targets lead to more sensitive mask inspection across the chip, thus generating too many defects. Hence, more operator time is being spent in analyzing and disposition of defects. Also, the fact that multiple Mask Inspection Systems and Defect Analysis strategies would typically be in use in a Mask Shop or a Wafer Foundry further complicates the situation. In this scenario, there is a need for a versatile, user friendly and extensible Defect Analysis software that reduces operator analysis time and enables correct classification and disposition of mask defects by providing intuitive visual and analysis aids. We propose a new vendor-neutral defect analysis software, NxDAT, based on an open architecture. The open architecture of NxDAT makes it easily extensible to support defect analysis for mask inspection systems from different vendors. The capability to load results from mask inspection systems from different vendors either directly or through a common interface enables the functionality of establishing correlation between inspections carried out by mask inspection systems from different vendors. This capability of NxDAT enhances the effectiveness of defect analysis as it directly addresses the real-life scenario where multiple types of mask inspection systems from different vendors co-exist in mask shops or wafer foundries. The open architecture also potentially enables loading wafer inspection results as well as loading data from other related tools such as Review Tools, Repair Tools, CD-SEM tools etc, and correlating them with the corresponding mask inspection results. A unique concept of Plug-In interface to NxDAT further enhances the openness of the architecture of NxDAT by enabling end-users to add their own proprietary defect analysis and image processing algorithms. The plug-in interface makes it

  2. Application of advanced structure to multi-tone mask for FPD process

    NASA Astrophysics Data System (ADS)

    Song, Jin-Han; Jeong, Jin-Woong; Kim, Kyu-Sik; Jeong, Woo-Gun; Yun, Sang-Pil; Lee, Dong-Heok; Choi, Sang-Soo

    2017-07-01

    In accordance with improvement of FPD technology, masks such as phase shift mask (PSM) and multi-tone mask (MTM) for a particular purpose also have been developed. Above all, the MTM consisted of more than tri-tone transmittance has a substantial advantage which enables to reduce the number of mask demand in FPD fabrication process contrast to normal mask of two-tone transmittance.[1,2] A chromium (Cr)-based MTM (Typically top type) is being widely employed because of convenience of etch process caused by its only Cr-based structure consisted of Cr absorber layer and Cr half-tone layer. However, the top type of Cr-based MTM demands two Cr sputtering processes after each layer etching process and writing process. For this reason, a different material from the Cr-based MTM is required for reduction of mask fabrication time and cost. In this study, we evaluate a MTM which has a structure combined Cr with molybdenum silicide (MoSi) to resolve the issues mentioned above. The MoSi which is demonstrated by integrated circuit (IC) process is a suitable material for MTM evaluation. This structure could realize multi-transmittance in common with the Cr-based MTM. Moreover, it enables to reduce the number of sputtering process. We investigate a optimized structure upon consideration of productivity along with performance such as critical dimension (CD) variation and transmittance range of each structure. The transmittance is targeted at h-line wavelength (405 nm) in the evaluation. Compared with Cr-based MTM, the performances of all Cr-/MoSi-based MTMs are considered.

  3. Central masking with bilateral cochlear implants

    PubMed Central

    Lin, Payton; Lu, Thomas; Zeng, Fan-Gang

    2013-01-01

    Across bilateral cochlear implants, contralateral threshold shift has been investigated as a function of electrode difference between the masking and probe electrodes. For contralateral electric masking, maximum threshold elevations occurred when the position of the masker and probe electrode was approximately place-matched across ears. The amount of masking diminished with increasing masker-probe electrode separation. Place-dependent masking occurred in both sequentially implanted ears, and was not affected by the masker intensity or the time delay from the masker onset. When compared to previous contralateral masking results in normal hearing, the similarities between place-dependent central masking patterns suggest comparable mechanisms of overlapping excitation in the central auditory nervous system. PMID:23363113

  4. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    PubMed

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  5. Invariant correlation to position and rotation using a binary mask applied to binary and gray images

    NASA Astrophysics Data System (ADS)

    Álvarez-Borrego, Josué; Solorza, Selene; Bueno-Ibarra, Mario A.

    2013-05-01

    In this paper more alternative ways to generate the binary ring masks are studied and a new methodology is presented when in the analysis the image come with some distortion due to rotation. This new algorithm requires low computational cost. Signature vectors of the target so like signature vectors of the object to be recognized in the problem image are obtained using a binary ring mask constructed in accordance with the real or the imaginary part of their Fourier transform analyzing two different conditions in each one. In this manner, each image target or problem image, will have four unique binary ring masks. The four ways are analyzed and the best is chosen. In addition, due to any image with rotation include some distortion, the best transect is chosen in the Fourier plane in order to obtain the best signature through the different ways to obtain the binary mask. This methodology is applied to two cases: to identify different types of alphabetic letters in Arial font and to identify different fossil diatoms images. Considering the great similarity between diatom images the results obtained are excellent.

  6. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    PubMed

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants <33 weeks gestational age in the delivery room. Infants born at the Royal Alexandra Hospital from April to September 2013 at <33 weeks gestational age who received mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  7. History and future of mask making

    NASA Astrophysics Data System (ADS)

    Levy, Ken L.

    1996-12-01

    The history of the mask industry has three main periods, which I call the Classical Period, the Dark Ages, and the Renaissance, by analogy with those periods in the history of Western Europe. During the Classical Period, people developed 1X masks and the technology to make them. In the Dark Ages, people exploited the equipment developed during the Classical Period to make 5X reduction reticle, ending the nobility of mask making. In today's Renaissance of mask making, a proliferation of mask types is requiring a rebirth of innovation and creativity. The Renaissance resembles the Classical Period: masks are once again strategic, and technological capability is once again the driver. Meanwhile, the mask industry is carrying forward the productivity and efficiency gains it achieved during the Dark Ages. We must create a new business and economic model to support these changes in the characteristics of the marketplace.

  8. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  9. The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data

    NASA Astrophysics Data System (ADS)

    Xu, Zhe; Peng, M. G.; Tu, Lin Hsin; Lee, Cedric; Lin, J. K.; Jan, Jian Feng; Yin, Alb; Wang, Pei

    2006-10-01

    Nowadays, most foundries have paid more and more attention in order to reduce the CD width. Although the lithography technologies have developed drastically, mask data accuracy is still a big challenge than before. Besides, mask (reticle) price also goes up drastically such that data accuracy needs more special treatments.We've developed a system called eFDMS to guarantee the mask data accuracy. EFDMS is developed to do the automatic back-check of mask tooling database and the data transmission of mask tooling. We integrate our own EFDMS systems to engage with the standard mask tooling system K2 so that the upriver and the downriver processes of the mask tooling main body K2 can perform smoothly and correctly with anticipation. The competition in IC marketplace is changing from high-tech process to lower-price gradually. How to control the reduction of the products' cost more plays a significant role in foundries. Before the violent competition's drawing nearer, we should prepare the cost task ahead of time.

  10. Emotionally conditioning the target-speech voice enhances recognition of the target speech under "cocktail-party" listening conditions.

    PubMed

    Lu, Lingxi; Bao, Xiaohan; Chen, Jing; Qu, Tianshu; Wu, Xihong; Li, Liang

    2018-05-01

    Under a noisy "cocktail-party" listening condition with multiple people talking, listeners can use various perceptual/cognitive unmasking cues to improve recognition of the target speech against informational speech-on-speech masking. One potential unmasking cue is the emotion expressed in a speech voice, by means of certain acoustical features. However, it was unclear whether emotionally conditioning a target-speech voice that has none of the typical acoustical features of emotions (i.e., an emotionally neutral voice) can be used by listeners for enhancing target-speech recognition under speech-on-speech masking conditions. In this study we examined the recognition of target speech against a two-talker speech masker both before and after the emotionally neutral target voice was paired with a loud female screaming sound that has a marked negative emotional valence. The results showed that recognition of the target speech (especially the first keyword in a target sentence) was significantly improved by emotionally conditioning the target speaker's voice. Moreover, the emotional unmasking effect was independent of the unmasking effect of the perceived spatial separation between the target speech and the masker. Also, (skin conductance) electrodermal responses became stronger after emotional learning when the target speech and masker were perceptually co-located, suggesting an increase of listening efforts when the target speech was informationally masked. These results indicate that emotionally conditioning the target speaker's voice does not change the acoustical parameters of the target-speech stimuli, but the emotionally conditioned vocal features can be used as cues for unmasking target speech.

  11. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    PubMed

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. Development of binary image masks for TPF-C and ground-based AO coronagraphs

    NASA Astrophysics Data System (ADS)

    Ge, Jian; Crepp, Justin; Vanden Heuvel, Andrew; Miller, Shane; McDavitt, Dan; Kravchenko, Ivan; Kuchner, Marc

    2006-06-01

    We report progress on the development of precision binary notch-filter focal plane coronagraphic masks for directly imaging Earth-like planets at visible wavelengths with the Terrestrial Planet Finder Coronagraph (TPF-C), and substellar companions at near infrared wavelengths from the ground with coronagraphs coupled to high-order adaptive optics (AO) systems. Our recent theoretical studies show that 8th-order image masks (Kuchner, Crepp & Ge 2005, KCG05) are capable of achieving unlimited dynamic range in an ideal optical system, while simultaneously remaining relatively insensitive to low-spatial-frequency optical aberrations, such as tip/tilt errors, defocus, coma, astigmatism, etc. These features offer a suite of advantages for the TPF-C by relaxing many control and stability requirements, and can also provide resistance to common practical problems associated with ground-based observations; for example, telescope flexure and low-order errors left uncorrected by the AO system due to wavefront sensor-deformable mirror lag time can leak light at significant levels. Our recent lab experiments show that prototype image masks can generate contrast levels on the order of 2x10 -6 at 3 λ/D and 6x10 -7 at 10 λ/D without deformable mirror correction using monochromatic light (Crepp et al. 2006), and that this contrast is limited primarily by light scattered by imperfections in the optics and extra diffraction created by mask construction errors. These experiments also indicate that the tilt and defocus sensitivities of high-order masks follow the theoretical predictions of Shaklan and Green 2005. In this paper, we discuss these topics as well as review our progress on developing techniques for fabricating a new series of image masks that are "free-standing", as such construction designs may alleviate some of the (mostly chromatic) problems associated with masks that rely on glass substrates for mechanical support. Finally, results obtained from our AO coronagraph

  13. The human microbiota: novel targets for hospital-acquired infections and antibiotic resistance.

    PubMed

    Pettigrew, Melinda M; Johnson, J Kristie; Harris, Anthony D

    2016-05-01

    Hospital-acquired infections are increasing in frequency due to multidrug resistant organisms (MDROs), and the spread of MDROs has eroded our ability to treat infections. Health care professionals cannot rely solely on traditional infection control measures and antimicrobial stewardship to prevent MDRO transmission. We review research on the microbiota as a target for infection control interventions. We performed a literature review of key research findings related to the microbiota as a target for infection control interventions. These data are summarized and used to outline challenges, opportunities, and unanswered questions in the field. The healthy microbiota provides protective functions including colonization resistance, which refers to the microbiota's ability to prevent colonization and/or expansion of pathogens. Antibiotic use and other exposures in hospitalized patients are associated with disruptions of the microbiota that may reduce colonization resistance and select for antibiotic resistance. Novel methods to exploit protective mechanisms provided by an intact microbiota may provide the key to preventing the spread of MDROs in the health care setting. Research on the microbiota as a target for infection control has been limited. Epidemiologic studies will facilitate progress toward the goal of manipulating the microbiota for control of MDROs in the health care setting. Copyright © 2016 Elsevier Inc. All rights reserved.

  14. Masked Priming Is Abstract in the Left and Right Visual Fields

    ERIC Educational Resources Information Center

    Bowers, Jeffrey S.; Turner, Emma L.

    2005-01-01

    Two experiments assessed masked priming for words presented to the left and right visual fields in a lexical decision task. In both Experiments, the same magnitude and pattern of priming was obtained for visually similar ("kiss"-"KISS") and dissimilar ("read"-"READ") prime-target pairs. These findings…

  15. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    NASA Astrophysics Data System (ADS)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  16. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Shelden, Gilbert; Marmillion, Patricia; Hughes, Greg

    2008-04-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the sixth in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the 2005 and 2006 surveys. Questions are grouped into eight categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns and Services, Operating Cost Factors, and Equipment Utilization. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry. Note: the questions covering operating cost factors and equipment utilization were added to the survey only in 2005; therefore, meaningful trend analysis is not available.

  17. Targeting chemotherapy-resistant leukemia by combining DNT cellular therapy with conventional chemotherapy.

    PubMed

    Chen, Branson; Lee, Jong Bok; Kang, Hyeonjeong; Minden, Mark D; Zhang, Li

    2018-04-24

    While conventional chemotherapy is effective at eliminating the bulk of leukemic cells, chemotherapy resistance in acute myeloid leukemia (AML) is a prevalent problem that hinders conventional therapies and contributes to disease relapse, and ultimately patient death. We have recently shown that allogeneic double negative T cells (DNTs) are able to target the majority of primary AML blasts in vitro and in patient-derived xenograft models. However, some primary AML blast samples are resistant to DNT cell therapy. Given the differences in the modes of action of DNTs and chemotherapy, we hypothesize that DNT therapy can be used in combination with conventional chemotherapy to further improve their anti-leukemic effects and to target chemotherapy-resistant disease. Drug titration assays and flow-based cytotoxicity assays using ex vivo expanded allogeneic DNTs were performed on multiple AML cell lines to identify therapy-resistance. Primary AML samples were also tested to validate our in vitro findings. Further, a xenograft model was employed to demonstrate the feasibility of combining conventional chemotherapy and adoptive DNT therapy to target therapy-resistant AML. Lastly, blocking assays with neutralizing antibodies were employed to determine the mechanism by which chemotherapy increases the susceptibility of AML to DNT-mediated cytotoxicity. Here, we demonstrate that KG1a, a stem-like AML cell line that is resistant to DNTs and chemotherapy, and chemotherapy-resistant primary AML samples both became more susceptible to DNT-mediated cytotoxicity in vitro following pre-treatment with daunorubicin. Moreover, chemotherapy treatment followed by adoptive DNT cell therapy significantly decreased bone marrow engraftment of KG1a in a xenograft model. Mechanistically, daunorubicin increased the expression of NKG2D and DNAM-1 ligands on KG1a; blocking of these pathways attenuated DNT-mediated cytotoxicity. Our results demonstrate the feasibility and benefit of using DNTs as

  18. Acute Effects of the Elevation Training Mask on Strength Performance in Recreational Weight lifters.

    PubMed

    Jagim, Andrew R; Dominy, Trevor A; Camic, Clayton L; Wright, Glenn; Doberstein, Scott; Jones, Margaret T; Oliver, Jonathan M

    2018-02-01

    Jagim, AR, Dominy, TA, Camic, CL, Wright, G, Doberstein, S, Jones, MT, and Oliver, JM. Acute effects of the elevation training mask on strength performance in recreational weightlifters. J Strength Cond Res 32(2): 482-489, 2018-The Elevation Training Mask 2.0 (ETM) is a novel device that purportedly simulates altitude training. The purpose of this study was to investigate the acute effects of the ETM on resistance exercise performance, metabolic stress markers, and ratings of mental fatigue. Twenty male recreational weight lifters completed 2 training sessions of back squat and bench press (6 sets of 10 repetitions at 85% of 5-repetition maximum and seventh set to failure) as well as a maximal effort sprint test (18% body mass) with the mask (ETM) and without the mask (NM). Training evaluation included baseline and postexercise blood lactate and oxygen saturation measures. Performance evaluation included peak and average velocity bar velocity, total volume load, total work, total repetitions completed, and sprint performance. Adverse side effects were reported in 12% (n = 3) of participants, which included feelings of light headedness, anxiety, and discomfort. No differences were found in repetitions or total workload in back squat (p = 0.07) or bench press (p = 0.08) between conditions. A lower peak velocity was identified during the back squat, bench press, and sprint test in the ETM condition (p = 0.04). Blood lactate values were lower after bench press and sprint during the ETM condition (p < 0.001). Significantly lower ratings of alertness and focus for task were found after squat, bench press, and sprint test in the ETM condition compared with the NM condition (p < 0.001). Wearing the ETM during bouts of resistance training did not hinder the ability to achieve desired training volumes during the resistance training session. However, wearing the ETM does seem to attenuate the ability to maintain working velocity during training bouts and negatively influence

  19. Effects of vitamin D supplementation on insulin resistance and cardiometabolic risk factors in children with metabolic syndrome: a triple-masked controlled trial.

    PubMed

    Kelishadi, Roya; Salek, Shadi; Salek, Mehdi; Hashemipour, Mahin; Movahedian, Mahsa

    2014-01-01

    This triple-masked controlled trial aimed to assess the effects of vitamin D supplementation on insulin resistance and cardiometabolic risk factors in obese children and adolescents. The study comprised 50 participants, aged 10 to 16 years, who were randomly assigned into two groups of equal number. In this 12-week trial, one group received oral vitamin D (300,000 IU) and the other group received placebo. Cardiometabolic risk factors, insulin resistance, and a continuous value of metabolic syndrome (cMetS) were determined. Statistical analysis was conducted after adjustment for covariate interactions. Overall, 21 patients in the vitamin D group and 22 in the placebo group completed the trial. No significant difference was observed in the baseline characteristics of the two groups. After the trial, in the vitamin D group, serum insulin and triglyceride concentrations, as well as HOM -IR and C-MetS decreased significantly, both when compared with the baseline and with the placebo group. No significant difference was observed when comparing total cholesterol, LDL-C, HDL-C, fasting blood glucose, and blood pressure. The present findings support the favorable effects of vitamin D supplementation on reducing insulin resistance and cardiometabolic risk factors in obese children. Copyright © 2013 Sociedade Brasileira de Pediatria. Published by Elsevier Editora Ltda. All rights reserved.

  20. Computing Challenges in Coded Mask Imaging

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  1. Targeting SHP2 for EGFR inhibitor resistant non-small cell lung carcinoma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Jie; Zeng, Li-Fan; Shen, Weihua

    Highlights: •SHP2 is required for EGFR inhibitor resistant NSCLC H1975 cell proliferation. •SHP2 inhibitor blocks EGF-stimulated ERK1/2 activation and proliferation. •SHP2 inhibitor exhibits marked anti-tumor activity in H1975 xenograft mice. •SHP2 inhibitor synergizes with PI3K inhibitor in suppressing cell growth. •Targeting SHP2 represents a novel strategy for EGFR inhibitor resistant NSCLCs. -- Abstract: Targeted therapy with inhibitors of epidermal growth factor receptor (EGFR) has produced a noticeable benefit to non-small cell lung cancer (NSCLC) patients whose tumors carry activating mutations (e.g. L858R) in EGFR. Unfortunately, these patients develop drug resistance after treatment, due to acquired secondary gatekeeper mutations in EGFRmore » (e.g. T790M). Given the critical role of SHP2 in growth factor receptor signaling, we sought to determine whether targeting SHP2 could have therapeutic value for EGFR inhibitor resistant NSCLC. We show that SHP2 is required for EGF-stimulated ERK1/2 phosphorylation and proliferation in EGFR inhibitor resistant NSCLC cell line H1975, which harbors the EGFR T790M/L858R double-mutant. We demonstrate that treatment of H1975 cells with II-B08, a specific SHP2 inhibitor, phenocopies the observed growth inhibition and reduced ERK1/2 activation seen in cells treated with SHP2 siRNA. Importantly, we also find that II-B08 exhibits marked anti-tumor activity in H1975 xenograft mice. Finally, we observe that combined inhibition of SHP2 and PI3K impairs both the ERK1/2 and PI3K/AKT signaling axes and produces significantly greater effects on repressing H1975 cell growth than inhibition of either protein individually. Collectively, these results suggest that targeting SHP2 may represent an effective strategy for treatment of EGFR inhibitor resistant NSCLCs.« less

  2. Decomposition into Multiple Morphemes during Lexical Access: A Masked Priming Study of Russian Nouns

    ERIC Educational Resources Information Center

    Kazanina, Nina; Dukova-Zheleva, Galina; Geber, Dana; Kharlamov, Viktor; Tonciulescu, Keren

    2008-01-01

    The study reports the results of a masked priming experiment with morphologically complex Russian nouns. Participants performed a lexical decision task to a visual target that differed from its prime in one consonant. Three conditions were included: (1) "transparent," in which the prime was morphologically related to the target and contained the…

  3. Glycation & Insulin Resistance: Novel Mechanisms and Unique Targets?

    PubMed Central

    Song, Fei; Schmidt, Ann Marie

    2012-01-01

    Objectives Multiple biochemical, metabolic and signal transduction pathways contribute to insulin resistance. In this review, we present the evidence that the post-translational process of protein glycation may play role in insulin resistance. The post-translational modifications, the advanced glycation endproducts (AGEs), are formed and accumulate by endogenous and exogenous mechanisms. Methods and Results AGEs may contribute to insulin resistance by a variety of mechanisms, including generation of tumor necrosis factor-alpha, direct modification of the insulin molecule thereby leading to its impaired action, generation of oxidative stress, and impairment of mitochondrial function, as examples. AGEs may stimulate signal transduction via engagement of cellular receptors, such as RAGE, or receptor for AGE. AGE-RAGE interaction perpetuates AGE formation and cellular stress via induction of inflammation, oxidative stress and reduction in the expression and activity of the enzyme, glyoxalase I that detoxifies the AGE precursor, methylglyoxal, or MG. Conclusions Once set in motion, glycation-promoting mechanisms may stimulate ongoing AGE production and target tissue stresses that reduce insulin responsiveness. Strategies to limit AGE accumulation and action may contribute to prevention of insulin resistance and its consequences. PMID:22815341

  4. Lactate signalling regulates fungal β-glucan masking and immune evasion

    PubMed Central

    Ballou, Elizabeth R.; Avelar, Gabriela M.; Childers, Delma S.; Mackie, Joanna; Bain, Judith M.; Wagener, Jeanette; Kastora, Stavroula L.; Panea, Mirela D.; Hardison, Sarah E.; Walker, Louise A.; Erwig, Lars P.; Munro, Carol A.; Gow, Neil A.R.; Brown, Gordon D.; MacCallum, Donna M.; Brown, Alistair J.P.

    2017-01-01

    Summary Paragraph As they proliferate, fungi expose antigens at their cell surface that are potent stimulators of the innate immune response, and yet the commensal fungus Candida albicans is able to colonize immuno-competent individuals. We show that C. albicans may evade immune detection by presenting a moving immunological target. We report that the exposure of β-glucan, a key Pathogen Associated Molecular Pattern (PAMP) located at the cell surface of C. albicans and other pathogenic Candida species, is modulated in response to changes in carbon source. Exposure to lactate induces β-glucan masking in C. albicans via a signaling pathway that has recruited an evolutionarily conserved receptor (Gpr1) and transcriptional factor (Crz1) from other well-characterized pathways. In response to lactate, these regulators control the expression of cell wall related genes that contribute to β-glucan masking. This represents the first description of active PAMP masking by a Candida species, a process that reduces the visibility of the fungus to the immune system. PMID:27941860

  5. mTOR Signaling Confers Resistance to Targeted Cancer Drugs.

    PubMed

    Guri, Yakir; Hall, Michael N

    2016-11-01

    Cancer is a complex disease and a leading cause of death worldwide. Extensive research over decades has led to the development of therapies that target cancer-specific signaling pathways. However, the clinical benefits of such drugs are at best transient due to tumors displaying intrinsic or adaptive resistance. The underlying compensatory pathways that allow cancer cells to circumvent a drug blockade are poorly understood. We review here recent studies suggesting that mammalian TOR (mTOR) signaling is a major compensatory pathway conferring resistance to many cancer drugs. mTOR-mediated resistance can be cell-autonomous or non-cell-autonomous. These findings suggest that mTOR signaling should be monitored routinely in tumors and that an mTOR inhibitor should be considered as a co-therapy. Copyright © 2016 Elsevier Inc. All rights reserved.

  6. Targeted therapies in breast cancer: New challenges to fight against resistance

    PubMed Central

    Masoud, Viviana; Pagès, Gilles

    2017-01-01

    Breast cancer is the most common type of cancer found in women and today represents a significant challenge to public health. With the latest breakthroughs in molecular biology and immunotherapy, very specific targeted therapies have been tailored to the specific pathophysiology of different types of breast cancers. These recent developments have contributed to a more efficient and specific treatment protocol in breast cancer patients. However, the main challenge to be further investigated still remains the emergence of therapeutic resistance mechanisms, which develop soon after the onset of therapy and need urgent attention and further elucidation. What are the recent emerging molecular resistance mechanisms in breast cancer targeted therapy and what are the best strategies to apply in order to circumvent this important obstacle? The main scope of this review is to provide a thorough update of recent developments in the field and discuss future prospects for preventing resistance mechanisms in the quest to increase overall survival of patients suffering from the disease. PMID:28439493

  7. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    PubMed

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative

  8. Vortex Mask: Making 80nm contacts with a twist!

    NASA Astrophysics Data System (ADS)

    Levenson, Marc D.; Dai, Grace; Ebihara, Takeaki

    2002-12-01

    An optical vortex has a phase that spirals like a corkscrew. Since any nonzero optical amplitude must have a well-defined phase, the axis of a vortex (where the phase is undefined) is always dark. Printed in negative resist, lowest order vortices would produce contact holes with 0.20.6 can be produced using a chromeless phase-edge mask composed of rectangles with phases of 0°, 90°, 180° and 270°. EMF and Kirchhoff-approximation simulations reveal that the image quality of the dark spots is excellent, and predict a process window with 15% exposure latitude and 400nm DOF for 80nm diameter spots on pitches >=250nm at σ=0.15. EMF simulations predict that the 0-270° phase step will not be excessively dark if the quartz wall is vertical. Chrome spots at the centers can control the diameters which otherwise are set by the parameters of the imaging system and exposure dose. Unwanted vortices can be erased from the image by exposing with a second, more conventional, trim mask. This method would be superior to the other ways of producing sub-wavelength vias, but successful implementation requires the development of appropriate negative-tone resist processes.

  9. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  10. Application of the marine Ex-Bz transient system for delineating near shore resistive targets

    NASA Astrophysics Data System (ADS)

    Levi, Eldad; Goldman, Mark

    2017-09-01

    Under certain conditions, multidimensional coastal effect significantly enhances relative target response of the broadside transient marine Ex-Bz system. The effect is caused by a redistribution of the induced currents between the resistive target and the sea bottom compared to that existing in a 1-D geometry. As a result, the effect strongly depends on specific geoelectric conditions in the near-shore environment. The first study of the effect in the Mediterranean coast of central Israel was addressing shallow groundwater problem under specific geoelectric, hydrogeological and geomorphological conditions. Under different conditions (e.g. deep targets and sharp near-shore bathymetry), the influence of the effect on target response might be significantly different. More general analysis carried out in this study comprises various geoelectric scenarios that include both shallow and deep resistive targets at different distances from the shore line as well as various geometries of the target and the near-shore bathymetry. The study includes three major exploration aspects of the system, namely signal detectability, lateral and vertical resolution. Taking into account poor lateral resolution of the classical frequency domain CSEM and the limited application in shallow sea, the described broadside transient Ex-Bz system might represent a desired alternative for delineating shallow and deep resistive targets in transition zone.

  11. How much is enough? An analysis of CD measurement amount for mask characterization

    NASA Astrophysics Data System (ADS)

    Ullrich, Albrecht; Richter, Jan

    2009-10-01

    The demands on CD (critical dimension) metrology amount in terms of both reproducibility and measurement uncertainty steadily increase from node to node. Different mask characterization requirements have to be addressed like very small features, unevenly distributed features, contacts, semi-dense structures to name only a few. Usually this enhanced need is met by an increasing number of CD measurements, where the new CD requirements are added to the well established CD characterization recipe. This leads straight forwardly to prolonged cycle times and highly complex evaluation routines. At the same time mask processes are continuously improved to become more stable. The enhanced stability offers potential to actually reduce the number of measurements. Thus, in this work we will start to address the fundamental question of how many CD measurements are needed for mask characterization for a given confidence level. We used analysis of variances (ANOVA) to distinguish various contributors like mask making process, measurement tool stability and measurement methodology. These contributions have been investigated for classical photomask CD specifications e.g. mean to target, CD uniformity, target offset tolerance and x-y bias. We found depending on specification that the importance of the contributors interchanges. Interestingly, not only short and long-term metrology contributions are dominant. Also the number of measurements and their spatial distribution on the mask layout (sampling methodology) can be the most important part of the variance. The knowledge of contributions can be used to optimize the sampling plan. As a major finding, we conclude that there is potential to reduce a significant amount of measurements without loosing confidence at all. Here, full sampling in x and y as well as full sampling for different features can be shortened substantially almost up to 50%.

  12. Activation of RAS family members confers resistance to ROS1 targeting drugs

    PubMed Central

    Cargnelutti, Marilisa; Corso, Simona; Pergolizzi, Margherita; Mévellec, Laurence; Aisner, Dara L.; Dziadziuszko, Rafal; Varella-Garcia, Marileila; Comoglio, Paolo M.; Doebele, Robert C.; Vialard, Jorge; Giordano, Silvia

    2015-01-01

    The ROS1 tyrosine kinase is activated in lung cancer as a consequence of chromosomal rearrangement. Although high response rates and disease control have been observed in lung cancer patients bearing rearranged ROS1 tumors (ROS1+) treated with the kinase inhibitor crizotinib, many of these patients eventually relapse. To identify mechanisms of resistance to ROS1 inhibitors we generated resistant cells from HCC78 lung cancer cells bearing the SLC34A2-ROS1 rearrangement. We found that activation of the RAS pathway in the HCC78 cell model, due to either KRAS/NRAS mutations or to KRAS amplification, rendered the cells resistant to ROS1 inhibition. These cells were cross-resistant to different ROS1 inhibitors, but sensitive to inhibitors of the RAS signaling pathway. Interestingly, we identified focal KRAS amplification in a biopsy of a tumor from a patient that had become resistant to crizotinib treatment. Altogether our data suggest that the activation of members of the RAS family can confer resistance to ROS1 inhibitors. This has important clinical implications as: (i) RAS genetic alterations in ROS1+ primary tumors are likely negative predictors of efficacy for targeted drugs and (ii) this kind of resistance is unlikely to be overcome by the use of more specific or more potent ROS1 targeting drugs. PMID:25691052

  13. Annotated Bibliography for Gas Mask and Chemical Defense Gear Related Papers

    DTIC Science & Technology

    1988-01-15

    protective clothing and equipment on combat efficiency (Technical Report No. AMSAA--TR-313). Aberdeen Proving Ground, MD: Army Material Systems Analysis ...atmosphere containing a known concentration of a harmless test aerosol or gas and subsequent analysis of the concentration inside the mask. Qualitative...exercise. Ergonomics , 21, 531-538. Eleven healthy males performed steady--s:ate bicycle exercise while breathing at each of 6 added inspiratory resist

  14. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  15. Mask industry assessment trend analysis: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2010-05-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the eighth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Its results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2009. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  16. An interactive tool for gamut masking

    NASA Astrophysics Data System (ADS)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  17. Automatic alternative phase-shift mask CAD layout tool for gate shrinkage of embedded DRAM in logic below 0.18 μm

    NASA Astrophysics Data System (ADS)

    Ohnuma, Hidetoshi; Kawahira, Hiroichi

    1998-09-01

    An automatic alternative phase shift mask (PSM) pattern layout tool has been newly developed. This tool is dedicated for embedded DRAM in logic device to shrink gate line width with improving line width controllability in lithography process with a design rule below 0.18 micrometers by the KrF excimer laser exposure. The tool can crete Levenson type PSM used being coupled with a binary mask adopting a double exposure method for positive photo resist. By using graphs, this tool automatically creates alternative PSM patterns. Moreover, it does not give any phase conflicts. By adopting it to actual embedded DRAM in logic cells, we have provided 0.16 micrometers gate resist patterns at both random logic and DRAM areas. The patterns were fabricated using two masks with the double exposure method. Gate line width has been well controlled under a practical exposure-focus window.

  18. Effectiveness of surgical masks against influenza bioaerosols.

    PubMed

    Makison Booth, C; Clayton, M; Crook, B; Gawn, J M

    2013-05-01

    Most surgical masks are not certified for use as respiratory protective devices (RPDs). In the event of an influenza pandemic, logistical and practical implications such as storage and fit testing will restrict the use of RPDs to certain high-risk procedures that are likely to generate large amounts of infectious bioaerosols. Studies have shown that in such circumstances increased numbers of surgical masks are worn, but the protection afforded to the wearer by a surgical mask against infectious aerosols is not well understood. To develop and apply a method for assessing the protection afforded by surgical masks against a bioaerosol challenge. A dummy test head attached to a breathing simulator was used to test the performance of surgical masks against a viral challenge. Several designs of surgical masks commonly used in the UK healthcare sector were evaluated by measuring levels of inert particles and live aerosolised influenza virus in the air, from in front of and behind each mask. Live influenza virus was measurable from the air behind all surgical masks tested. The data indicate that a surgical mask will reduce exposure to aerosolised infectious influenza virus; reductions ranged from 1.1- to 55-fold (average 6-fold), depending on the design of the mask. We describe a workable method to evaluate the protective efficacy of surgical masks and RPDs against a relevant aerosolised biological challenge. The results demonstrated limitations of surgical masks in this context, although they are to some extent protective. Crown Copyright © 2013. Published by Elsevier Ltd. All rights reserved.

  19. Flavored Anesthetic Masks for Inhalational Induction in Children.

    PubMed

    Gupta, Aakriti; Mathew, Preethy Joseph; Bhardwaj, Neerja

    2017-10-01

    To evaluate the clinical efficacy of masking the odor of inhalational agents using fruit flavors on the anxiety behavior and compliance of children for inhalational induction. A prospective randomized double blind, placebo controlled study was conducted on 60 unpremedicated children in the age group of 4-12 y. Thirty children received anesthetic masks smeared with a flavor of child's choice while the other 30 children were induced using masks without flavor. Anxiety was assessed using modified Yale Pre-operative Anxiety Scale (mYPAS) in the pre-op room and during inhalational induction. Mask acceptance was graded by Induction Compliance Checklist (ICC). The cost-effectiveness of flavored anesthetic masks was compared to that of commercially available pre-scented masks. The baseline anxiety in the two groups was comparable. The number of children demonstrating high levels of anxiety at anesthetic induction was similar in flavored and non-flavored mask groups (p 0.45). The compliance to mask induction was also equally good (p 0.99). The authors found significant difference in the cost of flavored mask (INR 56.45 per mask) as compared to commercially available pre-scented masks (INR 660 per mask). The authors observed a placebo effect that reduced the pre-op anxiety in the control group which probably made the quality of induction equivalent with flavored and non-flavored masks. Therefore, using a flavored anesthetic mask is cost-effective than using a commercially available pre-scented mask.

  20. Substantiating In Vivo Magnetic Brain Tumor Targeting of Cationic Iron Oxide Nanocarriers via Adsorptive Surface Masking

    PubMed Central

    Chertok, Beata; David, Allan E.; Moffat, Bradford A.; Yang, Victor C.

    2009-01-01

    Cationic magnetic nanoparticles are attractive as potential vehicles for tumor drug delivery due to their favorable interactions with both the tumor milieu and the therapeutic cargo. However, systemic delivery of these nanoparticles to the tumor site is compromised by their rapid plasma clearance. We developed a simple method for in vivo protection of cationic nanocarriers, using non-covalent surface masking with a conjugate of low molecular weight heparin and polyethylene glycol. Surface masking resulted in an 11-fold increase in plasma AUC and a 2-fold increase in the magnetic capture of systemically injected nanoparticles in orthotopic rodent brain tumors. Overall, the described methodology could expand the prospective applications for cationic magnetic nanoparticles in magnetically-mediated gene/drug delivery. PMID:19782394

  1. Oronasal masks require higher levels of positive airway pressure than nasal masks to treat obstructive sleep apnea.

    PubMed

    Bettinzoli, Michela; Taranto-Montemurro, Luigi; Messineo, Ludovico; Corda, Luciano; Redolfi, Stefania; Ferliga, Mauro; Tantucci, Claudio

    2014-12-01

    The purpose of this study was to compare the therapeutic pressure determined by an automated CPAP device (AutoCPAP) during the titration period, between nasal and oronasal mask and the residual apnea-hypopnea index (AHI) on a subsequent poligraphy performed with the established therapeutic CPAP. As a retrospective study, 109 subjects with moderate and severe obstructive sleep apnea-hypopnea (apnea-hypopnea index≥15 events/h) were studied. CPAP titration was performed using an auto-titrating device. There was significant difference in the mean pressure delivered with autoCPAP between the group of patients using the nasal mask (mean 10.0 cmH2O±2.0 SD) and the group which used the oronasal mask (mean 11.2 cmH2O±2.1) (p<0.05). Residual apneas were lower when using a nasal mask: average AHI of 2.6±2.5 compared to 4.5±4.0 using an oronasal mask (p<0.05). On multivariate analysis, the only independent predictor of the level of therapeutic pressure of CPAP was the type of mask used (r=0.245, p 0.008). Therapeutic CPAP level for OSAH is higher when administered via oronasal mask, leaving more residual events. These findings suggest that nasal mask should be the first choice for OSAH treatment.

  2. Combining targeted drugs to overcome and prevent resistance of solid cancers with some stem-like cell features

    PubMed Central

    Koivunen, Peppi; Koivunen, Jussi P.

    2014-01-01

    Treatment resistance significantly inhibits the efficiency of targeted cancer therapies in drug-sensitive genotypes. In the current work, we studied mechanisms for rapidly occurring, adaptive resistance in targeted therapy-sensitive lung, breast, and melanoma cancer cell lines. The results show that in ALK translocated lung cancer lines H3122 and H2228, cells with cancer stem-like cell features characterized by high expression of cancer stem cell markers and/or in vivo tumorigenesis can mediate adaptive resistance to oncogene ablative therapy. When pharmacological ablation of ALK oncogene was accompanied with PI3K inhibitor or salinomycin therapy, cancer stem-like cell features were reversed which was accompanied with decreased colony formation. Furthermore, co-targeting was able to block the formation of acquired resistance in H3122 line. The results suggest that cells with cancer stem-like cell features can mediate adaptive resistance to targeted therapies. Since these cells follow the stochastic model, concurrent therapy with an oncogene ablating agent and a stem-like cell-targeting drug is needed for maximal therapeutic efficiency. PMID:25238228

  3. Shadows alter facial expressions of Noh masks.

    PubMed

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety)", which highly appreciates subtle emotional expressions in the darkness.

  4. Targeting Mechanisms of Resistance to Taxane-Based Chemotherapy

    DTIC Science & Technology

    2007-09-01

    gene ; monoamine oxidase A ( MAOA ) was upregulated in patients with PSA relapse (Figure 5A). Quantitative real-time PCR (qRT-PCR) was performed to...resistance and uncover mechanisms or pathways suitable for targeting with the objective of improving tumor responses to chemotherapy. Gene expression...CXCL10 but not IL8 conferring chemoresistance to prostate cancer cells. When using longer term clinical outcome, we found genes correlated with PSA

  5. EUVL masks: paving the path for commercialization

    NASA Astrophysics Data System (ADS)

    Mangat, Pawitter J. S.; Hector, Scott D.

    2001-09-01

    Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No

  6. Asymmetric multiple information cryptosystem based on chaotic spiral phase mask and random spectrum decomposition

    NASA Astrophysics Data System (ADS)

    Rafiq Abuturab, Muhammad

    2018-01-01

    A new asymmetric multiple information cryptosystem based on chaotic spiral phase mask (CSPM) and random spectrum decomposition is put forwarded. In the proposed system, each channel of secret color image is first modulated with a CSPM and then gyrator transformed. The gyrator spectrum is randomly divided into two complex-valued masks. The same procedure is applied to multiple secret images to get their corresponding first and second complex-valued masks. Finally, first and second masks of each channel are independently added to produce first and second complex ciphertexts, respectively. The main feature of the proposed method is the different secret images encrypted by different CSPMs using different parameters as the sensitive decryption/private keys which are completely unknown to unauthorized users. Consequently, the proposed system would be resistant to potential attacks. Moreover, the CSPMs are easier to position in the decoding process owing to their own centering mark on axis focal ring. The retrieved secret images are free from cross-talk noise effects. The decryption process can be implemented by optical experiment. Numerical simulation results demonstrate the viability and security of the proposed method.

  7. Are Masking-Based Models of Risk Useful?

    PubMed

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  8. The Sensitivity of Coded Mask Telescopes

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald K.

    2008-01-01

    Simple formulae are often used to estimate the sensitivity of coded mask X-ray or gamma-ray telescopes, but t,hese are strictly only applicable if a number of basic assumptions are met. Complications arise, for example, if a grid structure is used to support the mask elements, if the detector spatial resolution is not good enough to completely resolve all the detail in the shadow of the mask or if any of a number of other simplifying conditions are not fulfilled. We derive more general expressions for the Poisson-noise-limited sensitivity of astronomical telescopes using the coded mask technique, noting explicitly in what circumstances they are applicable. The emphasis is on using nomenclature and techniques that result in simple and revealing results. Where no convenient expression is available a procedure is given which allows the calculation of the sensitivity. We consider certain aspects of the optimisation of the design of a coded mask telescope and show that when the detector spatial resolution and the mask to detector separation are fixed, the best source location accuracy is obtained when the mask elements are equal in size to the detector pixels.

  9. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    PubMed Central

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  10. A new laryngeal mask supraglottic airway device with integrated balloon line: a descriptive and comparative bench study

    PubMed Central

    Zhou, YingHai; Jew, Korinne

    2016-01-01

    Laryngeal masks are invasive devices for airway management placed in the supraglottic position. The Shiley™ laryngeal mask (Shiley™ LM) features an integrated inflation tube and airway shaft to facilitate product insertion and reduce the chance of tube occlusion when patients bite down. This study compared the Shiley LM to two other disposable laryngeal mask devices, the Ambu® AuraStraight™ and the LMA Unique™. Overall device design, tensile strength, flexibility of various structures, and sealing performance were measured. The Shiley LM is structurally stronger and its shaft is more resistant to compression than the other devices. The Shiley LM is generally less flexible than the other devices, but this relationship varies with device size. Sealing performance of the devices was similar in a bench assay. The results of this bench study demonstrate that the new Shiley LM resembles other commercially available laryngeal mask devices, though it exhibits greater tensile strength and lower flexibility. PMID:27843359

  11. A new laryngeal mask supraglottic airway device with integrated balloon line: a descriptive and comparative bench study.

    PubMed

    Zhou, YingHai; Jew, Korinne

    2016-01-01

    Laryngeal masks are invasive devices for airway management placed in the supraglottic position. The Shiley™ laryngeal mask (Shiley™ LM) features an integrated inflation tube and airway shaft to facilitate product insertion and reduce the chance of tube occlusion when patients bite down. This study compared the Shiley LM to two other disposable laryngeal mask devices, the Ambu ® AuraStraight™ and the LMA Unique™. Overall device design, tensile strength, flexibility of various structures, and sealing performance were measured. The Shiley LM is structurally stronger and its shaft is more resistant to compression than the other devices. The Shiley LM is generally less flexible than the other devices, but this relationship varies with device size. Sealing performance of the devices was similar in a bench assay. The results of this bench study demonstrate that the new Shiley LM resembles other commercially available laryngeal mask devices, though it exhibits greater tensile strength and lower flexibility.

  12. The Emergence of Visual Awareness: Temporal Dynamics in Relation to Task and Mask Type

    PubMed Central

    Kiefer, Markus; Kammer, Thomas

    2017-01-01

    One aspect of consciousness phenomena, the temporal emergence of visual awareness, has been subject of a controversial debate. How can visual awareness, that is the experiential quality of visual stimuli, be characterized best? Is there a sharp discontinuous or dichotomous transition between unaware and fully aware states, or does awareness emerge gradually encompassing intermediate states? Previous studies yielded conflicting results and supported both dichotomous and gradual views. It is well conceivable that these conflicting results are more than noise, but reflect the dynamic nature of the temporal emergence of visual awareness. Using a psychophysical approach, the present research tested whether the emergence of visual awareness is context-dependent with a temporal two-alternative forced choice task. During backward masking of word targets, it was assessed whether the relative temporal sequence of stimulus thresholds is modulated by the task (stimulus presence, letter case, lexical decision, and semantic category) and by mask type. Four masks with different similarity to the target features were created. Psychophysical functions were then fitted to the accuracy data in the different task conditions as a function of the stimulus mask SOA in order to determine the inflection point (conscious threshold of each feature) and slope of the psychophysical function (transition from unaware to aware within each feature). Depending on feature-mask similarity, thresholds in the different tasks were highly dispersed suggesting a graded transition from unawareness to awareness or had less differentiated thresholds indicating that clusters of features probed by the tasks quite simultaneously contribute to the percept. The latter observation, although not compatible with the notion of a sharp all-or-none transition between unaware and aware states, suggests a less gradual or more discontinuous emergence of awareness. Analyses of slopes of the fitted psychophysical functions

  13. Incidental Learning of S-R Contingencies in the Masked Prime Task

    ERIC Educational Resources Information Center

    Schlaghecken, Friederike; Blagrove, Elisabeth; Maylor, Elizabeth A.

    2007-01-01

    Subliminal motor priming effects in the masked prime paradigm can only be obtained when primes are part of the task set. In 2 experiments, the authors investigated whether the relevant task set feature needs to be explicitly instructed or could be extracted automatically in an incidental learning paradigm. Primes and targets were symmetrical…

  14. Polarization masks: concept and initial assessment

    NASA Astrophysics Data System (ADS)

    Lam, Michael; Neureuther, Andrew R.

    2002-07-01

    Polarization from photomasks can be used as a new lever to improve lithographic performance in both binary and phase-shifting masks (PSMs). While PSMs manipulate the phase of light to control the temporal addition of electric field vectors, polarization masks manipulate the vector direction of electric field vectors to control the spatial addition of electric field components. This paper explores the theoretical possibilities of polarization masks, showing that it is possible to use bar structures within openings on the mask itself to polarize incident radiation. Rigorous electromagnetic scattering simulations using TEMPEST and imaging with SPLAT are used to give an initial assessment on the functionality of polarization masks, discussing the polarization quality and throughputs achieved with the masks. Openings between 1/8 and 1/3 of a wavelength provide both a low polarization ratio and good transmission. A final overall throughput of 33% - 40% is achievable, corresponding to a dose hit of 2.5x - 3x.

  15. Shadows Alter Facial Expressions of Noh Masks

    PubMed Central

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  16. Dual-Targeting of AR and Akt Pathways by Berberine in Castration-Resistant Prostate Cancer

    DTIC Science & Technology

    2016-10-01

    Award Number: W81XWH-12-1-0275 TITLE: Dual-Targeting of AR and Akt Pathways by Berberine in Castration-Resistant Prostate Cancer PRINCIPAL...Public Release; Distribution Unlimited The views, opinions and/or findings contained in this report are those of the author(s) and should not be...TITLE AND SUBTITLE 5a. CONTRACT NUMBER Dual-Targeting of AR and Akt Pathways by Berberine in Castration- Resistant Prostate Cancer 5b. GRANT NUMBER

  17. Folate-mediated mitochondrial targeting with doxorubicin-polyrotaxane nanoparticles overcomes multidrug resistance

    PubMed Central

    Yan, Fengjiao; Sun, Mingna; Du, Lingran; Peng, Wei; Li, Qiuli; Feng, Yinghong; Zhou, Yi

    2015-01-01

    Resistance to treatment with anticancer drugs is a significant obstacle and a fundamental cause of therapeutic failure in cancer therapy. Functional doxorubicin (DOX) nanoparticles for targeted delivery of the classical cytotoxic anticancer drug DOX to tumor cells, using folate-terminated polyrotaxanes along with dequalinium, have been developed and proven to overcome this resistance due to specific molecular features, including a size of approximately 101 nm, a zeta potential of 3.25 mV and drug-loading content of 18%. Compared with free DOX, DOX hydrochloride, DOX nanoparticles, and targeted DOX nanoparticles, the functional DOX nanoparticles exhibited the strongest anticancer efficacy in vitro and in the drug-resistant MCF-7/ Adr (DOX) xenograft tumor model. More specifically, the nanoparticles significantly increased the intracellular uptake of DOX, selectively accumulating in mitochondria and the endoplasmic reticulum after treatment, with release of cytochrome C as a result. Furthermore, the caspase-9 and caspase-3 cascade was activated by the functional DOX nanoparticles through upregulation of the pro-apoptotic proteins Bax and Bid and suppression of the antiapoptotic protein Bcl-2, thereby enhancing apoptosis by acting on the mitochondrial signaling pathways. In conclusion, functional DOX nanoparticles may provide a strategy for increasing the solubility of DOX and overcoming multidrug-resistant cancers. PMID:25605018

  18. Specific Cell Targeting Therapy Bypasses Drug Resistance Mechanisms in African Trypanosomiasis

    PubMed Central

    Unciti-Broceta, Juan D.; Arias, José L.; Maceira, José; Soriano, Miguel; Ortiz-González, Matilde; Hernández-Quero, José; Muñóz-Torres, Manuel; de Koning, Harry P.; Magez, Stefan; Garcia-Salcedo, José A.

    2015-01-01

    African trypanosomiasis is a deadly neglected disease caused by the extracellular parasite Trypanosoma brucei. Current therapies are characterized by high drug toxicity and increasing drug resistance mainly associated with loss-of-function mutations in the transporters involved in drug import. The introduction of new antiparasitic drugs into therapeutic use is a slow and expensive process. In contrast, specific targeting of existing drugs could represent a more rapid and cost-effective approach for neglected disease treatment, impacting through reduced systemic toxicity and circumventing resistance acquired through impaired compound uptake. We have generated nanoparticles of chitosan loaded with the trypanocidal drug pentamidine and coated by a single domain nanobody that specifically targets the surface of African trypanosomes. Once loaded into this nanocarrier, pentamidine enters trypanosomes through endocytosis instead of via classical cell surface transporters. The curative dose of pentamidine-loaded nanobody-chitosan nanoparticles was 100-fold lower than pentamidine alone in a murine model of acute African trypanosomiasis. Crucially, this new formulation displayed undiminished in vitro and in vivo activity against a trypanosome cell line resistant to pentamidine as a result of mutations in the surface transporter aquaglyceroporin 2. We conclude that this new drug delivery system increases drug efficacy and has the ability to overcome resistance to some anti-protozoal drugs. PMID:26110623

  19. 21 CFR 868.5570 - Nonrebreathing mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  20. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  1. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2011-07-01 2011-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  2. Optical DC overlay measurement in the 2nd level process of 65 nm alternating phase shift mask

    NASA Astrophysics Data System (ADS)

    Ma, Jian; Han, Ke; Lee, Kyung; Korobko, Yulia; Silva, Mary; Chavez, Joas; Irvine, Brian; Henrichs, Sven; Chakravorty, Kishore; Olshausen, Robert; Chandramouli, Mahesh; Mammen, Bobby; Padmanaban, Ramaswamy

    2005-11-01

    Alternating phase shift mask (APSM) techniques help bridge the significant gap between the lithography wavelength and the patterning of minimum features, specifically, the poly line of 35 nm gate length (1x) in Intel's 65 nm technology. One of key steps in making APSM mask is to pattern to within the design tolerances the 2nd level resist so that the zero-phase apertures will be protected by the resist and the pi-phase apertures will be wide open for quartz etch. The ability to align the 2nd level to the 1st level binary pattern, i.e. the 2nd level overlay capability is very important, so is the capability of measuring the overlay accurately. Poor overlay could cause so-called the encroachment after quartz etch, producing undesired quartz bumps in the pi-apertures or quartz pits in the zero-apertures. In this paper, a simple, low-cost optical setup for the 2nd level DC (develop check) overlay measurements in the high volume manufacturing (HVM) of APSM masks is presented. By removing systematic errors in overlay associated with TIS and MIS (tool-induced shift and Mask-process induced shift), it is shown that this setup is capable of supporting the measurement of DC overlay with a tolerance as small as +/- 25 nm. The outstanding issues, such as DC overlay error component analysis, DC - FC (final check) overlay correlation and the overlay linearity (periphery vs. indie), are discussed.

  3. The Meaning behind the Mask. [Lesson Plan].

    ERIC Educational Resources Information Center

    National Endowment for the Humanities (NFAH), Washington, DC.

    In this lesson plan, students explore the cultural significance of masks. After exploring the world of African masks and storytelling, they create masks that tell stories of their own. In these six lessons, students first recall contexts in which masks are worn in the United States, and then discuss their use in stories. Students then investigate…

  4. 21 CFR 868.5590 - Scavenging mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  5. 21 CFR 868.5600 - Venturi mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  6. Inadequate face mask ventilation--clinical applications.

    PubMed

    Goranović, Tatjana; Milić, Morena; Holjevac, Jadranka Katancić; Maldini, Branka; Sakić, Katarina

    2010-09-01

    Face mask ventilation is a life saving technique. This article will review aetiology and patophysiological consequences of inadequate mask ventilation. The main focus will be on circulatory changes during induction of anesthesia, before and in a short period after intubation that could be attributed to inadequate mask ventilation in humans.

  7. Fabrication of coronagraph masks and laboratory scale star-shade masks: characteristics, defects, and performance

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; Riggs, A. J. Eldorado; Cady, Eric; White, Victor; Yee, Karl; Wilson, Daniel; Echternach, Pierre; Muller, Richard; Mejia Prada, Camilo; Seo, Byoung-Joon; Shi, Fang; Ryan, Daniel; Fregoso, Santos; Metzman, Jacob; Wilson, Robert Casey

    2017-09-01

    NASA WFIRST mission has planned to include a coronagraph instrument to find and characterize exoplanets. Masks are needed to suppress the host star light to better than 10-8 - 10-9 level contrast over a broad bandwidth to enable the coronagraph mission objectives. Such masks for high contrast coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, etc. We present the technologies employed at JPL to produce these pupil plane and image plane coronagraph masks, and lab-scale external occulter masks, highlighting accomplishments from the high contrast imaging testbed (HCIT) at JPL and from the high contrast imaging lab (HCIL) at Princeton University. Inherent systematic and random errors in fabrication and their impact on coronagraph performance are discussed with model predictions and measurements.

  8. Fast mask writers: technology options and considerations

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  9. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  10. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  11. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  12. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  13. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  14. Developmental Conductive Hearing Loss Reduces Modulation Masking Release

    PubMed Central

    Chen, Yi-Wen; Sanes, Dan H.

    2016-01-01

    Hearing-impaired individuals experience difficulties in detecting or understanding speech, especially in background sounds within the same frequency range. However, normally hearing (NH) human listeners experience less difficulty detecting a target tone in background noise when the envelope of that noise is temporally gated (modulated) than when that envelope is flat across time (unmodulated). This perceptual benefit is called modulation masking release (MMR). When flanking masker energy is added well outside the frequency band of the target, and comodulated with the original modulated masker, detection thresholds improve further (MMR+). In contrast, if the flanking masker is antimodulated with the original masker, thresholds worsen (MMR−). These interactions across disparate frequency ranges are thought to require central nervous system (CNS) processing. Therefore, we explored the effect of developmental conductive hearing loss (CHL) in gerbils on MMR characteristics, as a test for putative CNS mechanisms. The detection thresholds of NH gerbils were lower in modulated noise, when compared with unmodulated noise. The addition of a comodulated flanker further improved performance, whereas an antimodulated flanker worsened performance. However, for CHL-reared gerbils, all three forms of masking release were reduced when compared with NH animals. These results suggest that developmental CHL impairs both within- and across-frequency processing and provide behavioral evidence that CNS mechanisms are affected by a peripheral hearing impairment. PMID:28215119

  15. Enhancement in Informational Masking

    ERIC Educational Resources Information Center

    Cao, Xiang; Richards, Virginia M.

    2012-01-01

    Purpose: The ability to detect a tone added to a random masker improves when a preview of the masker is provided. In 2 experiments, the authors explored the role that perceptual organization plays in this release from masking. Method: Detection thresholds were measured in informational masking studies. The maskers were drawn at random prior to…

  16. Alternative method for variable aspect ratio vias using a vortex mask

    NASA Astrophysics Data System (ADS)

    Schepis, Anthony R.; Levinson, Zac; Burbine, Andrew; Smith, Bruce W.

    2014-03-01

    Historically IC (integrated circuit) device scaling has bridged the gap between technology nodes. Device size reduction is enabled by increased pattern density, enhancing functionality and effectively reducing cost per chip. Exemplifying this trend are aggressive reductions in memory cell sizes that have resulted in systems with diminishing area between bit/word lines. This affords an even greater challenge in the patterning of contact level features that are inherently difficult to resolve because of their relatively small area and complex aerial image. To accommodate these trends, semiconductor device design has shifted toward the implementation of elliptical contact features. This empowers designers to maximize the use of free device space, preserving contact area and effectively reducing the via dimension just along a single axis. It is therefore critical to provide methods that enhance the resolving capacity of varying aspect ratio vias for implementation in electronic design systems. Vortex masks, characterized by their helically induced propagation of light and consequent dark core, afford great potential for the patterning of such features when coupled with a high resolution negative tone resist system. This study investigates the integration of a vortex mask in a 193nm immersion (193i) lithography system and qualifies its ability to augment aspect ratio through feature density using aerial image vector simulation. It was found that vortex fabricated vias provide a distinct resolution advantage over traditionally patterned contact features employing a 6% attenuated phase shift mask (APM). 1:1 features were resolvable at 110nm pitch with a 38nm critical dimension (CD) and 110nm depth of focus (DOF) at 10% exposure latitude (EL). Furthermore, iterative source-mask optimization was executed as means to augment aspect ratio. By employing mask asymmetries and directionally biased sources aspect ratios ranging between 1:1 and 2:1 were achievable, however, this

  17. The impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners (L).

    PubMed

    Kokkinakis, Kostas; Loizou, Philipos C

    2011-09-01

    The purpose of this study is to determine the relative impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners. Sentences were presented in two conditions wherein reverberant consonant segments were replaced with clean consonants, and in another condition wherein reverberant vowel segments were replaced with clean vowels. The underlying assumption is that self-masking effects would dominate in the first condition, whereas overlap-masking effects would dominate in the second condition. Results indicated that the degradation of speech intelligibility in reverberant conditions is caused primarily by self-masking effects that give rise to flattened formant transitions. © 2011 Acoustical Society of America

  18. A screen to identify drug resistant variants to target-directed anti-cancer agents

    PubMed Central

    Azam, Mohammad; Raz, Tal; Nardi, Valentina; Opitz, Sarah L.

    2003-01-01

    The discovery of oncogenes and signal transduction pathways important for mitogenesis has triggered the development of target-specific small molecule anti-cancer compounds. As exemplified by imatinib (Gleevec), a specific inhibitor of the Chronic Myeloid Leukemia (CML)-associated Bcr-Abl kinase, these agents promise impressive activity in clinical trials, with low levels of clinical toxicity. However, such therapy is susceptible to the emergence of drug resistance due to amino acid substitutions in the target protein. Defining the spectrum of such mutations is important for patient monitoring and the design of next-generation inhibitors. Using imatinib and BCR/ABL as a paradigm for a drug-target pair, we recently reported a retroviral vector-based screening strategy to identify the spectrum of resistance-conferring mutations. Here we provide a detailed methodology for the screen, which can be generally applied to any drug-target pair. PMID:14615817

  19. Short-term visual deprivation can enhance spatial release from masking.

    PubMed

    Pagé, Sara; Sharp, Andréanne; Landry, Simon P; Champoux, François

    2016-08-15

    This research aims to study the effect of short-term visual deprivation on spatial release from masking, a major component of the cocktail party effect that allows people to detect an auditory target in noise. The Masking Level Difference (MLD) test was administered on healthy individuals over three sessions: before (I) and after 90min of visual deprivation (II), and after 90min of re-exposure to light (III). A non-deprived control group performed the same tests, but remained sighted between sessions I and II. The non-deprived control group displayed constant results across sessions. However, performance in the MLD test was improved following short-term visual deprivation and performance returned to pre-deprivation values after light re-exposure. This study finds that short-term visual deprivation transiently enhances the spatial release from masking. These data suggest the significant potential for enhancing a process involved in the cocktail party effect in normally developing individuals and adds to an emerging literature on the potential to enhance auditory ability after only a brief period of visual deprivation. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  20. ABCF2, an Nrf2 target gene, contributes to cisplatin resistance in ovarian cancer cells.

    PubMed

    Bao, Lingjie; Wu, Jianfa; Dodson, Matthew; Rojo de la Vega, Elisa Montserrat; Ning, Yan; Zhang, Zhenbo; Yao, Ming; Zhang, Donna D; Xu, Congjian; Yi, Xiaofang

    2017-06-01

    Previously, we have demonstrated that NRF2 plays a key role in mediating cisplatin resistance in ovarian cancer. To further explore the mechanism underlying NRF2-dependent cisplatin resistance, we stably overexpressed or knocked down NRF2 in parental and cisplatin-resistant human ovarian cancer cells, respectively. These two pairs of stable cell lines were then subjected to microarray analysis, where we identified 18 putative NRF2 target genes. Among these genes, ABCF2, a cytosolic member of the ABC superfamily of transporters, has previously been reported to contribute to chemoresistance in clear cell ovarian cancer. A detailed analysis on ABCF2 revealed a functional antioxidant response element (ARE) in its promoter region, establishing ABCF2 as an NRF2 target gene. Next, we investigated the contribution of ABCF2 in NRF2-mediated cisplatin resistance using our stable ovarian cancer cell lines. The NRF2-overexpressing cell line, containing high levels of ABCF2, was more resistant to cisplatin-induced apoptosis compared to its control cell line; whereas the NRF2 knockdown cell line with low levels of ABCF2, was more sensitive to cisplatin treatment than its control cell line. Furthermore, transient overexpression of ABCF2 in the parental cells decreased apoptosis and increased cell viability following cisplatin treatment. Conversely, knockdown of ABCF2 using specific siRNA notably increased apoptosis and decreased cell viability in cisplatin-resistant cells treated with cisplatin. This data indicate that the novel NRF2 target gene, ABCF2, plays a critical role in cisplatin resistance in ovarian cancer, and that targeting ABCF2 may be a new strategy to improve chemotherapeutic efficiency. © 2017 Wiley Periodicals, Inc.

  1. Rational design of cancer-targeted selenium nanoparticles to antagonize multidrug resistance in cancer cells.

    PubMed

    Liu, Ting; Zeng, Lilan; Jiang, Wenting; Fu, Yuanting; Zheng, Wenjie; Chen, Tianfeng

    2015-05-01

    Multidrug resistance is one of the greatest challenges in cancer therapy. Herein we described the synthesis of folate (FA)-conjugated selenium nanoparticles (SeNPs) as cancer-targeted nano-drug delivery system for ruthenium polypyridyl (RuPOP) exhibits strong fluorescence, which allows the direct imaging of the cellular trafficking of the nanosystem. This nanosystem could effectively antagonize against multidrug resistance in liver cancer. FA surface conjugation significantly enhanced the cellular uptake of SeNPs by FA receptor-mediated endocytosis through nystain-dependent lipid raft-mediated and clathrin-mediated pathways. The nanomaterials overcame the multidrug resistance in R-HepG2 cells through inhibition of ABC family proteins expression. Internalized nanoparticles triggered ROS overproduction and induced apoptosis by activating p53 and MAPKs pathways. Moreover, FA-SeNPs exhibited low in vivo acute toxicity, which verified the safety and application potential of FA-SeNPs as nanodrugs. This study provides an effective strategy for the design of cancer-targeted nanodrugs against multidrug resistant cancers. In the combat against hepatocellular carcinoma, multidrug resistance remains one of the obstacles to be overcome. The authors designed and synthesized folate (FA)-conjugated selenium nanoparticles (SeNPs) with enhanced cancer-targeting capability. This system carried ruthenium polypyridyl (RuPOP), an efficient metal-based anti-cancer drug with strong fluorescence. It was shown that this combination was effective in antagonizing against multidrug resistance in vitro. Copyright © 2015 Elsevier Inc. All rights reserved.

  2. Reinforced Masks for Ion Plating of Solar Cells

    NASA Technical Reports Server (NTRS)

    Conley, W. R.; Swick, E. G.; Volkers, J. C.

    1987-01-01

    Proposed mask for ion plating of surface electrodes on silicon solar cells reinforced to hold shape better during handling. Fabrication process for improved mask similar to conventional mask. Additional cuts and bends made in wide diametral strip to form bridges between pairs of mask fingers facing each other across this strip. Bridges high enough not to act as masks so entire strip area plated.

  3. Mask industry assessment trend analysis: 2012

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2012-02-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply among the top critical issues for lithography. A survey was designed by SEMATECH with input from semiconductor company mask technologists and merchant mask suppliers to objectively assess the overall conditions of the mask industry. With the continued support of the industry, this year's assessment was the tenth in the current series of annual reports. This year's survey is basically the same as the 2005 through 2011 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that ultimately produce a detailed profile of both the business and technical status of the critical mask industry. We received data from 11 companies this year, which was a record high since the beginning of the series. The responding companies represented more than 96% of the volume shipped and about 90% of the 2011 revenue for the photomask industry. These survey reports are often used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. They will continue to serve as a valuable reference to identify strengths and opportunities. Results can also be used to guide future investments in critical path issues.

  4. Evaluation of a new pediatric positive airway pressure mask.

    PubMed

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  5. Effect of attention on the detection and identification of masked spatial patterns.

    PubMed

    Põder, Endel

    2005-01-01

    The effect of attention on the detection and identification of vertically and horizontally oriented Gabor patterns in the condition of simultaneous masking with obliquely oriented Gabors was studied. Attention was manipulated by varying the set size in a visual-search experiment. In the first experiment, small target Gabors were presented on the background of larger masking Gabors. In the detection task, the effect of set size was as predicted by unlimited-capacity signal detection theory. In the orientation identification task, increasing the set size from 1 to 8 resulted in a much larger decline in performance. The results of the additional experiments suggest that attention can reduce the crowding effect of maskers.

  6. Rapid iconic erasure without masking.

    PubMed

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  7. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    PubMed Central

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open

  8. Temporal Interplay between Efflux Pumps and Target Mutations in Development of Antibiotic Resistance in Escherichia coli

    PubMed Central

    Singh, Renu; Swick, Michelle C.; Ledesma, Kimberly R.; Yang, Zhen; Hu, Ming; Zechiedrich, Lynn

    2012-01-01

    The emergence of resistance presents a debilitating change in the management of infectious diseases. Currently, the temporal relationship and interplay between various mechanisms of drug resistance are not well understood. A thorough understanding of the resistance development process is needed to facilitate rational design of countermeasure strategies. Using an in vitro hollow-fiber infection model that simulates human drug treatment, we examined the appearance of efflux pump (acrAB) overexpression and target topoisomerase gene (gyrA and parC) mutations over time in the emergence of quinolone resistance in Escherichia coli. Drug-resistant isolates recovered early (24 h) had 2- to 8-fold elevation in the MIC due to acrAB overexpression, but no point mutations were noted. In contrast, high-level (≥64× MIC) resistant isolates with target site mutations (gyrA S83L with or without parC E84K) were selected more readily after 120 h, and regression of acrAB overexpression was observed at 240 h. Using a similar dosing selection pressure, the emergence of levofloxacin resistance was delayed in a strain with acrAB deleted compared to the isogenic parent. The role of efflux pumps in bacterial resistance development may have been underappreciated. Our data revealed the interplay between two mechanisms of quinolone resistance and provided a new mechanistic framework in the development of high-level resistance. Early low-level levofloxacin resistance conferred by acrAB overexpression preceded and facilitated high-level resistance development mediated by target site mutation(s). If this interpretation is correct, then these findings represent a paradigm shift in the way quinolone resistance is thought to develop. PMID:22232279

  9. EUVL mask patterning with blanks from commercial suppliers

    NASA Astrophysics Data System (ADS)

    Yan, Pei-Yang; Zhang, Guojing; Nagpal, Rajesh; Shu, Emily Y.; Li, Chaoyang; Qu, Ping; Chen, Frederick T.

    2004-12-01

    Extreme Ultraviolet Lithography (EUVL) reflective mask blank development includes low thermal expansion material fabrication, mask substrate finishing, reflective multi-layer (ML) and capping layer deposition, buffer (optional)/absorber stack deposition, EUV specific metrology, and ML defect inspection. In the past, we have obtained blanks deposited with various layer stacks from several vendors. Some of them are not commercial suppliers. As a result, the blank and patterned mask qualities are difficult to maintain and improve. In this paper we will present the evaluation results of the EUVL mask pattering processes with the complete EUVL mask blanks supplied by the commercial blank supplier. The EUVL mask blanks used in this study consist of either quartz or ULE substrates which is a type of low thermal expansion material (LTEM), 40 pairs of molybdenum/silicon (Mo/Si) ML layer, thin ruthenium (Ru) capping layer, tantalum boron nitride (TaBN) absorber, and chrome (Cr) backside coating. No buffer layer is used. Our study includes the EUVL mask blank characterization, patterned EUVL mask characterization, and the final patterned EUVL mask flatness evaluation.

  10. The Caballero Revisited: Postmodernity in "The Cisco Kid", "The Mask of Zorro", and "Shrek II"

    ERIC Educational Resources Information Center

    Leen, Catherine

    2007-01-01

    This article discusses the achievement of the postmodern caballero films and the relevance of the social bandit myth for Chicano resistance. The continued relevance of the social bandit myth is clearly demonstrated by "The Cisco Kid" and "The Mask of Zorro." Both films show how initially flawed or directionless characters can…

  11. Anticipating and controlling mask costs within EDA physical design

    NASA Astrophysics Data System (ADS)

    Rieger, Michael L.; Mayhew, Jeffrey P.; Melvin, Lawrence S.; Lugg, Robert M.; Beale, Daniel F.

    2003-08-01

    For low k1 lithography, more aggressive OPC is being applied to critical layers, and the number of mask layers with OPC treatments is growing rapidly. The 130 nm, process node required, on average, 8 layers containing rules- or model-based OPC. The 90 nm node will have 16 OPC layers, of which 14 layers contain aggressive model-based OPC. This escalation of mask pattern complexity, coupled with the predominant use of vector-scan e-beam (VSB) mask writers contributes to the rising costs of advanced mask sets. Writing times for OPC layouts are several times longer than for traditional layouts, making mask exposure the single largest cost component for OPC masks. Lower mask yields, another key factor in higher mask costs, is also aggravated by OPC. Historical mask set costs are plotted below. The initial cost of a 90 nm-node mask set will exceed one million dollars. The relative impact of mask cost on chip depends on how many total wafers are printed with each mask set. For many foundry chips, where unit production is often well below 1000 wafers, mask costs are larger than wafer processing costs. Further increases in NRE may begin to discourage these suppliers' adoption to 90 nm and smaller nodes. In this paper we will outline several alternatives for reducing mask costs by strategically leveraging dimensional margins. Dimensional specifications for a particular masking layer usually are applied uniformly to all features on that layer. As a practical matter, accuracy requirements on different features in the design may vary widely. Take a polysilicon layer, for example: global tolerance specifications for that layer are driven by the transistor-gate requirements; but these parameters over-specify interconnect feature requirements. By identifying features where dimensional accuracy requirements can be reduced, additional margin can be leveraged to reduce OPC complexity. Mask writing time on VSB tools will drop in nearly direct proportion to reduce shot count. By

  12. Self-masking: Listening during vocalization. Normal hearing.

    PubMed

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  13. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  14. Does technology acceleration equate to mask cost acceleration?

    NASA Astrophysics Data System (ADS)

    Trybula, Walter J.; Grenon, Brian J.

    2003-06-01

    The technology acceleration of the ITRS Roadmap has many implications on both the semiconductor sup-plier community and the manufacturers. INTERNATIONAL SEMATECH has revaluated the projected cost of advanced technology masks. Building on the methodology developed in 1996 for mask costs, this work provided a critical review of mask yields and factors relating to the manufacture of photolithography masks. The impact of the yields provided insight into the learning curve for leading edge mask manufac-turing. The projected mask set cost was surprising, and the ability to provide first and second year cost estimates provided additional information on technology introduction. From this information, the impact of technology acceleration can be added to the projected yields to evaluate the impact on mask costs.

  15. WNT5A enhances resistance of melanoma cells to targeted BRAF inhibitors

    PubMed Central

    Anastas, Jamie N.; Kulikauskas, Rima M.; Tamir, Tigist; Rizos, Helen; Long, Georgina V.; von Euw, Erika M.; Yang, Pei-Tzu; Chen, Hsiao-Wang; Haydu, Lauren; Toroni, Rachel A.; Lucero, Olivia M.; Chien, Andy J.; Moon, Randall T.

    2014-01-01

    About half of all melanomas harbor a mutation that results in a constitutively active BRAF kinase mutant (BRAFV600E/K) that can be selectively inhibited by targeted BRAF inhibitors (BRAFis). While patients treated with BRAFis initially exhibit measurable clinical improvement, the majority of patients eventually develop drug resistance and relapse. Here, we observed marked elevation of WNT5A in a subset of tumors from patients exhibiting disease progression on BRAFi therapy. WNT5A transcript and protein were also elevated in BRAFi-resistant melanoma cell lines generated by long-term in vitro treatment with BRAFi. RNAi-mediated reduction of endogenous WNT5A in melanoma decreased cell growth, increased apoptosis in response to BRAFi challenge, and decreased the activity of prosurvival AKT signaling. Conversely, overexpression of WNT5A promoted melanoma growth, tumorigenesis, and activation of AKT signaling. Similarly to WNT5A knockdown, knockdown of the WNT receptors FZD7 and RYK inhibited growth, sensitized melanoma cells to BRAFi, and reduced AKT activation. Together, these findings suggest that chronic BRAF inhibition elevates WNT5A expression, which promotes AKT signaling through FZD7 and RYK, leading to increased growth and therapeutic resistance. Furthermore, increased WNT5A expression in BRAFi-resistant melanomas correlates with a specific transcriptional signature, which identifies potential therapeutic targets to reduce clinical BRAFi resistance. PMID:24865425

  16. Masks For Deposition Of Aspherical Optical Surfaces

    NASA Technical Reports Server (NTRS)

    Rogers, John R.; Martin, John D.

    1992-01-01

    Masks of improved design developed for use in fabrication of aspherical, rotationally symmetrical surfaces of mirrors, lenses, and lens molds by evaporative deposition onto rotating substrates. In deposition chamber, source and mask aligned with axis of rotation of substrate. Mask shadows source of rotating substrate. Azimuthal opening (as function of radius) in mask proportional to desired thickness (as function of radius) to which material deposited on substrate. Combination of improved masks and modern coating chambers provides optical surfaces comparable or superior to those produced by conventional polishing, computer-controlled polishing, replication from polished molds, and diamond turning, at less cost in material, labor, and capital expense.

  17. Removable pellicle for lithographic mask protection and handling

    DOEpatents

    Klebanoff, Leonard E.; Rader, Daniel J.; Hector, Scott D.; Nguyen, Khanh B.; Stulen, Richard H.

    2002-01-01

    A removable pellicle for a lithographic mask that provides active and robust particle protection, and which utilizes a traditional pellicle and two deployments of thermophoretic protection to keep particles off the mask. The removable pellicle is removably attached via a retaining structure to the mask substrate by magnetic attraction with either contacting or non-contacting magnetic capture mechanisms. The pellicle retaining structural is composed of an anchor piece secured to the mask substrate and a frame member containing a pellicle. The anchor piece and the frame member are in removable contact or non-contact by the magnetic capture or latching mechanism. In one embodiment, the frame member is retained in a floating (non-contact) relation to the anchor piece by magnetic levitation. The frame member and the anchor piece are provided with thermophoretic fins which are interdigitated to prevent particles from reaching the patterned area of the mask. Also, the anchor piece and mask are maintained at a higher temperature than the frame member and pellicle which also prevents particles from reaching the patterned mask area by thermophoresis. The pellicle can be positioned over the mask to provide particle protection during mask handling, inspection, and pumpdown, but which can be removed manually or robotically for lithographic use of the mask.

  18. Reticle writer for next-generation SEMI mask standard: mask handling and exposure

    NASA Astrophysics Data System (ADS)

    Ehrlich, Christian

    1998-12-01

    The world semiconductor industry is currently preparing itself for the next evolutionary step in the ongoing development of the integrated circuit, characterized by the 0.18 to 0.15 micrometer technology. The already complex engineering task for the mask tool makers is furthermore complicated by the introduction of the new SEMI reticle standard with a 230 mm by 230 mm large and 9 mm thick quartz glass blank that will have a weight of more than one kilogram. The production of these advanced masks is already identified as a key enabling technology which will stretch the capabilities of the manufacturing process, and its equipment, to the limit. The mask making e-beam system Leica ZBA320, capable of exposing a 230 mm reticle and featuring the variable shaped beam approach with a 20 kV accelerating voltage has been introduced recently. Now the first results of e-beam exposures with this new type of mask writer are presented. Enhancements form the previous generation system include improved deflection systems, stage metrology, pattern data handling, and an address grid down to 10 nanometers. This system's specified performance enables it to produce reticles designed to support semiconductor fabrication utilizing 180 nanometer design rules, and beyond, with high accuracy and productivity.

  19. Comodulation masking release in an off-frequency masking paradigm.

    PubMed

    Grzeschik, Ramona; Lübken, Björn; Verhey, Jesko L

    2015-08-01

    Detection threshold of a sinusoidal signal masked by a broadband masker is lower when on- and off-frequency masker components have a correlated envelope, compared to a condition in which these masker components have different envelopes. This effect is commonly referred to as comodulation masking release (CMR). The present study investigated if there is a CMR in the absence of a masker component at the signal frequency, i.e., in an off-frequency masking paradigm. Thresholds were measured for a 500-Hz signal in the presence of a broadband masker with a spectral notch at the signal frequency. Thresholds were significantly lower for a (co-)modulated than for an unmodulated masker for all notch widths up to 400 Hz. An additional experiment showed that the particularly large CMR for the no-notch condition was due to the way the modulated masker was generated. No CMR was measured when the notched-noise masker was replaced by a pair of narrowband noises. The addition of more remote masker bands resulted in a CMR of about 3-4 dB. The notched-noise data were predicted on the basis of a modulation-filterbank model. The predictions of the narrowband noise conditions indicated that all mechanisms underlying CMR might still not be fully understood.

  20. Jig Aligns Shadow Mask On CCD

    NASA Technical Reports Server (NTRS)

    Matus, Carlos V.

    1989-01-01

    Alignment viewed through microscope. Alignment jig positions shadow mask on charge-coupled device (CCD) so metal film deposited on it precisely. Allows CCD package to be inserted and removed without disturbing alignment of mask. Holds CCD packages securely and isolates it electrostatically while providing electrical contact to each of its pins. When alignment jig assembled with CCD, used to move mask under micrometer control.

  1. Masked Hypertension is Associated With Cognitive Decline in Geriatric Age-Geriatric MASked Hypertension and Cognition (G-MASH-cog) Study.

    PubMed

    Esme, Mert; Yavuz, Burcu Balam; Yavuz, Bunyamin; Asil, Serkan; Tuna Dogrul, Rana; Sumer, Fatih; Kilic, Mustafa Kemal; Kizilarslanoglu, Muhammet Cemal; Varan, Hacer Dogan; Sagir, Aykut; Balci, Cafer; Halil, Meltem; Cankurtaran, Mustafa

    2018-01-16

    Masked hypertension is described as high ambulatory blood pressure measurements (ABPM) where office blood pressure measurements are normal. Effect of hypertension on cognitive functions is well known. However, the effect of masked hypertension on cognitive functions is unclear. The aim of this study is to examine the relationship between masked hypertension and cognitive functions. One hundred-two normotensive patients admitted to the Geriatric Medicine outpatient clinic were included. Exclusion criteria were hypertension, dementia, major depression, and usage of antihypertensive medication. All patients underwent ABPM procedures and average daytime blood pressure, mean blood pressure at night and the 24-hour average blood pressure measurements were recorded. Comprehensive geriatric assessment tests and neuropsychological tests were administered. The diagnosis of masked hypertension was based on the definitions in the 2013 guideline of the European Society of Cardiology. Forty-four patients (43%) were diagnosed with masked hypertension. Patients with masked hypertension had significantly lower scores on Mini-Mental State Examination (MMSE) test, Quick Mild Cognitive Impairment Test (QMCI), and Categorical Fluency Test than the normotensive patients (p = .011; p = .046; and p = .004; respectively). Montreal Cognitive Assessment Scale test score was lower in masked hypertension, although this was not statistically significant. This study may indicate that geriatric patients with masked hypertension, compared to normotensive patients have decreased cognitive functions. Masked hypertension should be kept in mind while assessing older adults. When masked hypertension is detected, cognitive assessment is essential to diagnose possible cognitive dysfunction at early stage. © The Author 2017. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  2. Assessment of molecular contamination in mask pod

    NASA Astrophysics Data System (ADS)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  3. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  4. Mechanisms of resistance to quinolones: target alterations, decreased accumulation and DNA gyrase protection.

    PubMed

    Ruiz, Joaquim

    2003-05-01

    Quinolones are broad-spectrum antibacterial agents, commonly used in both clinical and veterinary medicine. Their extensive use has resulted in bacteria rapidly developing resistance to these agents. Two mechanisms of quinolone resistance have been established to date: alterations in the targets of quinolones, and decreased accumulation due to impermeability of the membrane and/or an overexpression of efflux pump systems. Recently, mobile elements have also been described, carrying the qnr gene, which confers resistance to quinolones.

  5. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  6. Evaluation of a New Pediatric Positive Airway Pressure Mask

    PubMed Central

    Kushida, Clete A.; Halbower, Ann C.; Kryger, Meir H.; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J.; Mendoza, June

    2014-01-01

    Study Objectives: The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Methods: Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. Results: AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. Conclusions: The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. Citation: Kushida CA, Halbower AC, Kryger MH, Pelayo R, Assalone V, Cardell CY, Huston S, Willes L, Wimms AJ, Mendoza J. Evaluation of a new pediatric positive airway pressure mask. J Clin Sleep Med 2014;10(9):979-984. PMID:25142768

  7. Tumor Resistance against ALK Targeted Therapy-Where It Comes From and Where It Goes

    PubMed Central

    Mota, Ines; Patrucco, Enrico; Gambacorti-Passerini, Carlo; Chiarle, Roberto

    2018-01-01

    Anaplastic lymphoma kinase (ALK) is a validated molecular target in several ALK-rearranged malignancies, particularly in non-small-cell lung cancer (NSCLC), which has generated considerable interest and effort in developing ALK tyrosine kinase inhibitors (TKI). Crizotinib was the first ALK inhibitor to receive FDA approval for ALK-positive NSCLC patients treatment. However, the clinical benefit observed in targeting ALK in NSCLC is almost universally limited by the emergence of drug resistance with a median of occurrence of approximately 10 months after the initiation of therapy. Thus, to overcome crizotinib resistance, second/third-generation ALK inhibitors have been developed and received, or are close to receiving, FDA approval. However, even when treated with these new inhibitors tumors became resistant, both in vitro and in clinical settings. The elucidation of the diverse mechanisms through which resistance to ALK TKI emerges, has informed the design of novel therapeutic strategies to improve patients disease outcome. This review summarizes the currently available knowledge regarding ALK physiologic function/structure and neoplastic transforming role, as well as an update on ALK inhibitors and resistance mechanisms along with possible therapeutic strategies that may overcome the development of resistance. PMID:29495603

  8. Characterization of In Vivo Resistance to Osimertinib and JNJ-61186372, an EGFR/Met Bispecific Antibody, Reveals Unique and Consensus Mechanisms of Resistance.

    PubMed

    Emdal, Kristina B; Dittmann, Antje; Reddy, Raven J; Lescarbeau, Rebecca S; Moores, Sheri L; Laquerre, Sylvie; White, Forest M

    2017-11-01

    Approximately 10% of non-small cell lung cancer (NSCLC) patients in the United States and 40% of NSCLC patients in Asia have activating epidermal growth factor receptor (EGFR) mutations and are eligible to receive targeted anti-EGFR therapy. Despite an extension of life expectancy associated with this treatment, resistance to EGFR tyrosine kinase inhibitors and anti-EGFR antibodies is almost inevitable. To identify additional signaling routes that can be cotargeted to overcome resistance, we quantified tumor-specific molecular changes that govern resistant cancer cell growth and survival. Mass spectrometry-based quantitative proteomics was used to profile in vivo signaling changes in 41 therapy-resistant tumors from four xenograft NSCLC models. We identified unique and tumor-specific tyrosine phosphorylation rewiring in tumors resistant to treatment with the irreversible third-generation EGFR-inhibitor, osimertinib, or the novel dual-targeting EGFR/Met antibody, JNJ-61186372. Tumor-specific increases in tyrosine-phosphorylated peptides from EGFR family members, Shc1 and Gab1 or Src family kinase (SFK) substrates were observed, underscoring a differential ability of tumors to uniquely escape EGFR inhibition. Although most resistant tumors within each treatment group displayed a marked inhibition of EGFR as well as SFK signaling, the combination of EGFR inhibition (osimertinib) and SFK inhibition (saracatinib or dasatinib) led to further decrease in cell growth in vitro This result suggests that residual SFK signaling mediates therapeutic resistance and that elimination of this signal through combination therapy may delay onset of resistance. Overall, analysis of individual resistant tumors captured unique in vivo signaling rewiring that would have been masked by analysis of in vitro cell population averages. Mol Cancer Ther; 16(11); 2572-85. ©2017 AACR . ©2017 American Association for Cancer Research.

  9. The magic of 4X mask reduction

    NASA Astrophysics Data System (ADS)

    Lercel, Michael

    2006-06-01

    Although changing the mask reduction factor from 4X to a larger value offers several technical advantages, previous attempts to enact this change have not identified enough clear technical advantages to overcome the impact to productivity. Improvements in mask manufacturing, mask polarization effects, and optics cost have not been thought to be sufficient reason to accept a reduced throughput and field size. This paper summarizes the latest workshop and discussion revisiting the mask reduction factor for 32nm half-pitch lithography with hyper-numerical aperture (NA) optical or extreme ultraviolet lithography (EUVL). The workshop consensus was strongly in favor of maintaining the current magnification ratio and field size as long as mask costs can be contained.

  10. Protein kinases: mechanisms and downstream targets in inflammation-mediated obesity and insulin resistance.

    PubMed

    Nandipati, Kalyana C; Subramanian, Saravanan; Agrawal, Devendra K

    2017-02-01

    Obesity-induced low-grade inflammation (metaflammation) impairs insulin receptor signaling. This has been implicated in the development of insulin resistance. Insulin signaling in the target tissues is mediated by stress kinases such as p38 mitogen-activated protein kinase, c-Jun NH2-terminal kinase, inhibitor of NF-kB kinase complex β (IKKβ), AMP-activated protein kinase, protein kinase C, Rho-associated coiled-coil containing protein kinase, and RNA-activated protein kinase. Most of these kinases phosphorylate several key regulators in glucose homeostasis. The phosphorylation of serine residues in the insulin receptor and IRS-1 molecule results in diminished enzymatic activity in the phosphatidylinositol 3-kinase (PI3K)/Akt pathway. This has been one of the key mechanisms observed in the tissues that are implicated in insulin resistance especially in type 2 diabetes mellitus (T2-DM). Identifying the specific protein kinases involved in obesity-induced chronic inflammation may help in developing the targeted drug therapies to minimize the insulin resistance. This review is focused on the protein kinases involved in the inflammatory cascade and molecular mechanisms and their downstream targets with special reference to obesity-induced T2-DM.

  11. Ipsilateral masking between acoustic and electric stimulations.

    PubMed

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  12. Congruence Effect in Semantic Categorization with Masked Primes with Narrow and Broad Categories

    ERIC Educational Resources Information Center

    Quinn, Wendy Maree; Kinoshita, Sachiko

    2008-01-01

    In semantic categorization, masked primes that are category-congruent with the target (e.g., "Planets: mars-VENUS") facilitate responses relative to category-incongruent primes (e.g., "tree-VENUS"). The present study investigated why this category congruence effect is more consistently found with narrow categories (e.g., "Numbers larger/smaller…

  13. Overlay improvement by exposure map based mask registration optimization

    NASA Astrophysics Data System (ADS)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  14. Effect of target-masker similarity on across-ear interference in a dichotic cocktail-party listening task.

    PubMed

    Brungart, Douglas S; Simpson, Brian D

    2007-09-01

    Similarity between the target and masking voices is known to have a strong influence on performance in monaural and binaural selective attention tasks, but little is known about the role it might play in dichotic listening tasks with a target signal and one masking voice in the one ear and a second independent masking voice in the opposite ear. This experiment examined performance in a dichotic listening task with a target talker in one ear and same-talker, same-sex, or different-sex maskers in both the target and the unattended ears. The results indicate that listeners were most susceptible to across-ear interference with a different-sex within-ear masker and least susceptible with a same-talker within-ear masker, suggesting that the amount of across-ear interference cannot be predicted from the difficulty of selectively attending to the within-ear masking voice. The results also show that the amount of across-ear interference consistently increases when the across-ear masking voice is more similar to the target speech than the within-ear masking voice is, but that no corresponding decline in across-ear interference occurs when the across-ear voice is less similar to the target than the within-ear voice. These results are consistent with an "integrated strategy" model of speech perception where the listener chooses a segregation strategy based on the characteristics of the masker present in the target ear and the amount of across-ear interference is determined by the extent to which this strategy can also effectively be used to suppress the masker in the unattended ear.

  15. Evaluation of a native vegetation masking technique

    NASA Technical Reports Server (NTRS)

    Kinsler, M. C.

    1984-01-01

    A crop masking technique based on Ashburn's vegetative index (AVI) was used to evaluate native vegetation as an indicator of crop moisture condition. A mask of the range areas (native vegetation) was generated for each of thirteen Great Plains LANDSAT MSS sample segments. These masks were compared to the digitized ground truth and accuracies were computed. An analysis of the types of errors indicates a consistency in errors among the segments. The mask represents a simple quick-look technique for evaluating vegetative cover.

  16. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  17. Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks

    NASA Astrophysics Data System (ADS)

    Lee, Kyung M.; Yedur, Sanjay; Henrichs, Sven; Tavassoli, Malahat; Baik, Kiho

    2007-03-01

    Evaluation of lithography process or stepper involves very large quantity of CD measurements and measurement time. In this paper, we report on a application of Scatterometry based metrology for evaluation of binary photomask lithography. Measurements were made on mask level with ODP scatterometer then on wafer with CD-SEM. 4 to 1 scaling from mask to wafer means 60nm line on wafer translates to 240nm on mask, easily measurable on ODP. Calculation of scatterometer profile information was performed by a in-situ library-based analysis (5sec/site). We characterized the CD uniformity, linearity, and metal film thickness uniformity. Results show that linearity measured from fixed-pitch, varying line/space ratio targets show good correlation to top-down CD-SEM with R2 of more than 0.99. ODP-SEM correlation results for variable pitch shows that careful examination of scatterometer profile results in order to obtain better correlation to CD SEM, since both tools react differently to the target profile variation. ODP results show that global CD distribution is clearly measurable with less outliers compared to CD SEM data. This is thought to be due to 'averaging' effect of scatterometer. The data show that Scatterometry provides a nondestructive and faster mean of characterizing lithography stepper performanceprofiles. APSM 1st level (before Cr removal) 'dual-space' CDs and EPSM rectangular contacts were also measured with and results demonstrates that Scatterometer is capable of measuring these targets with reasonable correlation to SEM.

  18. Effect of Masked Regions on Weak-lensing Statistics

    NASA Astrophysics Data System (ADS)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi

    2013-09-01

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation. We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple χ2 analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg2 survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting χ2/n dof = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard ΛCDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.

  19. EFFECT OF MASKED REGIONS ON WEAK-LENSING STATISTICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi, E-mail: masato.shirasaki@utap.phys.s.u-tokyo.ac.jp

    2013-09-10

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation.more » We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple {chi}{sup 2} analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg{sup 2} survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting {chi}{sup 2}/n{sub dof} = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard {Lambda}CDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.« less

  20. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weilnboeck, F.; Metzler, D.; Kumar, N.

    2011-12-26

    Roughening of nanoscale polymer masks during plasma etching (PE) limits feature critical dimensions in current and future lithographic technologies. Roughness formation of 193 nm photoresist (PR) is mechanistically explained by plasma-induced changes in mechanical properties introduced at the PR surface ({approx}2 nm) by ions and in parallel in the material bulk ({approx}200 nm) by ultraviolet (UV) plasma radiation. Synergistic roughening of polymer masks can be prevented by pretreating PR patterns with a high dose of He plasma UV exposure to saturate bulk material modifications. During subsequent PE, PR patterns are stabilized and exhibit improved etch resistance and reduced surface/line-edge roughness.

  1. Associative priming in a masked perceptual identification task: evidence for automatic processes.

    PubMed

    Pecher, Diane; Zeelenberg, René; Raaijmakers, Jeroen G W

    2002-10-01

    Two experiments investigated the influence of automatic and strategic processes on associative priming effects in a perceptual identification task in which prime-target pairs are briefly presented and masked. In this paradigm, priming is defined as a higher percentage of correctly identified targets for related pairs than for unrelated pairs. In Experiment 1, priming was obtained for mediated word pairs. This mediated priming effect was affected neither by the presence of direct associations nor by the presentation time of the primes, indicating that automatic priming effects play a role in perceptual identification. Experiment 2 showed that the priming effect was not affected by the proportion (.90 vs. .10) of related pairs if primes were presented briefly to prevent their identification. However, a large proportion effect was found when primes were presented for 1000 ms so that they were clearly visible. These results indicate that priming in a masked perceptual identification task is the result of automatic processes and is not affected by strategies. The present paradigm provides a valuable alternative to more commonly used tasks such as lexical decision.

  2. Breast Cancer-Targeted Nuclear Drug Delivery Overcoming Drug Resistance for Breast Cancer Chemotherapy

    DTIC Science & Technology

    2011-09-01

    breast-cancer-targeted nuclear drug delivery carriers , but we found that the ability of the PEI to disrupt the endosome/lysosome membrane was not...AD_________________ Award Number: W81XWH-09-1-0502 TITLE: Breast Cancer-Targeted Nuclear Drug ...Delivery Overcoming Drug Resistance for Breast Cancer Chemotherapy PRINCIPAL INVESTIGATOR: Youqing Shen, Ph.D

  3. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  4. Nonviral Genome Editing Based on a Polymer-Derivatized CRISPR Nanocomplex for Targeting Bacterial Pathogens and Antibiotic Resistance.

    PubMed

    Kang, Yoo Kyung; Kwon, Kyu; Ryu, Jea Sung; Lee, Ha Neul; Park, Chankyu; Chung, Hyun Jung

    2017-04-19

    The overuse of antibiotics plays a major role in the emergence and spread of multidrug-resistant bacteria. A molecularly targeted, specific treatment method for bacterial pathogens can prevent this problem by reducing the selective pressure during microbial growth. Herein, we introduce a nonviral treatment strategy delivering genome editing material for targeting antibacterial resistance. We apply the CRISPR-Cas9 system, which has been recognized as an innovative tool for highly specific and efficient genome engineering in different organisms, as the delivery cargo. We utilize polymer-derivatized Cas9, by direct covalent modification of the protein with cationic polymer, for subsequent complexation with single-guide RNA targeting antibiotic resistance. We show that nanosized CRISPR complexes (= Cr-Nanocomplex) were successfully formed, while maintaining the functional activity of Cas9 endonuclease to induce double-strand DNA cleavage. We also demonstrate that the Cr-Nanocomplex designed to target mecA-the major gene involved in methicillin resistance-can be efficiently delivered into Methicillin-resistant Staphylococcus aureus (MRSA), and allow the editing of the bacterial genome with much higher efficiency compared to using native Cas9 complexes or conventional lipid-based formulations. The present study shows for the first time that a covalently modified CRISPR system allows nonviral, therapeutic genome editing, and can be potentially applied as a target specific antimicrobial.

  5. Homophone Dominance Modulates the Phonemic-Masking Effect.

    ERIC Educational Resources Information Center

    Berent, Iris; Van Orden, Guy C.

    2000-01-01

    Finds (1) positive phonemic-masking effects occurred for dominant homophones; (2) null phonemic-masking effects occurred for subordinate homophones; and (3) subordinate homophones were much more likely to be falsely identified as their dominant mate. Suggests the source of these null phonemic-masking is itself a phonology effect. Concludes…

  6. Invited Article: Mask-modulated lensless imaging with multi-angle illuminations

    NASA Astrophysics Data System (ADS)

    Zhang, Zibang; Zhou, You; Jiang, Shaowei; Guo, Kaikai; Hoshino, Kazunori; Zhong, Jingang; Suo, Jinli; Dai, Qionghai; Zheng, Guoan

    2018-06-01

    The use of multiple diverse measurements can make lensless phase retrieval more robust. Conventional diversity functions include aperture diversity, wavelength diversity, translational diversity, and defocus diversity. Here we discuss a lensless imaging scheme that employs multiple spherical-wave illuminations from a light-emitting diode array as diversity functions. In this scheme, we place a binary mask between the sample and the detector for imposing support constraints for the phase retrieval process. This support constraint enforces the light field to be zero at certain locations and is similar to the aperture constraint in Fourier ptychographic microscopy. We use a self-calibration algorithm to correct the misalignment of the binary mask. The efficacy of the proposed scheme is first demonstrated by simulations where we evaluate the reconstruction quality using mean square error and structural similarity index. The scheme is then experimentally tested by recovering images of a resolution target and biological samples. The proposed scheme may provide new insights for developing compact and large field-of-view lensless imaging platforms. The use of the binary mask can also be combined with other diversity functions for better constraining the phase retrieval solution space. We provide the open-source implementation code for the broad research community.

  7. The total face mask is more comfortable than the oronasal mask in noninvasive ventilation but is not associated with improved outcome.

    PubMed

    Chacur, Fernando Hauaji; Vilella Felipe, Luis Marcelo; Fernandes, Cintia Gonçalves; Lazzarini, Luiz Claudio Oliveira

    2011-01-01

    Noninvasive positive-pressure ventilation (NPPV) is commonly used to improve ventilation and oxygenation and avoid endotracheal intubation and mechanical ventilation. Although clinically indicated, most patients fail to use NPPV due to mask intolerance. A total face mask was designed to increase compliance, but whether this translates into better outcome (improvement in clinical and blood gas parameters and less intubation) is unknown. We compared the evolution of the clinical parameters, blood gases, levels of ventilatory support and rate of endotracheal intubation using the total face mask or the traditional oronasal mask during NPPV. A total of 60 patients were randomized to use either mask during NPPV. The clinical and laboratory parameters, as well as the level of ventilatory support were recorded at different intervals in both groups for up to 6 h. In addition, the tolerance for each mask and the need for endotracheal intubation were compared. Patients tolerated the total face mask significantly better (p = 0.0010) and used NPPV for a longer time (p = 0.0017) when compared with the oronasal mask. Just 1 patient switched to the total face mask because of intolerance. Although better tolerated, the rate of endotracheal intubation was similar in both groups (p = 0.4376), as was the clinical and laboratory evolution. The total face mask was more comfortable, allowing the patients to tolerate NPPV longer; however, these accomplishments did not translate into a better outcome. Due to its comfort, the total face mask should be available, at least as an option, in units where NPPVs are routinely applied. Copyright © 2011 S. Karger AG, Basel.

  8. 21 CFR 868.5560 - Gas mask head strap.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  9. Discovery of Influenza A Virus Sequence Pairs and Their Combinations for Simultaneous Heterosubtypic Targeting that Hedge against Antiviral Resistance

    PubMed Central

    Lin, Jing; Pramono, Zacharias Aloysius Dwi; Maurer-Stroh, Sebastian

    2016-01-01

    The multiple circulating human influenza A virus subtypes coupled with the perpetual genomic mutations and segment reassortment events challenge the development of effective therapeutics. The capacity to drug most RNAs motivates the investigation on viral RNA targets. 123,060 segment sequences from 35,938 strains of the most prevalent subtypes also infecting humans–H1N1, 2009 pandemic H1N1, H3N2, H5N1 and H7N9, were used to identify 1,183 conserved RNA target sequences (≥15-mer) in the internal segments. 100% theoretical coverage in simultaneous heterosubtypic targeting is achieved by pairing specific sequences from the same segment (“Duals”) or from two segments (“Doubles”); 1,662 Duals and 28,463 Doubles identified. By combining specific Duals and/or Doubles to form a target graph wherein an edge connecting two vertices (target sequences) represents a Dual or Double, it is possible to hedge against antiviral resistance besides maintaining 100% heterosubtypic coverage. To evaluate the hedging potential, we define the hedge-factor as the minimum number of resistant target sequences that will render the graph to become resistant i.e. eliminate all the edges therein; a target sequence or a graph is considered resistant when it cannot achieve 100% heterosubtypic coverage. In an n-vertices graph (n ≥ 3), the hedge-factor is maximal (= n– 1) when it is a complete graph i.e. every distinct pair in a graph is either a Dual or Double. Computational analyses uncover an extensive number of complete graphs of different sizes. Monte Carlo simulations show that the mutation counts and time elapsed for a target graph to become resistant increase with the hedge-factor. Incidentally, target sequences which were reported to reduce virus titre in experiments are included in our target graphs. The identity of target sequence pairs for heterosubtypic targeting and their combinations for hedging antiviral resistance are useful toolkits to construct target graphs for

  10. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  11. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  12. Combining Simultaneous with Temporal Masking

    ERIC Educational Resources Information Center

    Hermens, Frouke; Herzog, Michael H.; Francis, Gregory

    2009-01-01

    Simultaneous and temporal masking are two frequently used techniques in psychology and vision science. Although there are many studies and theories related to each masking technique, there are no systematic investigations of their mutual relationship, even though both techniques are often applied together. Here, the authors show that temporal…

  13. Masked mycotoxins: A review

    PubMed Central

    Berthiller, Franz; Crews, Colin; Dall'Asta, Chiara; Saeger, Sarah De; Haesaert, Geert; Karlovsky, Petr; Oswald, Isabelle P; Seefelder, Walburga; Speijers, Gerrit; Stroka, Joerg

    2013-01-01

    The aim of this review is to give a comprehensive overview of the current knowledge on plant metabolites of mycotoxins, also called masked mycotoxins. Mycotoxins are secondary fungal metabolites, toxic to human and animals. Toxigenic fungi often grow on edible plants, thus contaminating food and feed. Plants, as living organisms, can alter the chemical structure of mycotoxins as part of their defence against xenobiotics. The extractable conjugated or non-extractable bound mycotoxins formed remain present in the plant tissue but are currently neither routinely screened for in food nor regulated by legislation, thus they may be considered masked. Fusarium mycotoxins (deoxynivalenol, zearalenone, fumonisins, nivalenol, fusarenon-X, T-2 toxin, HT-2 toxin, fusaric acid) are prone to metabolisation or binding by plants, but transformation of other mycotoxins by plants (ochratoxin A, patulin, destruxins) has also been described. Toxicological data are scarce, but several studies highlight the potential threat to consumer safety from these substances. In particular, the possible hydrolysis of masked mycotoxins back to their toxic parents during mammalian digestion raises concerns. Dedicated chapters of this article address plant metabolism as well as the occurrence of masked mycotoxins in food, analytical aspects for their determination, toxicology and their impact on stakeholders. PMID:23047235

  14. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  15. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  16. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  17. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  18. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  19. Informational masking and musical training

    NASA Astrophysics Data System (ADS)

    Oxenham, Andrew J.; Fligor, Brian J.; Mason, Christine R.; Kidd, Gerald

    2003-09-01

    The relationship between musical training and informational masking was studied for 24 young adult listeners with normal hearing. The listeners were divided into two groups based on musical training. In one group, the listeners had little or no musical training; the other group was comprised of highly trained, currently active musicians. The hypothesis was that musicians may be less susceptible to informational masking, which is thought to reflect central, rather than peripheral, limitations on the processing of sound. Masked thresholds were measured in two conditions, similar to those used by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)]. In both conditions the signal was comprised of a series of repeated tone bursts at 1 kHz. The masker was comprised of a series of multitone bursts, gated with the signal. In one condition the frequencies of the masker were selected randomly for each burst; in the other condition the masker frequencies were selected randomly for the first burst of each interval and then remained constant throughout the interval. The difference in thresholds between the two conditions was taken as a measure of informational masking. Frequency selectivity, using the notched-noise method, was also estimated in the two groups. The results showed no difference in frequency selectivity between the two groups, but showed a large and significant difference in the amount of informational masking between musically trained and untrained listeners. This informational masking task, which requires no knowledge specific to musical training (such as note or interval names) and is generally not susceptible to systematic short- or medium-term training effects, may provide a basis for further studies of analytic listening abilities in different populations.

  20. Effects of immobilization mask material on surface dose

    PubMed Central

    Hadley, Scott W.; Kelly, Robin; Lam, Kwok

    2005-01-01

    This work investigates the increase in surface dose caused by thermoplastic masks used for patient positioning and immobilization. A thermoplastic mask is custom fit by stretching a heated mask over the patient at the time of treatment simulation. This mask is then used at treatment to increase the reproducibility of the patient position. The skin sparing effect of mega‐voltage X‐ray beams can be reduced when the patient's skin surface is under the mask material. The sheet of thermoplastic mask has holes to reduce this effect and is available from one manufacturer with two different sizes of holes, one larger than the other. This work investigates the increase in surface dose caused by the mask material and quantifies the difference between the two samples of masks available. The change in the dose buildup was measured using an Attix parallel plate chamber by measuring tissue maximum ratios (TMRs) using solid water. Measurements were made with and without the mask material on the surface of the solid water for 6‐MV and 15‐MV X‐ray beams. The effective thickness of equivalent water was estimated from the TMR curves, and the increase in surface dose was estimated. The buildup effect was measured to be equivalent to 2.2 mm to 0.6 mm for masks that have been stretched by different amounts. The surface dose was estimated to change from 16% and 12% for 6 MV and 15 MV, respectively, to 27% to 61% for 6 MV and 18% to 40% for 15 MV with the mask samples. PACS number: 87.53.Dq PMID:15770192

  1. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    PubMed

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  2. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    PubMed Central

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  3. Mask etcher data strategy for 45nm and beyond

    NASA Astrophysics Data System (ADS)

    Lewington, Richard; Ibrahim, Ibrahim M.; Panayil, Sheeba; Kumar, Ajay; Yamartino, John

    2006-05-01

    Mask Etching for the 45nm technology node and beyond requires a system-level data and diagnostics strategy. This necessity stems from the need to control the performance of the mask etcher to increasingly stringent and diverse requirements of the mask production environment. Increasing mask costs and the capability to acquire and consolidate a wealth of data within the mask etch platform are primary motivators towards harnessing data mines for feedback into the mask etching optimization. There are offline and real-time possibilities and scenarios. Here, we discuss the data architecture, acquisition, and strategies of the Applied Materials Tetra II TM Mask Etch System.

  4. Listeners Experience Linguistic Masking Release in Noise-Vocoded Speech-in-Speech Recognition.

    PubMed

    Viswanathan, Navin; Kokkinakis, Kostas; Williams, Brittany T

    2018-02-15

    The purpose of this study was to evaluate whether listeners with normal hearing perceiving noise-vocoded speech-in-speech demonstrate better intelligibility of target speech when the background speech was mismatched in language (linguistic release from masking [LRM]) and/or location (spatial release from masking [SRM]) relative to the target. We also assessed whether the spectral resolution of the noise-vocoded stimuli affected the presence of LRM and SRM under these conditions. In Experiment 1, a mixed factorial design was used to simultaneously manipulate the masker language (within-subject, English vs. Dutch), the simulated masker location (within-subject, right, center, left), and the spectral resolution (between-subjects, 6 vs. 12 channels) of noise-vocoded target-masker combinations presented at +25 dB signal-to-noise ratio (SNR). In Experiment 2, the study was repeated using a spectral resolution of 12 channels at +15 dB SNR. In both experiments, listeners' intelligibility of noise-vocoded targets was better when the background masker was Dutch, demonstrating reliable LRM in all conditions. The pattern of results in Experiment 1 was not reliably different across the 6- and 12-channel noise-vocoded speech. Finally, a reliable spatial benefit (SRM) was detected only in the more challenging SNR condition (Experiment 2). The current study is the first to report a clear LRM benefit in noise-vocoded speech-in-speech recognition. Our results indicate that this benefit is available even under spectrally degraded conditions and that it may augment the benefit due to spatial separation of target speech and competing backgrounds.

  5. Taming the Wildness of "Trojan-Horse" Peptides by Charge-Guided Masking and Protease-Triggered Demasking for the Controlled Delivery of Antitumor Agents.

    PubMed

    Shi, Nian-Qiu; Qi, Xian-Rong

    2017-03-29

    Cell-penetrating peptide (CPP), also called "Trojan Horse" peptide, has become a successful approach to deliver various payloads into cells for achieving the intracellular access. However, the "Trojan Horse" peptide is too wild, not just to "Troy", but rather widely distributed in the body. Thus, there is an urgent need to tame the wildness of "Trojan Horse" peptide for targeted delivery of antineoplastic agents to the tumor site. To achieve this goal, we exploit a masked CPP-doxorubicin conjugate platform for targeted delivery of chemotherapeutic drugs using charge-guided masking and protease-triggered demasking strategies. In this platform, the cell-penetrating function of the positively CPP (d-form nonaarginine) is abrogated by a negatively shielding peptide (masked CPP), and between them is a cleavable substrate peptide by the protease (MMP-2/9). Protease-triggered demasking would occur when the masked CPP reached the MMP-2/9-riched tumor. The CPP-doxorubicin conjugate (CPP-Dox) and the masked CPP-Dox conjugate (mCPP-Dox) were used as models for the evaluation of masking and demasking processes. It was found that exogenous MMP-2/9 could effectively trigger the reversion of CPP-cargo in this conjugate, and this trigger adhered to the Michaelis-Menten kinetics profile. This conjugate was sensitive to the trigger of endogenous MMP-2/9 and could induce enhanced cytotoxicity toward MMP-2/9-rich tumor cells. In vivo antitumor efficacy revealed that this masked conjugate had considerable antitumor activity and could inhibit the tumor growth at a higher level relative to CPP-cargo. Low toxicity in vivo showed the noticeably decreased wildness of this conjugate toward normal tissues and more controllable entry of antitumor agents into "Troy". On the basis of analyses in vitro and in vivo, this mCPP-cargo conjugate delivery system held an improved selectivity toward MMP-2/9-rich tumors and would be a promising strategy for tumor-targeted treatment.

  6. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  7. Feedback activation of STAT3 mediates trastuzumab resistance via upregulation of MUC1 and MUC4 expression

    PubMed Central

    Li, Wei; Fan, Kexing; Qian, Weizhu; Hou, Sheng; Wang, Hao; Dai, Jianxin; Wei, Huafeng; Guo, Yajun

    2014-01-01

    Although HER2-targeting antibody trastuzumab confers a substantial benefit for patients with HER2-overexpressing breast and gastric cancer, overcoming trastuzumab resistance remains a large unmet need. In this study, we revealed a STAT3-centered positive feedback loop that mediates the resistance of trastuzumab. Mechanistically, chronic exposure of trastuzumab causes the upregulation of fibronection (FN), EGF and IL-6 in parental trastuzumab-sensitive breast and gastric cells and convergently leads to STAT3 hyperactivation. Activated STAT3 enhances the expression of FN, EGF and IL-6, thus constituting a positive feedback loop which amplifies and maintains the STAT3 signal; furthermore, hyperactivated STAT3 signal promotes the expression of MUC1 and MUC4, consequently mediating trastuzumab resistance via maintenance of persistent HER2 activation and masking of trastuzumab binding to HER2 respectively. Genetic or pharmacological inhibition of STAT3 disrupted STAT3-dependent positive feedback loop and recovered the trastuzumab sensitivity partially due to increased apoptosis induction. Combined trastuzumab with STAT3 inhibition synergistically suppressed the growth of the trastuzumab-resistant tumor xenografts in vivo. Taken together, our results suggest that feedback activation of STAT3 constitutes a key node mediating trastuzumab resistance. Combinatorial targeting on both HER2 and STAT3 may enhance the efficacy of trastuzumab or other HER2-targeting agents in HER2-positive breast and gastric cancer. PMID:25327561

  8. Feedback activation of STAT3 mediates trastuzumab resistance via upregulation of MUC1 and MUC4 expression.

    PubMed

    Li, Guangchao; Zhao, Likun; Li, Wei; Fan, Kexing; Qian, Weizhu; Hou, Sheng; Wang, Hao; Dai, Jianxin; Wei, Huafeng; Guo, Yajun

    2014-09-30

    Although HER2-targeting antibody trastuzumab confers a substantial benefit for patients with HER2-overexpressing breast and gastric cancer, overcoming trastuzumab resistance remains a large unmet need. In this study, we revealed a STAT3-centered positive feedback loop that mediates the resistance of trastuzumab. Mechanistically, chronic exposure of trastuzumab causes the upregulation of fibronection (FN), EGF and IL-6 in parental trastuzumab-sensitive breast and gastric cells and convergently leads to STAT3 hyperactivation. Activated STAT3 enhances the expression of FN, EGF and IL-6, thus constituting a positive feedback loop which amplifies and maintains the STAT3 signal; furthermore, hyperactivated STAT3 signal promotes the expression of MUC1 and MUC4, consequently mediating trastuzumab resistance via maintenance of persistent HER2 activation and masking of trastuzumab binding to HER2 respectively. Genetic or pharmacological inhibition of STAT3 disrupted STAT3-dependent positive feedback loop and recovered the trastuzumab sensitivity partially due to increased apoptosis induction. Combined trastuzumab with STAT3 inhibition synergistically suppressed the growth of the trastuzumab-resistant tumor xenografts in vivo. Taken together, our results suggest that feedback activation of STAT3 constitutes a key node mediating trastuzumab resistance. Combinatorial targeting on both HER2 and STAT3 may enhance the efficacy of trastuzumab or other HER2-targeting agents in HER2-positive breast and gastric cancer.

  9. Bias in masked word identification: unconscious influences of repetition priming.

    PubMed

    Masson, Michael E J

    2002-12-01

    The beneficial influence of a prior study episode on subsequent identification of a word includes a large bias component, revealed in the forced-choice variant of the masked word identification test. In that type of test, subjects show a preference for a studied probe over a nonstudied probe, regardless of which one matches the masked target word. The forced-choice test was used in the present experiments to test the possibility that this bias effect is due to conscious recollection. Results show that bias was strongly attenuated (1) by changes in modality between study and test, and (2) under certain conditions, by using a conceptually driven study task. The bias effect was found only when probes were orthographically similar to one another, as predicted by the counter model (Ratcliff & McKoon, 1997). These results provide strong evidence that the bias effect is not mediated by conscious recollection.

  10. Polyurethane Masks Large Areas in Electroplating

    NASA Technical Reports Server (NTRS)

    Beasley, J. L.

    1985-01-01

    Polyurethane foam provides effective mask in electroplating of copper or nickel. Thin layer of Turco maskant painted on area to be masked: Layer ensures polyurethane foam removed easily after served its purpose. Component A, isocyanate, and component B, polyol, mixed together and brushed or sprayed on mask area. Mixture reacts, yielding polyurethane foam. Foam prevents deposition of nickel or copper on covered area. New method saves time, increases productivity and uses less material than older procedures.

  11. Optimal mask characterization by Surrogate Wafer Print (SWaP) method

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hoellein, Ingo; Peters, Jan Hendrick; Ackmann, Paul; Connolly, Brid; West, Craig

    2008-10-01

    Traditionally, definition of mask specifications is done completely by the mask user, while characterization of the mask relative to the specifications is done completely by the mask maker. As the challenges of low-k1 imaging continue to grow in scope of designs and in absolute complexity, the inevitable partnership between wafer lithographers and mask makers has strengthened as well. This is reflected in the jointly owned mask facilities and device manufacturers' continued maintenance of fully captive mask shops which foster the closer mask-litho relationships. However, while some device manufacturers have leveraged this to optimize mask specifications before the mask is built and, therefore, improve mask yield and cost, the opportunity for post-fabrication partnering on mask characterization is more apparent and compelling. The Advanced Mask Technology Center (AMTC) has been investigating the concept of assessing how a mask images, rather than the mask's physical attributes, as a technically superior and lower-cost method to characterize a mask. The idea of printing a mask under its intended imaging conditions, then characterizing the imaged wafer as a surrogate for traditional mask inspections and measurements represents the ultimate method to characterize a mask's performance, which is most meaningful to the user. Surrogate wafer print (SWaP) is already done as part of leading-edge wafer fab mask qualification to validate defect and dimensional performance. In the past, the prospect of executing this concept has generally been summarily discarded as technically untenable and logistically intractable. The AMTC published a paper at BACUS 2007 successfully demonstrating the performance of SWaP for the characterization of defects as an alternative to traditional mask inspection [1]. It showed that this concept is not only feasible, but, in some cases, desirable. This paper expands on last year's work at AMTC to assess the full implementation of SWaP as an

  12. Coronagraphic mask design using Hermite functions.

    PubMed

    Cagigal, Manuel P; Canales, Vidal F; Valle, Pedro J; Oti, José E

    2009-10-26

    We introduce a stellar coronagraph that uses a coronagraphic mask described by a Hermite function or a combination of them. It allows the detection of exoplanets providing both deep starlight extinction and high angular resolution. This angular resolution depends on the order of the Hermite function used. An analysis of the coronagraph performance is carried out for different even order masks. Numerical simulations of the ideal case, with no phase errors and perfect telescope pointing, show that on-axis starlight is reduced to very low intensity levels corresponding to a gain of at least 25 magnitudes (10(-10) light intensity reduction). The coronagraphic throughput depends on the Hermite function or combination selected. The proposed mask series presents the same advantages of band limited masks along with the benefit of reducing the light diffracted by the mask border thanks to its particular shape. Nevertheless, for direct detection of Earth-like exoplanets it requires the use of adaptive optics facilities for compensating the perturbations introduced by the atmosphere and by the optical system.

  13. Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-07-01

    The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.

  14. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    PubMed

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  15. Scatterometry on pelliclized masks: an option for wafer fabs

    NASA Astrophysics Data System (ADS)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  16. The Art of Japanese Masks and Kimonos

    ERIC Educational Resources Information Center

    Brooks, Nancy Johnston

    2009-01-01

    Japanese masks have been worn for a number of reasons. In the past they were often used in plays and celebrations. Today in Japan, social masks are essential in many party gatherings as a form of expressing one's personality. In this article, the author describes an art project wherein students created Japanese masks and kimonos.

  17. Method for mask repair using defect compensation

    DOEpatents

    Sweeney, Donald W.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    A method for repair of amplitude and/or phase defects in lithographic masks. The method involves modifying or altering a portion of the absorber pattern on the surface of the mask blank proximate to the mask defect to compensate for the local disturbance (amplitude or phase) of the optical field due to the defect.

  18. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  19. Mask aligner for ultrahigh vacuum with capacitive distance control

    NASA Astrophysics Data System (ADS)

    Bhaskar, Priyamvada; Mathioudakis, Simon; Olschewski, Tim; Muckel, Florian; Bindel, Jan Raphael; Pratzer, Marco; Liebmann, Marcus; Morgenstern, Markus

    2018-04-01

    We present a mask aligner driven by three piezomotors which guides and aligns a SiN shadow mask under capacitive control towards a sample surface. The three capacitors for read out are located at the backside of the thin mask such that the mask can be placed at a μm distance from the sample surface, while keeping it parallel to the surface, without touching the sample by the mask a priori. Samples and masks can be exchanged in-situ and the mask can additionally be displaced parallel to the surface. We demonstrate an edge sharpness of the deposited structures below 100 nm, which is likely limited by the diffusion of the deposited Au on Si(111).

  20. Masked Repetition Priming Using Magnetoencephalography

    ERIC Educational Resources Information Center

    Monahan, Philip J.; Fiorentino, Robert; Poeppel, David

    2008-01-01

    Masked priming is used in psycholinguistic studies to assess questions about lexical access and representation. We present two masked priming experiments using MEG. If the MEG signal elicited by words reflects specific aspects of lexical retrieval, then one expects to identify specific neural correlates of retrieval that are sensitive to priming.…

  1. MiR-20a-5p promotes radio-resistance by targeting Rab27B in nasopharyngeal cancer cells.

    PubMed

    Huang, Dabing; Bian, Geng; Pan, Yueyin; Han, Xinghua; Sun, Yubei; Wang, Yong; Shen, Guodong; Cheng, Min; Fang, Xiang; Hu, Shilian

    2017-01-01

    MicroRNAs (miRNAs) was reported to be involved in cancer radio-resistance, which remains a major obstacle for effective cancer therapy. The differently expressed miRNAs were detected by RNA-seq experiment in nasopharyngeal cancer (NPC) cells. MiR-20a-5p was selected as our target, which was subject to finding its target gene Rab27B via bioinformatics analysis. The qRT-PCR, western blot and the luciferase reporter assays were performed to confirm Rab27B as the target of miR-20a-5p. In addition, the roles of miR-20a-5p in NPC radio-resistance were detected by transfection of either miR-20a-5p-mimic or miR-20a-5p-antagomiR. The involvement of Rab27B with NPC radio-resistance was also detected by the experiments with siRNA-mediated repression of Rab27B or over-expression of GFP-Rab27B. Wound healing and invasion assays were performed to detect the roles of both miR-20a-5p and Rab27B. MiR-20a-5p promotes NPC radio-resistance. We identified that its target gene Rab27B negatively correlates with miR-20a-5p-mediated NPC radio-resistance by systematic studies of a radio-sensitive (CNE-2) and resistant (CNE-1) NPC cell lines. Repression of Rab27B by siRNA suppresses cell apoptosis and passivates CNE-2 cells, whereas over-expression of Rab27B triggered cell apoptosis and sensitizes CNE-1 cells. MiR-20a-5p and its target gene Rab27B might be involved in the NPC radio-resistance. Thus the key players and regulators involved in this pathway might be the potential targets for developing effective therapeutic strategies against NPC.

  2. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  3. Adaptation to different noninvasive ventilation masks in critically ill patients*

    PubMed Central

    da Silva, Renata Matos; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    OBJECTIVE: To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. METHODS: An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. RESULTS: We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. CONCLUSIONS: In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed. PMID:24068269

  4. Adaptation to different noninvasive ventilation masks in critically ill patients.

    PubMed

    Silva, Renata Matos da; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed.

  5. Promiscuous, Multi-Target Lupane-Type Triterpenoids Inhibits Wild Type and Drug Resistant HIV-1 Replication Through the Interference With Several Targets.

    PubMed

    Bedoya, Luis M; Beltrán, Manuela; García-Pérez, Javier; Obregón-Calderón, Patricia; Callies, Oliver; Jímenez, Ignacio A; Bazzocchi, Isabel L; Alcamí, José

    2018-01-01

    Current research on antiretroviral therapy is mainly focused in the development of new formulations or combinations of drugs belonging to already known targets. However, HIV-1 infection is not cured by current therapy and thus, new approaches are needed. Bevirimat was developed by chemical modification of betulinic acid, a lupane-type pentacyclic triterpenoid (LPT), as a first-in-class HIV-1 maturation inhibitor. However, in clinical trials, bevirimat showed less activity than expected because of the presence of a natural mutation in Gag protein that conferred resistance to a high proportion of HIV-1 strains. In this work, three HIV-1 inhibitors selected from a set of previously screened LPTs were investigated for their targets in the HIV-1 replication cycle, including their maturation inhibitor effect. LPTs were found to inhibit HIV-1 infection acting as promiscuous compounds with several targets in the HIV-1 replication cycle. LPT12 inhibited HIV-1 infection mainly through reverse transcription, integration, viral transcription, viral proteins (Gag) production and maturation inhibition. LPT38 did it through integration, viral transcription or Gag production inhibition and finally, LPT42 inhibited reverse transcription, viral transcription or Gag production. The three LPTs inhibited HIV-1 infection of human primary lymphocytes and infections with protease inhibitors and bevirimat resistant HIV-1 variants with similar values of IC 50 . Therefore, we show that the LPTs tested inhibited HIV-1 infection through acting on different targets depending on their chemical structure and the activities of the different LPTs vary with slight structural alterations. For example, of the three LPTs under study, we found that only LPT12 inhibited infectivity of newly-formed viral particles, suggesting a direct action on the maturation process. Thus, the multi-target behavior gives a potential advantage to these compounds since HIV-1 resistance can be overcome by modulating more

  6. Protein kinases: mechanisms and downstream targets in inflammation mediated obesity and insulin resistance

    PubMed Central

    Nandipati, Kalyana C; Subramanian, Saravanan; Agrawal, Devendra K

    2016-01-01

    Obesity induced low-grade inflammation (metaflammation) impairs insulin receptor signaling (IRS). This has been implicated in the development of insulin resistance. Insulin signaling in the target tissues is mediated by stress kinases such as p38 mitogen-activated protein kinase (MAPK), c-Jun NH2-terminal kinase (JNK), inhibitor of NF-kB kinase complex beta (IKKβ), AMP activated protein kinase (AMPK), protein kinase C (PKC), Rho associated coiled-coil containing protein kinase (ROCK) and RNA-activated protein kinase (PKR), etc. Most of these kinases phosphorylate several key regulators in glucose homeostasis. The phosphorylation of serine residues in the insulin receptor (IR) and IRS-1 molecule results in diminished enzymatic activity in the phosphatidylinositol 3-kinase (PI3K)/Akt pathway. This has been one of the key mechanisms observed in the tissues that are implicated in insulin resistance especially in Type II Diabetes Mellitus (T2-DM). Identifying the specific protein kinases involved in obesity induced chronic inflammation may help in developing the targeted drug therapies to minimize the insulin resistance. This review is focused on the protein kinases involved in the inflammatory cascade and molecular mechanisms and their downstream targets with special reference to obesity induced T2-DM. PMID:27868170

  7. Not Everybody Sees the Ness in the Darkness: Individual Differences in Masked Suffix Priming

    PubMed Central

    Medeiros, Joyse; Duñabeitia, Jon Andoni

    2016-01-01

    The present study explores the role of individual differences in polymorphemic word recognition. Participants completed a masked priming lexical decision experiment on suffixed words in which targets could be preceded by suffix-related words (words sharing the same suffix) or by affixed primes with a different suffix. Participants also completed a monomorphemic word lexical decision and were divided in two groups (fast and slow readers) according to their performance in this task. When the suffix priming data were analyzed taking into consideration participants' reading speed as a proxy for their greater reliance on orthography or on semantics, a significant interaction between reading speed and the magnitude of the masked suffix priming effects emerged. Only slow participants showed significant priming effects, whereas faster participants showed negligible masked suffix priming effects. These results demonstrate that different reading profiles modulate the access to morphological information in a qualitatively different manner and that individual differences in reading determine the manner in which polymorphemic words are processed. PMID:27790180

  8. Studying the inner regions of young stars and their disks with aperture masking interferometry

    NASA Astrophysics Data System (ADS)

    Greenbaum, Alexandra; Sivaramakrishnan, Anand; GPI Instrument Team; NIRISS Instrument Team

    2017-01-01

    High resolution aperture masking interferometry complements coronagraphic imagers to provide a unique perspective on star and planet formation at more moderate contrast. By targeting young stars, especially those with disks, we aim to understand complex protoplanetary environments. Ground-based non-redundant masking (NRM) paired with spectrographs and polarimeters probes both thermally emitting young companions, possibly embedded in the disk or gap and scattered light in protoplanetary disks. And soon the community will have access to the most stable NRM conditions yet, with the Near Infrared Imager and Slitless Spectrograph (NIRISS) Aperture Masking Interferometry (AMI) mode on the James Webb Space Telescope. I will present my thesis work commissioning the Gemini Planet Imager’s NRM, highlighting results through both its spectroscopy and polarimetry modes, which set the stage for future space-based imaging. I will also give an overview of NIRISS-AMI capabilities and performance predictions for imaging young low-mass companions and disks, and how it will complement other instruments on JWST.

  9. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    PubMed

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, P<0.01). The snap-fit mask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  10. Image based detection and targeting of therapy resistance in pancreatic adenocarcinoma

    PubMed Central

    Jaquish, Dawn V.; Park, Frederick D.; Ito, Takahiro; Bajaj, Jeevisha; Koechlein, Claire S.; Zimdahl, Bryan; Yano, Masato; Kopp, Janel; Kritzik, Marcie; Sicklick, Jason; Sander, Maike; Grandgenett, Paul M.; Hollingsworth, Michael A.; Shibata, Shinsuke; Pizzo, Donald; Valasek, Mark; Sasik, Roman; Scadeng, Miriam; Okano, Hideyuki; Kim, Youngsoo; MacLeod, A. Robert

    2016-01-01

    Pancreatic intraepithelial neoplasia (PanIN) is a premalignant lesion that can progress to pancreatic ductal adenocarcinoma, a highly lethal malignancy marked by its late stage at clinical presentation and profound drug resistance1. The genomic alterations that commonly occur in pancreatic cancer include activation of KRAS2 and inactivation of p53, and SMAD42-4. To date, however, it has been challenging to target these pathways therapeutically; thus the search for other key mediators of pancreatic cancer growth remains an important endeavor. Here we show that the stem cell determinant Musashi (Msi) is a critical element of pancreatic cancer progression in both genetic models and patient derived xenografts. Specifically, we developed Msi reporter mice that allowed image based tracking of stem cell signals within cancers, revealing that Msi expression rises as PanIN progresses to adenocarcinoma, and that Msi-expressing cells are key drivers of pancreatic cancer: they preferentially harbor the capacity to propagate adenocarcinoma, are enriched in circulating tumor cells, and are markedly drug resistant. This population could be effectively targeted by deletion of either Msi1 or Msi2, which led to a striking defect in PanIN progression to adenocarcinoma and an improvement in overall survival. Msi inhibition also blocked the growth of primary patient-derived tumors, suggesting that this signal is required for human disease. To define the translational potential of this work we developed antisense oligonucleotides against Msi; these showed reliable tumor penetration, uptake and target inhibition, and effectively blocked pancreatic cancer growth. Collectively, these studies highlight Msi reporters as a unique tool to identify therapy resistance, and define Msi signaling as a central regulator of pancreatic cancer. PMID:27281208

  11. Effect of Ibuprofen on masking endodontic diagnosis.

    PubMed

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  12. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    PubMed

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  13. Face mask use by patients in primary care.

    PubMed

    Tischendorf, Jessica S; Temte, Jonathan L

    2012-02-01

    Face masks are recommended for patients with respiratory symptoms to reduce influenza transmission. Little knowledge exists regarding actual utilization and acceptance of face masks in primary care. Compare distribution of face masks to clinic and community trends in respiratory infection (RI) and influenza-like illness (ILI); estimate the annual need for face masks in primary care. Retrospective observational study of practice data from a 31-week period starting in October 2009. Family practice clinic in Madison, Wis. Patients with fever, cough, or other respiratory symptoms as evaluated by reception staff. Age, sex, and weekly counts of individuals receiving a face mask, as well as counts of RI and ILI patients based on ICD-9 coding from 27 statewide clinics. Face mask counts were 80% of RI counts for the clinic and reflected the demographics of the clinic population. Distribution was correlated to prevalence of RI (R = 0.783, P < 0.001) and ILI (R = 0.632, P < 0.001). Annually, 8% of clinic visits were for RI. The high percentage of face mask use among RI patients reflects the feasibility of this intervention to help control influenza transmission in a primary care setting. Using the present data, clinics can estimate the annual need for face masks.

  14. The 2002 to 2010 mask survey trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David

    2011-03-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the ninth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Results will be used to guide future investments in critical path issues. This year's survey is basically the same as the 2005 through 2010 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that ultimately create a detailed profile of both the business and technical status of the critical mask industry.

  15. Monaural informational masking release in children and adults

    NASA Astrophysics Data System (ADS)

    Buss, Emily; Hall, Joseph W.; Grose, John H.

    2004-05-01

    Informational masking refers to an elevation in signal threshold due to stimulus uncertainty, rather than to energetic masking. This study assessed informational masking and utilization of cues to reduce that masking in children aged 5-9 and adults. We used a manipulation introduced by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)] in which the signal was a train of eight consecutive tone bursts, each at 1 kHz and 60 ms in duration. Maskers were comprised of a pair of synchronous tone-burst trains whose frequencies were selected from the range spanning 0.2-5 kHz, with a protected region 851-1175 Hz. In the reference condition, where informational masking is pronounced, these maskers were eight bursts and had a fixed frequency within each interval, with new frequencies chosen randomly prior to each interval. Two conditions of masking release were tested: random frequency selection for each masker burst and a masker leading fringe of two additional 60-ms bursts. Both children and adults showed a significant informational masking effect, with children showing a larger effect. Both groups also showed significant release from masking, though initial results suggest that this may have been reduced in the youngest children. [Work supported by NIH, RO1 DC00397.

  16. Visual Masking in Schizophrenia: Overview and Theoretical Implications

    PubMed Central

    Green, Michael F.; Lee, Junghee; Wynn, Jonathan K.; Mathis, Kristopher I.

    2011-01-01

    Visual masking provides several key advantages for exploring the earliest stages of visual processing in schizophrenia: it allows for control over timing at the millisecond level, there are several well-supported theories of the underlying neurobiology of visual masking, and it is amenable to examination by electroencephalogram (EEG) and functional magnetic resonance imaging (fMRI). In this paper, we provide an overview of the visual masking impairment schizophrenia, including the relevant theoretical mechanisms for masking impairment. We will discuss its relationship to clinical symptoms, antipsychotic medications, diagnostic specificity, and presence in at-risk populations. As part of this overview, we will cover the neural correlates of visual masking based on recent findings from EEG and fMRI. Finally, we will suggest a possible mechanism that could explain the patterns of masking findings and other visual processing findings in schizophrenia. PMID:21606322

  17. Influence of face mask design on bag-valve-mask ventilation performance: a randomized simulation study.

    PubMed

    Na, J U; Han, S K; Choi, P C; Cho, J H; Shin, D H

    2013-10-01

    Different face mask designs can influence bag-valve-mask (BVM) ventilation performance during resuscitation. We compared a single-use, air-cushioned face mask (AM) with a reusable silicone face mask (SM) for quality of BVM ventilation on a manikin simulating cardiac arrest. Thirty-two physicians were recruited, and a prospective, randomized, crossover observational study was conducted after an American Heart Association-accredited basic life support provider course and standardized practice time were completed. Participants performed 12 cycles of BVM ventilation with both the AM and SM on a SmartMan lung simulator. Mean tidal volume was significantly higher in ventilations performed using the AM vs. the SM (548 ± 159 ml vs. 439 ± 163 ml, P < 0.01). In addition, the proportion of low-volume ventilation was significantly lower with the AM than the SM [6/12 (2-11) vs. 9/12 (5-12), P = 0.03]. Bag-valve-AM ventilation volume was not affected by the physical characteristics of the rescuers, except for sex. In contrast, bag-valve-SM ventilation volume was affected by most of the characteristics tested, including sex, height, weight, hand width, hand length, and grip power. The AM seems to be a more efficient face mask than the SM at delivering sufficient ventilation volumes. The performance of the AM did not seem to be associated with the physical characteristics of the rescuers, whereas that of the SM was affected by these factors. The SM may not be an appropriate face mask for performing one-person BVM ventilation during resuscitation for rescuers who are smaller in stature, have a smaller hand size, or have weaker grip power. © 2013 The Acta Anaesthesiologica Scandinavica Foundation. Published by John Wiley & Sons Ltd.

  18. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    PubMed

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P < .001), and lowest airway pressure (P < .001), compared to the Boussignac valve. In all measurements the Boussignac valve showed higher than imposed CPAP level (P < .001). In ICU subjects the high-flow mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  19. Low resistance thin film organic solar cell electrodes

    DOEpatents

    Forrest, Stephen [Princeton, NJ; Xue, Jiangeng [Piscataway, NJ

    2008-01-01

    A method which lower the series resistance of photosensitive devices includes providing a transparent film of a first electrically conductive material arranged on a transparent substrate; depositing and patterning a mask over the first electrically conductive material, such that openings in the mask have sloping sides which narrow approaching the substrate; depositing a second electrically conductive material directly onto the first electrically conductive material exposed in the openings of the mask, at least partially filling the openings; stripping the mask, leaving behind reentrant structures of the second electrically conductive material which were formed by the deposits in the openings of the mask; after stripping the mask, depositing a first organic material onto the first electrically conductive material in between the reentrant structures; and directionally depositing a third electrically conductive material over the first organic material deposited in between the reentrant structures, edges of the reentrant structures aligning deposition so that the third electrically conductive material does not directly contact the first electrically conductive material, and does not directly contact the second electrically conductive material.

  20. Requirement of the CroRS Two-Component System for Resistance to Cell Wall-Targeting Antimicrobials in Enterococcus faecium.

    PubMed

    Kellogg, Stephanie L; Little, Jaime L; Hoff, Jessica S; Kristich, Christopher J

    2017-05-01

    Enterococci are serious opportunistic pathogens that are resistant to many cell wall-targeting antibiotics. The CroRS two-component signaling system responds to antibiotic-mediated cell wall stress and is critical for resistance to cell wall-targeting antibiotics in Enterococcus faecalis Here, we identify and characterize an orthologous two-component system found in Enterococcus faecium that is functionally equivalent to the CroRS system of E. faecalis Deletion of croRS in E. faecium resulted in marked susceptibility to cell wall-targeting agents including cephalosporins and bacitracin, as well as moderate susceptibility to ampicillin and vancomycin. As in E. faecalis , exposure to bacitracin and vancomycin stimulates signaling through the CroRS system in E. faecium Moreover, the CroRS system is critical in E. faecium for enhanced beta-lactam resistance mediated by overexpression of Pbp5. Expression of a Pbp5 variant that confers enhanced beta-lactam resistance cannot overcome the requirement for CroRS function. Thus, the CroRS system is a conserved signaling system that responds to cell wall stress to promote intrinsic resistance to important cell wall-targeting antibiotics in clinically relevant enterococci. Copyright © 2017 American Society for Microbiology.