Sample records for ultra violet lithography

  1. Mask fabrication and its applications to extreme ultra-violet diffractive optics

    NASA Astrophysics Data System (ADS)

    Cheng, Yang-Chun

    Short-wavelength radiation around 13nm of wavelength (Extreme Ultra-Violet, EUV) is being considered for patterning microcircuits, and other electronic chips with dimensions in the nanometer range. Interferometric Lithography (IL) uses two beams of radiation to form high-resolution interference fringes, as small as half the wavelength of the radiation used. As a preliminary step toward manufacturing technology, IL can be used to study the imaging properties of materials in a wide spectral range and at nanoscale dimensions. A simple implementation of IL uses two transmission diffraction gratings to form the interference pattern. More complex interference patterns can be created by using different types of transmission gratings. In this thesis, I describe the development of a EUV lithography system that uses diffractive optical elements (DOEs), from simple gratings to holographic structures. The exposure system is setup on a EUV undulator beamline at the Synchrotron Radiation Center, in the Center for NanoTechnology clean room. The setup of the EUV exposure system is relatively simple, while the design and fabrication of the DOE "mask" is complex, and relies on advanced nanofabrication techniques. The EUV interferometric lithography provides reliable EUV exposures of line/space patterns and is ideal for the development of EUV resist technology. In this thesis I explore the fabrication of these DOE for the EUV range, and discuss the processes I have developed for the fabrication of ultra-thin membranes. In addition, I discuss EUV holographic lithography and generalized Talbot imaging techniques to extend the capability of our EUV-IL system to pattern arbitrary shapes, using more coherent sources than the undulator. In a series of experiments, we have demonstrated the use of a soft X-ray (EUV) laser as effective source for EUV lithography. EUV-IL, as implemented at CNTech, is being used by several companies and research organizations to characterize photoresist

  2. Ultra violet disinfection: A 3-year history

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tubesing, R.R.; Lindeke, D.R.

    1998-07-01

    The Stillwater Wastewater Treatment Facility is one of nine wastewater treatment facilities operated by the Metropolitan Council Environmental Services in the Minneapolis-St. Paul Metropolitan Area. The facility services the cities of Stillwater, Oak Park Heights, and Bayport. In 1993, an ultra violet disinfection facility began operation to provide the disinfection for the Facility. This presentation discusses the reasons for using ultra violet disinfection in lieu of chlorination/dechlorination facilities, the operating performance, and operating cost factors.

  3. Design Considerations for a Water Treatment System Utilizing Ultra-Violet Light Emitting Diodes

    DTIC Science & Technology

    2014-03-27

    DESIGN CONSIDERATIONS FOR A WATER TREATMENT SYSTEM UTILIZING ULTRA-VIOLET LIGHT EMITTING DIODES...the United States. ii AFIT-ENV-14-M-58 DESIGN CONSIDERATIONS FOR A WATER TREATMENT SYSTEM UTILIZING ULTRA-VIOLET LIGHT EMITTING DIODES...DISTRIBUTION UNLIMITED. iii AFIT-ENV-14-M-58 DESIGN CONSIDERATIONS FOR A WATER TREATMENT SYSTEM UTILIZING ULTRA-VIOLET LIGHT EMITTING

  4. Microgap ultra-violet detector

    DOEpatents

    Wuest, Craig R.; Bionta, Richard M.

    1994-01-01

    A microgap ultra-violet detector of photons with wavelengths less than 400 run (4000 Angstroms) which comprises an anode and a cathode separated by a gas-filled gap and having an electric field placed across the gap. Either the anode or the cathode is semi-transparent to UV light. Upon a UV photon striking the cathode an electron is expelled and accelerated across the gap by the electric field causing interactions with other electrons to create an electron avalanche which contacts the anode. The electron avalanche is detected and converted to an output pulse.

  5. Microgap ultra-violet detector

    DOEpatents

    Wuest, C.R.; Bionta, R.M.

    1994-09-20

    A microgap ultra-violet detector of photons with wavelengths less than 400 run (4,000 Angstroms) which comprises an anode and a cathode separated by a gas-filled gap and having an electric field placed across the gap is disclosed. Either the anode or the cathode is semi-transparent to UV light. Upon a UV photon striking the cathode an electron is expelled and accelerated across the gap by the electric field causing interactions with other electrons to create an electron avalanche which contacts the anode. The electron avalanche is detected and converted to an output pulse. 2 figs.

  6. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  7. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  8. STUDIES ON BIOLUMINESCENCE : XVII. FLUORESCENCE AND INHIBITION OF LUMINESCENCE IN CTENOPHORES BY ULTRA-VIOLET LIGHT.

    PubMed

    Harvey, E N

    1925-01-20

    1. Small dumps of the luminous cells of Mnemiopsis cannot readily be stimulated mechanically but will luminesce on treatment with saponin solution. Larger groups of luminous cells (such as are connected with two paddle plates) luminesce on mechanical stimulation. This suggests that mechanical stimulation to luminesce occurs chiefly through a nerve mechanism which has been broken up in the small dumps of luminous tissue. 2. The smallest bits of luminous tissue, even cells freed from the animal by agitation, that will pass through filter paper, lose their power to luminesce in daylight and regain it (at least partially) in the dark. 3. Luminescence of the whole animal and of individual cells is suppressed by near ultra-violet light (without visible light). 4. Inhibition in ultra-violet light is not due to stimulation (by the ultra-violet light) of the animal to luminesce, thereby using up the store of photogenic material. 5. Animals stimulated mechanically several times and placed in ultra-violet light show a luminescence along the meridians in the same positions as the luminescence that appears on stimulation. This luminescence in the ultra-violet or "tonic luminescence," is not obtained with light adapted ctenophores and is interpreted to be a fluorescence of the product of oxidation of the photogenic material. 6. Marked fluorescence of the luminous organ of the glowworm (Photuris) and of the luminous slime of Chatopterus may be observed in ultra-violet but no marked fluorescence of the luminous substances of Cypridina is apparent. 7. Evidence is accumulating to show a close relation between fluorescent and chemiluminescent substances in animals, similar to that described for unsaturated silicon compounds and the Grignard reagents.

  9. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  10. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  11. Ultra-fast switching of light by absorption saturation in vacuum ultra-violet region.

    PubMed

    Yoneda, Hitoki; Inubushi, Yuichi; Tanaka, Toshihiro; Yamaguchi, Yuta; Sato, Fumiya; Morimoto, Shunsuke; Kumagai, Taisuke; Nagasono, Mitsuru; Higashiya, Atsushi; Yabashi, Makina; Ishikawa, Tetsuya; Ohashi, Haruhiko; Kimura, Hiroaki; Kitamura, Hikaru; Kodama, Ryosuke

    2009-12-21

    Advances in free electron lasers producing high energy photons [Nat. Photonics 2(9), 555-559 (2008)] are expected to open up a new science of nonlinear optics of high energy photons. Specifically, lasers of photon energy higher than the plasma frequency of a metal can show new interaction features because they can penetrate deeply into metals without strong reflection. Here we show the observation of ultra-fast switching of vacuum ultra-violet (VUV) light caused by saturable absorption of a solid metal target. A strong gating is observed at energy fluences above 6J/cm2 at wavelength of 51 nm with tin metal thin layers. The ratio of the transmission at high intensity to low intensity is typically greater than 100:1. This means we can design new nonlinear photonic devices such as auto-correlator and pulse slicer for the VUV region.

  12. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chai, Kil-Byoung; Bellan, Paul M.

    2013-12-15

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10{sup 6} frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs.

  13. Ultra-violet radiation is responsible for the differences in global epidemiology of chickenpox and the evolution of varicella-zoster virus as man migrated out of Africa.

    PubMed

    Rice, Philip S

    2011-04-23

    Of the eight human herpes viruses, varicella-zoster virus, which causes chickenpox and zoster, has a unique epidemiology. Primary infection is much less common in children in the tropics compared with temperate areas. This results in increased adult susceptibility causing outbreaks, for example in health-care workers migrating from tropical to temperate countries. The recent demonstration that there are different genotypes of varicella-zoster virus and their geographic segregation into tropical and temperate areas suggests a distinct, yet previously unconsidered climatic factor may be responsible for both the clinical and molecular epidemiological features of this virus infection. Unlike other human herpes viruses, varicella-zoster virus does not require intimate contact for infection to occur indicating that transmission may be interrupted by a geographically restricted climatic factor. The factor with the largest difference between tropical and temperate zones is ultra-violet radiation. This could reduce the infectiousness of chickenpox cases by inactivating virus in vesicles, before or after rupture. This would explain decreased transmissibility in the tropics and why the peak chickenpox incidence in temperate zones occurs during winter and spring, when ultra-violet radiation is at its lowest. The evolution of geographically restricted genotypes is also explained by ultra-violet radiation driving natural selection of different virus genotypes with varying degrees of resistance to inactivation, tropical genotypes being the most resistant. Consequently, temperate viruses should be more sensitive to its effects. This is supported by the observation that temperate genotypes are found in the tropics only in specific circumstances, namely where ultra-violet radiation has either been excluded or significantly reduced in intensity. The hypothesis is testable by exposing different virus genotypes to ultra-violet radiation and quantifying virus survival by plaque forming

  14. Ultra-violet radiation is responsible for the differences in global epidemiology of chickenpox and the evolution of varicella-zoster virus as man migrated out of Africa

    PubMed Central

    2011-01-01

    Background Of the eight human herpes viruses, varicella-zoster virus, which causes chickenpox and zoster, has a unique epidemiology. Primary infection is much less common in children in the tropics compared with temperate areas. This results in increased adult susceptibility causing outbreaks, for example in health-care workers migrating from tropical to temperate countries. The recent demonstration that there are different genotypes of varicella-zoster virus and their geographic segregation into tropical and temperate areas suggests a distinct, yet previously unconsidered climatic factor may be responsible for both the clinical and molecular epidemiological features of this virus infection. Presentation of the hypothesis Unlike other human herpes viruses, varicella-zoster virus does not require intimate contact for infection to occur indicating that transmission may be interrupted by a geographically restricted climatic factor. The factor with the largest difference between tropical and temperate zones is ultra-violet radiation. This could reduce the infectiousness of chickenpox cases by inactivating virus in vesicles, before or after rupture. This would explain decreased transmissibility in the tropics and why the peak chickenpox incidence in temperate zones occurs during winter and spring, when ultra-violet radiation is at its lowest. The evolution of geographically restricted genotypes is also explained by ultra-violet radiation driving natural selection of different virus genotypes with varying degrees of resistance to inactivation, tropical genotypes being the most resistant. Consequently, temperate viruses should be more sensitive to its effects. This is supported by the observation that temperate genotypes are found in the tropics only in specific circumstances, namely where ultra-violet radiation has either been excluded or significantly reduced in intensity. Testing the Hypothesis The hypothesis is testable by exposing different virus genotypes to ultra-violet

  15. The creation of radiation dominated plasmas using laboratory extreme ultra-violet lasers

    NASA Astrophysics Data System (ADS)

    Tallents, G. J.; Wilson, S.; West, A.; Aslanyan, V.; Lolley, J.; Rossall, A. K.

    2017-06-01

    Ionization in experiments where solid targets are irradiated by high irradiance extreme ultra-violet (EUV) lasers is examined. Free electron degeneracy effects on ionization in the presence of a high EUV flux of radiation is shown to be important. Overlap of the physics of such plasmas with plasma material under compression in indirect inertial fusion is explored. The design of the focusing optics needed to achieve high irradiance (up to 1014 Wcm-2) using an EUV capillary laser is presented.

  16. The Far Ultra-Violet Imager on the Icon Mission

    NASA Astrophysics Data System (ADS)

    Mende, S. B.; Frey, H. U.; Rider, K.; Chou, C.; Harris, S. E.; Siegmund, O. H. W.; England, S. L.; Wilkins, C.; Craig, W.; Immel, T. J.; Turin, P.; Darling, N.; Loicq, J.; Blain, P.; Syrstad, E.; Thompson, B.; Burt, R.; Champagne, J.; Sevilla, P.; Ellis, S.

    2017-10-01

    ICON Far UltraViolet (FUV) imager contributes to the ICON science objectives by providing remote sensing measurements of the daytime and nighttime atmosphere/ionosphere. During sunlit atmospheric conditions, ICON FUV images the limb altitude profile in the shortwave (SW) band at 135.6 nm and the longwave (LW) band at 157 nm perpendicular to the satellite motion to retrieve the atmospheric O/N2 ratio. In conditions of atmospheric darkness, ICON FUV measures the 135.6 nm recombination emission of O+ ions used to compute the nighttime ionospheric altitude distribution. ICON Far UltraViolet (FUV) imager is a Czerny-Turner design Spectrographic Imager with two exit slits and corresponding back imager cameras that produce two independent images in separate wavelength bands on two detectors. All observations will be processed as limb altitude profiles. In addition, the ionospheric 135.6 nm data will be processed as longitude and latitude spatial maps to obtain images of ion distributions around regions of equatorial spread F. The ICON FUV optic axis is pointed 20 degrees below local horizontal and has a steering mirror that allows the field of view to be steered up to 30 degrees forward and aft, to keep the local magnetic meridian in the field of view. The detectors are micro channel plate (MCP) intensified FUV tubes with the phosphor fiber-optically coupled to Charge Coupled Devices (CCDs). The dual stack MCP-s amplify the photoelectron signals to overcome the CCD noise and the rapidly scanned frames are co-added to digitally create 12-second integrated images. Digital on-board signal processing is used to compensate for geometric distortion and satellite motion and to achieve data compression. The instrument was originally aligned in visible light by using a special grating and visible cameras. Final alignment, functional and environmental testing and calibration were performed in a large vacuum chamber with a UV source. The test and calibration program showed that ICON

  17. Bias Selectable Dual Band AlGaN Ultra-violet Detectors

    NASA Technical Reports Server (NTRS)

    Yan, Feng; Miko, Laddawan; Franz, David; Guan, Bing; Stahle, Carl M.

    2007-01-01

    Bias selectable dual band AlGaN ultra-violet (UV) detectors, which can separate UV-A and UV-B using one detector in the same pixel by bias switching, have been designed, fabricated and characterized. A two-terminal n-p-n photo-transistor-like structure was used. When a forward bias is applied between the top electrode and the bottom electrode, the detectors can successfully detect W-A and reject UV-B. Under reverse bias, they can detect UV-B and reject UV-A. The proof of concept design shows that it is feasible to fabricate high performance dual-band UV detectors based on the current AlGaN material growth and fabrication technologies.

  18. Oxidation preventative capping layer for deep-ultra-violet and soft x-ray multilayers

    DOEpatents

    Prisbrey, Shon T.

    2004-07-06

    The invention uses iridium and iridium compounds as a protective capping layer on multilayers having reflectivity in the deep ultra-violet to soft x-ray regime. The iridium compounds can be formed in one of two ways: by direct deposition of the iridium compound from a prepared target or by depositing a thin layer (e.g., 5-50 angstroms) of iridium directly onto an element. The deposition energy of the incoming iridium is sufficient to activate the formation of the desired iridium compound. The compounds of most interest are iridium silicide (IrSi.sub.x) and iridium molybdenide (IrMo.sub.x).

  19. Cavity-enhanced frequency doubling from 795nm to 397.5nm ultra-violet coherent radiation with PPKTP crystals in the low pump power regime.

    PubMed

    Wen, Xin; Han, Yashuai; Bai, Jiandong; He, Jun; Wang, Yanhua; Yang, Baodong; Wang, Junmin

    2014-12-29

    We demonstrate a simple, compact and cost-efficient diode laser pumped frequency doubling system at 795 nm in the low power regime. In two configurations, a bow-tie four-mirror ring enhancement cavity with a PPKTP crystal inside and a semi-monolithic PPKTP enhancement cavity, we obtain 397.5nm ultra-violet coherent radiation of 35mW and 47mW respectively with a mode-matched fundamental power of about 110mW, corresponding to a conversion efficiency of 32% and 41%. The low loss semi-monolithic cavity leads to the better results. The constructed ultra-violet coherent radiation has good power stability and beam quality, and the system has huge potential in quantum optics and cold atom physics.

  20. Swords to plowshares: Shock wave applications to advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trucano, T.G.; Grady, D.E.; Kubiak, G.D.

    1995-03-01

    Extreme UltraViolet Lithography (EUVL) seeks to apply radiation in a wavelength region centered near 13 nm to produce microcircuits having features sizes 0.1 micron or less. A critical requirement for the commercial application of this technology is the development of an economical, compact source of this radiation which is suitable for lithographic applications. A good candidate is a laser-plasma source, which is generated by the interaction of an intermediate intensity laser pulse (up to 10{sup 12} W/cm{sup 2}) with a metallic target. While such a source has radiative characteristics which satisfy the needs of an EUVL source, the debris generatedmore » during the laser-target interaction strikes at the economy of the source. Here, the authors review the use of concepts and computer modeling, originally developed for hypervelocity impact analysis, to study this problem.« less

  1. Novel wafer stepper with violet LED light source

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2014-03-01

    Novel wafer stepper by using contact or proximity printing will be developed, using violet LED light source to replace Hg Arc. lamp or laser. Mirror, filter and condenser lens for Hg Arc. Lamp or laser and reduction lens for projection printing can be discarded. Reliability and manufacturing cost of wafer stepper can be improved. Exposure result by using IP3600 resist and wafer stepper with violet LED light source (wave-length 360nm to 410 nm) will be obtained. This novel wafer stepper can be used for 3DIC, MEMS and bio-chip lithography application by using thin and thick resist with sub-micron to 100 micron thickness.

  2. The effect of ultra-violet light curing on the molecular structure and fracture properties of an ultra low-k material

    NASA Astrophysics Data System (ADS)

    Smith, Ryan Scott

    As the gate density increases in microelectronic devices, the interconnect delay or RC response also increases and has become the limiting delay to faster devices. In order to decrease the RC time delay, a new metallization scheme has been chosen by the semiconductor industry. Copper has replaced aluminum as the metal lines and new low-k dielectric materials are being developed to replace silicon dioxide. A promising low-k material is porous organosilicate glass or p-OSG. The p-OSG film is a hybrid material where the silicon dioxide backbone is terminated with methyl or hydrogen, reducing the dielectric constant and creating mechanically weak films that are prone to fracture. A few methods of improving the mechanical properties of p-OSG films have been attempted-- exposing the film to hydrogen plasma, electron beam curing, and ultra-violet light curing. Hydrogen plasma and electron-beam curing suffer from a lack of specificity and can cause charging damage to the gates. Therefore, ultra-violet light curing (UV curing) is preferable. The effect of UV curing on an ultra-low-k, k~2.5, p-OSG film is studied in this dissertation. Changes in the molecular structure were measured with Fourier Transform Infrared Spectroscopy and X-ray Photoelectron Spectroscopy. The evolution of the molecular structure with UV curing was correlated with material and fracture properties. The material properties were film shrinkage, densification, and an increase in dielectric constant. From the changes in molecular structure and material properties, a set of condensation reactions with UV light are predicted. The connectivity of the film increases with the condensation reactions and, therefore, the fracture toughness should also increase. The effect of UV curing on the critical and sub-critical fracture toughness was also studied. The critical fracture toughness was measured at four different mode-mixes-- zero, 15°, 32°, and 42°. It was found that the critical fracture toughness

  3. Detection of biological warfare agents using ultra violet-laser induced fluorescence LIDAR

    NASA Astrophysics Data System (ADS)

    Joshi, Deepti; Kumar, Deepak; Maini, Anil K.; Sharma, Ramesh C.

    This review has been written to highlight the threat of biological warfare agents, their types and detection. Bacterial biological agent Bacillus anthracis (bacteria causing the disease anthrax) which is most likely to be employed in biological warfare is being discussed in detail. Standoff detection of biological warfare agents in aerosol form using Ultra violet-Laser Induced Fluorescence (UV-LIF) spectroscopy method has been studied. Range-resolved detection and identification of biological aerosols by both nano-second and non-linear femto-second LIDAR is also discussed. Calculated received fluorescence signal for a cloud of typical biological agent Bacillus globigii (Simulants of B. anthracis) at a location of ˜5.0 km at different concentrations in presence of solar background radiation has been described. Overview of current research efforts in internationally available working UV-LIF LIDAR systems are also mentioned briefly.

  4. Detection of biological warfare agents using ultra violet-laser induced fluorescence LIDAR.

    PubMed

    Joshi, Deepti; Kumar, Deepak; Maini, Anil K; Sharma, Ramesh C

    2013-08-01

    This review has been written to highlight the threat of biological warfare agents, their types and detection. Bacterial biological agent Bacillus anthracis (bacteria causing the disease anthrax) which is most likely to be employed in biological warfare is being discussed in detail. Standoff detection of biological warfare agents in aerosol form using Ultra violet-Laser Induced Fluorescence (UV-LIF) spectroscopy method has been studied. Range-resolved detection and identification of biological aerosols by both nano-second and non-linear femto-second LIDAR is also discussed. Calculated received fluorescence signal for a cloud of typical biological agent Bacillus globigii (Simulants of B. anthracis) at a location of ~5.0 km at different concentrations in presence of solar background radiation has been described. Overview of current research efforts in internationally available working UV-LIF LIDAR systems are also mentioned briefly. Copyright © 2013 Elsevier B.V. All rights reserved.

  5. Method for fabricating an ultra-low expansion mask blank having a crystalline silicon layer

    DOEpatents

    Cardinale, Gregory F.

    2002-01-01

    A method for fabricating masks for extreme ultraviolet lithography (EUVL) using Ultra-Low Expansion (ULE) substrates and crystalline silicon. ULE substrates are required for the necessary thermal management in EUVL mask blanks, and defect detection and classification have been obtained using crystalline silicon substrate materials. Thus, this method provides the advantages for both the ULE substrate and the crystalline silicon in an Extreme Ultra-Violet (EUV) mask blank. The method is carried out by bonding a crystalline silicon wafer or member to a ULE wafer or substrate and thinning the silicon to produce a 5-10 .mu.m thick crystalline silicon layer on the surface of the ULE substrate. The thinning of the crystalline silicon may be carried out, for example, by chemical mechanical polishing and if necessary or desired, oxidizing the silicon followed by etching to the desired thickness of the silicon.

  6. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  7. Planetary nebulae with UVIT: Far ultra-violet halo around the Bow Tie nebula (NGC 40)

    NASA Astrophysics Data System (ADS)

    Kameswara Rao, N.; Sutaria, F.; Murthy, J.; Krishna, S.; Mohan, R.; Ray, A.

    2018-01-01

    Context. NGC 40 is a planetary nebula with diffuse X-ray emission, suggesting an interaction of the high-speed wind from WC8 central star (CS) with the nebula. It shows strong C IV 1550 Å emission that cannot be explained by thermal processes alone. We present here the first map of this nebula in C IV emission using broad band filters on the Ultra-Violet Imaging Telescope (UVIT). Aim. We aim to map the hot C IV-emitting gas and its correspondence with soft X-ray (0.3-8 keV) emitting regions in order to study the shock interaction between the nebula and the ISM. We also aim to illustrate the potential of UVIT for nebular studies. Methods: We carry out a morphological study of images of the nebula obtained at an angular resolution of about 1.3″ in four UVIT filter bands that include C IV 1550 Å and [C II] 2326 Å lines as well as UV continuum. We also make comparisons with X-ray, optical, and IR images from the literature. Results: The [C II] 2326 Å images show the core of the nebula with two lobes on either side of CS similar to [N II]. The C IV emission in the core shows similar morphology and extent to that of diffuse X-ray emission concentrated in nebular condensations. A surprising UVIT discovery is the presence of a large faint far UV (FUV) halo in an FUV filter with λeff of 1608 Å. The UV halo is not present in any other UV filter. The FUV halo is most likely due to UV fluorescence emission from the Lyman bands of H2 molecules. Unlike the optical and IR halo, the FUV halo trails predominantly towards the south-east side of the nebular core, opposite to the CS's proper motion direction. Conclusions: Morphological similarity of C IV 1550 Å and X-ray emission in the core suggests that it results mostly from the interaction of strong CS wind with the nebula. The FUV halo in NGC 40 highlights the extensive existence of H2 molecules in the regions even beyond the optical and IR halos. Thus UV studies are important to estimate the amount of H2, which is

  8. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  9. Characterization and error analysis of an operational retrieval algorithm for estimating column ozone and aerosol properties from ground-based ultra-violet irradiance measurements

    NASA Astrophysics Data System (ADS)

    Taylor, Thomas E.; L'Ecuyer, Tristan; Slusser, James; Stephens, Graeme; Krotkov, Nick; Davis, John; Goering, Christian

    2005-08-01

    Extensive sensitivity and error characteristics of a recently developed optimal estimation retrieval algorithm which simultaneously determines aerosol optical depth (AOD), aerosol single scatter albedo (SSA) and total ozone column (TOC) from ultra-violet irradiances are described. The algorithm inverts measured diffuse and direct irradiances at 7 channels in the UV spectral range obtained from the United States Department of Agriculture's (USDA) UV-B Monitoring and Research Program's (UVMRP) network of 33 ground-based UV-MFRSR instruments to produce aerosol optical properties and TOC at all seven wavelengths. Sensitivity studies of the Tropospheric Ultra-violet/Visible (TUV) radiative transfer model performed for various operating modes (Delta-Eddington versus n-stream Discrete Ordinate) over domains of AOD, SSA, TOC, asymmetry parameter and surface albedo show that the solutions are well constrained. Realistic input error budgets and diagnostic and error outputs from the retrieval are analyzed to demonstrate the atmospheric conditions under which the retrieval provides useful and significant results. After optimizing the algorithm for the USDA site in Panther Junction, Texas the retrieval algorithm was run on a cloud screened set of irradiance measurements for the month of May 2003. Comparisons to independently derived AOD's are favorable with root mean square (RMS) differences of about 3% to 7% at 300nm and less than 1% at 368nm, on May 12 and 22, 2003. This retrieval method will be used to build an aerosol climatology and provide ground-truthing of satellite measurements by running it operationally on the USDA UV network database.

  10. Ultra-violet and visible absorption characterization of explosives by differential reflectometry.

    PubMed

    Dubroca, Thierry; Moyant, Kyle; Hummel, Rolf E

    2013-03-15

    This study presents some optical properties of TNT (2,4,6-trinitrotoluene), RDX, HMX and tetryl, specifically their absorption spectra as a function of concentration in various solvents in the ultraviolet and visible portion of the electromagnetic spectrum. We utilize a standoff explosives detection method, called differential reflectometry (DR). TNT was diluted in six different solvents (acetone, acetonitrile, ethanol, ethyl acetate, methanol, and toluene), which allowed for a direct comparison of absorption features over a wide range of concentrations. A line-shape analysis was adopted with great accuracy (R(2)>0.99) to model the absorption features of TNT in differential reflectivity spectra. We observed a blue shift in the pertinent absorption band with decreasing TNT concentration for all solvents. Moreover, using this technique, it was found that for all utilized solvents the concentration of TNT as well as of RDX, HMX, and tetryl, measured as a function of the transition wavelength of the ultra-violet absorption edge in differential reflectivity spectra shows three distinct regions. A model is presented to explain this behavior which is based on intermolecular hydrogen bonding of explosives molecules with themselves (or lack thereof) at different concentrations. Other intermolecular forces such as dipole-dipole interactions, London dispersion forces and π-stacking contribute to slight variations in the resulting spectra, which were determined to be rather insignificant in comparison to hydrogen bonding. The results are aimed towards a better understanding of the DR spectra of explosives energetic materials. Copyright © 2012 Elsevier B.V. All rights reserved.

  11. Rapid Quantitative Analysis of Naringenin in the Fruit Bodies of Inonotus vaninii by Two-phase Acid Hydrolysis Followed by Reversed Phase-high Performance Liquid Chromatography-ultra Violet.

    PubMed

    Guohua, Xia; Pan, Ruirong; Bao, Rui; Ge, Yanru; Zhou, Cunshan; Shen, Yuping

    2017-01-01

    Sanghuang is one of mystical traditional Chinese medicines recorded earliest 2000 years ago, that included various fungi of Inonotus genus and was well-known for antitumor effect in modern medicine. Inonotus vaninii is grown in natural forest of Northeastern China merely and used as Sanghuang commercially, but it has no quality control specification until now. This study was to establish a rapid method of two-phase acid hydrolysis followed by reversed phase-high performance liquid chromatography-ultra violet (RP-HPLC-UV) to quantify naringenin in the fruit body of I. vaninii . Sample solution was prepared by pretreatment of raw material in two-phase acid hydrolysis and the hydrolysis technology was optimized. After reconstitution, analysis was performed using RP-HPLC-UV. The method validation was investigated and the naringenin content of sample and comparison were determined. The naringenin was obtained by two-phase acid hydrolysis method, namely, 10.0 g of raw material was hydrolyzed in 200 mL of 1% sulfuric acid aqueous solution (v/v) and 400 mL of chloroform in oil bath at 110°C for 2 h. Good linearity ( r = 0.9992) was achieved between concentration of analyte and peak area. The relative standard deviation (RSD) of precision was 2.47% and the RSD of naringenin contents for repeatability was 3.13%. The accuracy was supported with recoveries at 96.37%, 97.30%, and 99.31%. The sample solution prepared using the proposed method contained higher content of naringenin than conventional method and was stable for 8 h. Due to the high efficiency of sample preparation and high reliability of the HPLC method, it is feasible to use this method for routine analysis of naringenin in the fungus. A convenient two-phase acid hydrolysis was employed to produce naringenin from raw material, and then an efficient and reliable reversed phase-high performance liquid chromatography-ultra violet method was established to monitor naringenin in the fruit bodies of Inonotus vaninii

  12. The effects of welding parameters on ultra-violet light emissions, ozone and CrVI formation in MIG welding.

    PubMed

    Dennis, J H; Mortazavi, S B; French, M J; Hewitt, P J; Redding, C R

    1997-01-01

    This paper describes the relationships between ultra-violet emission, ozone generation and CrVI production in MIG welding which were measured as a function of shield gas flow rate, welding voltage, electrode stick-out and shield gas composition using an automatic welding rig that permitted MIG welding under reproducible conditions. The experimental results are interpreted in terms of the physico-chemical processes occurring in the micro- and macro-environments of the arc as part of research into process modification to reduce occupational exposure to ozone and CrVI production rates in MIG welding. We believe the techniques described here, and in particular the use of what we have termed u.v.-ozone measurements, will prove useful in further study of ozone generation and CrVI formation and may be applied in the investigation of engineering control of occupational exposure in MIG and other welding process such as Manual Metal Arc (MMA) and Tungsten Inert Gas (TIG).

  13. Measuring SO2 ship emissions with an ultra-violet imaging camera

    NASA Astrophysics Data System (ADS)

    Prata, A. J.

    2013-11-01

    Over the last few years fast-sampling ultra-violet (UV) imaging cameras have been developed for use in measuring SO2 emissions from industrial sources (e.g. power plants; typical fluxes ~1-10 kg s-1) and natural sources (e.g. volcanoes; typical fluxes ~10-100 kg s-1). Generally, measurements have been made from sources rich in SO2 with high concentrations and fluxes. In this work, for the first time, a UV camera has been used to measure the much lower concentrations and fluxes of SO2 (typical fluxes ~0.01-0.1 kg s-1) in the plumes from moving and stationary ships. Some innovations and trade-offs have been made so that estimates of the fluxes and path concentrations can be retrieved in real-time. Field experiments were conducted at Kongsfjord in Ny Ålesund, Svalbard, where emissions from cruise ships were made, and at the port of Rotterdam, Netherlands, measuring emissions from more than 10 different container and cargo ships. In all cases SO2 path concentrations could be estimated and fluxes determined by measuring ship plume speeds simultaneously using the camera, or by using surface wind speed data from an independent source. Accuracies were compromised in some cases because of the presence of particulates in some ship emissions and the restriction of single-filter UV imagery, a requirement for fast-sampling (>10 Hz) from a single camera. Typical accuracies ranged from 10-30% in path concentration and 10-40% in flux estimation. Despite the ease of use and ability to determine SO2 fluxes from the UV camera system, the limitation in accuracy and precision suggest that the system may only be used under rather ideal circumstances and that currently the technology needs further development to serve as a method to monitor ship emissions for regulatory purposes.

  14. Ultra-violet avalanche photodiode based on AlN/GaN periodically-stacked-structure

    NASA Astrophysics Data System (ADS)

    Wu, Xingzhao; Zheng, Jiyuan; Wang, Lai; Brault, Julien; Matta, Samuel; Hao, Zhibiao; Sun, Changzheng; Xiong, Bing; Luo, Yi; Han, Yianjun; Wang, Jian; Li, Hongtao; Khalfioui, Mohamed A.; Li, Mo; Kang, Jianbin; Li, Qian

    2018-02-01

    The high-gain photomultiplier tube (PMT) is the most popular method to detect weak ultra-violet signals which attenuate quickly in atmosphere, although the vacuum tube makes it fragile and difficult to integrate. To overcome the disadvantage of PMT, an AlN/GaN periodically-stacked-structure (PSS) avalanche photodiode (APD) has been proposed, finally achieving good quality of high gain and low excessive noise. As there is a deep g valley only in the conduction band of both GaN and AlN, the electron transfers suffering less scattering and thus becomes easier to obtain the threshold of ionization impact. Because of unipolar ionization in the PSS APD, it works in linear mode. Four prototype devices of 5-period, 10-period, 15-period, and 20-period were fabricated to verify that the gain of APD increases exponentially with period number. And in 20-period device, a recorded high and stable gain of 104 was achieved under constant bias. In addition, it is proved both experimentally and theoretically, that temperature stability on gain is significantly improved in PSS APD. And it is found that the resonant enhancement in interfacial ionization may bring significant enhancement of electron ionization performance. To make further progress in PSS APD, the device structure is investigated by simulation. Both the gain and temperature stability are optimized alternatively by a proper design of periodical thickness and AlN layer occupancy.

  15. Vacuum ultra-violet damage and damage mitigation for plasma processing of highly porous organosilicate glass dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marneffe, J.-F. de, E-mail: marneffe@imec.be; Lukaszewicz, M.; Porter, S. B.

    2015-10-07

    Porous organosilicate glass thin films, with k-value 2.0, were exposed to 147 nm vacuum ultra-violet (VUV) photons emitted in a Xenon capacitive coupled plasma discharge. Strong methyl bond depletion was observed, concomitant with a significant increase of the bulk dielectric constant. This indicates that, besides reactive radical diffusion, photons emitted during plasma processing do impede dielectric properties and therefore need to be tackled appropriately during patterning and integration. The detrimental effect of VUV irradiation can be partly suppressed by stuffing the low-k porous matrix with proper sacrificial polymers showing high VUV absorption together with good thermal and VUV stability. In addition,more » the choice of an appropriate hard-mask, showing high VUV absorption, can minimize VUV damage. Particular processing conditions allow to minimize the fluence of photons to the substrate and lead to negligible VUV damage. For patterned structures, in order to reduce VUV damage in the bulk and on feature sidewalls, the combination of both pore stuffing/material densification and absorbing hard-mask is recommended, and/or the use of low VUV-emitting plasma discharge.« less

  16. Development of an Ultra-Violet Digital Camera for Volcanic Sulfur Dioxide Imaging

    NASA Astrophysics Data System (ADS)

    Bluth, G. J.; Shannon, J. M.; Watson, I. M.; Prata, F. J.; Realmuto, V. J.

    2006-12-01

    In an effort to improve monitoring of passive volcano degassing, we have constructed and tested a digital camera for quantifying the sulfur dioxide (SO2) content of volcanic plumes. The camera utilizes a bandpass filter to collect photons in the ultra-violet (UV) region where SO2 selectively absorbs UV light. SO2 is quantified by imaging calibration cells of known SO2 concentrations. Images of volcanic SO2 plumes were collected at four active volcanoes with persistent passive degassing: Villarrica, located in Chile, and Santiaguito, Fuego, and Pacaya, located in Guatemala. Images were collected from distances ranging between 4 and 28 km away, with crisp detection up to approximately 16 km. Camera set-up time in the field ranges from 5-10 minutes and images can be recorded in as rapidly as 10-second intervals. Variable in-plume concentrations can be observed and accurate plume speeds (or rise rates) can readily be determined by tracing individual portions of the plume within sequential images. Initial fluxes computed from camera images require a correction for the effects of environmental light scattered into the field of view. At Fuego volcano, simultaneous measurements of corrected SO2 fluxes with the camera and a Correlation Spectrometer (COSPEC) agreed within 25 percent. Experiments at the other sites were equally encouraging, and demonstrated the camera's ability to detect SO2 under demanding meteorological conditions. This early work has shown great success in imaging SO2 plumes and offers promise for volcano monitoring due to its rapid deployment and data processing capabilities, relatively low cost, and improved interpretation afforded by synoptic plume coverage from a range of distances.

  17. Ultra-violet absorption induced modifications in bulk and nanoscale electrical transport properties of Al-doped ZnO thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mohit; Basu, Tanmoy; Som, Tapobrata, E-mail: tsom@iopb.res.in

    Using conductive atomic force microscopy and Kelvin probe force microscopy, we study local electrical transport properties in aluminum-doped zinc oxide (ZnO:Al or AZO) thin films. Current mapping shows a spatial variation in conductivity which corroborates well with the local mapping of donor concentration (∼10{sup 20 }cm{sup −3}). In addition, a strong enhancement in the local current at grains is observed after exposing the film to ultra-violet (UV) light which is attributed to persistent photocurrent. Further, it is shown that UV absorption gives a smooth conduction in AZO film which in turn gives rise to an improvement in the bulk photoresponsivity ofmore » an n-AZO/p-Si heterojunction diode. This finding is in contrast to the belief that UV absorption in an AZO layer leads to an optical loss for the underneath absorbing layer of a heterojunction solar cell.« less

  18. A wearable pressure sensor based on ultra-violet/ozone microstructured carbon nanotube/polydimethylsiloxane arrays for electronic skins.

    PubMed

    Yu, Guohui; Hu, Jingdong; Tan, Jianping; Gao, Yang; Lu, Yongfeng; Xuan, Fuzhen

    2018-03-16

    Pressure sensors with high performance (e.g., a broad pressure sensing range, high sensitivities, rapid response/relaxation speeds, temperature-stable sensing), as well as a cost-effective and highly efficient fabrication method are highly desired for electronic skins. In this research, a high-performance pressure sensor based on microstructured carbon nanotube/polydimethylsiloxane arrays was fabricated using an ultra-violet/ozone (UV/O 3 ) microengineering technique. The UV/O 3 microengineering technique is controllable, cost-effective, and highly efficient since it is conducted at room temperature in an ambient environment. The pressure sensor offers a broad pressure sensing range (7 Pa-50 kPa), a sensitivity of ∼ -0.101 ± 0.005 kPa -1 (<1 kPa), a fast response/relaxation speed of ∼10 ms, a small dependence on temperature variation, and a good cycling stability (>5000 cycles), which is attributed to the UV/O 3 engineered microstructures that amplify and transfer external applied forces and rapidly store/release the energy during the PDMS deformation. The sensors developed show the capability to detect external forces and monitor human health conditions, promising for the potential applications in electronic skin.

  19. Reaction of photochemical resists used in screen printing under the influence of digitally modulated ultra violet light

    NASA Astrophysics Data System (ADS)

    Gmuender, T.

    2017-02-01

    Different chemical photo-reactive emulsions are used in screen printing for stencil production. Depending on the bandwidth, optical power and depth of field from the optical system, the reaction / exposure speed has a diverse value. In this paper, the emulsions get categorized and validated in a first step. After that a mathematical model gets developed and adapted due to heuristic experience to estimate the exposure speed under the influence of digitally modulated ultra violet (UV) light. The main intention is to use the technical specifications (intended wavelength, exposure time, distance to the stencil, electrical power, stencil configuration) in the emulsion data sheet primary written down with an uncertainty factor for the end user operating with large projector arc lamps and photo films. These five parameters are the inputs for a mathematical formula which gives as an output the exposure speed for the Computer to Screen (CTS) machine calculated for each emulsion / stencil setup. The importance of this work relies in the possibility to rate with just a few boundaries the performance and capacity of an exposure system used in screen printing instead of processing a long test series for each emulsion / stencil configuration.

  20. A wearable pressure sensor based on ultra-violet/ozone microstructured carbon nanotube/polydimethylsiloxane arrays for electronic skins

    NASA Astrophysics Data System (ADS)

    Yu, Guohui; Hu, Jingdong; Tan, Jianping; Gao, Yang; Lu, Yongfeng; Xuan, Fuzhen

    2018-03-01

    Pressure sensors with high performance (e.g., a broad pressure sensing range, high sensitivities, rapid response/relaxation speeds, temperature-stable sensing), as well as a cost-effective and highly efficient fabrication method are highly desired for electronic skins. In this research, a high-performance pressure sensor based on microstructured carbon nanotube/polydimethylsiloxane arrays was fabricated using an ultra-violet/ozone (UV/O3) microengineering technique. The UV/O3 microengineering technique is controllable, cost-effective, and highly efficient since it is conducted at room temperature in an ambient environment. The pressure sensor offers a broad pressure sensing range (7 Pa-50 kPa), a sensitivity of ˜ -0.101 ± 0.005 kPa-1 (<1 kPa), a fast response/relaxation speed of ˜10 ms, a small dependence on temperature variation, and a good cycling stability (>5000 cycles), which is attributed to the UV/O3 engineered microstructures that amplify and transfer external applied forces and rapidly store/release the energy during the PDMS deformation. The sensors developed show the capability to detect external forces and monitor human health conditions, promising for the potential applications in electronic skin.

  1. Beyond Extreme Ultra Violet (BEUV) Radiation from Spherically symmetrical High-Z plasmas

    NASA Astrophysics Data System (ADS)

    Yoshida, Kensuke; Fujioka, Shinsuke; Higashiguchi, Takeshi; Ugomori, Teruyuki; Tanaka, Nozomi; Kawasaki, Masato; Suzuki, Yuhei; Suzuki, Chihiro; Tomita, Kentaro; Hirose, Ryouichi; Eshima, Takeo; Ohashi, Hayato; Nishikino, Masaharu; Scally, Enda; Nshimura, Hiroaki; Azechi, Hiroshi; O'Sullivan, Gerard

    2016-03-01

    Photo-lithography is a key technology for volume manufacture of high performance and compact semiconductor devices. Smaller and more complex structures can be fabricated by using shorter wavelength light in the photolithography. One of the most critical issues in development of the next generation photo-lithography is to increase energy conversion efficiency (CE) from laser to shorter wavelength light. Experimental database of beyond extreme ultraviolet (BEUV) radiation was obtained by using spherically symmetrical high-Z plasmas generated with spherically allocated laser beams. Absolute energy and spectra of BEUV light emitted from Tb, Gd, and Mo plasmas were measured with a absolutely calibrated BEUV calorimeter and a transmission grating spectrometer. 1.0 x 1012 W/cm2 is the optimal laser intensity to produced efficient BEUV light source plasmas with Tb and Gd targets. Maximum CE is achieved at 0.8% that is two times higher than the published CEs obtained with planar targets.

  2. Activation of KGFR-Akt-mTOR-Nrf2 signaling protects human retinal pigment epithelium cells from Ultra-violet.

    PubMed

    Hu, Haitao; Hao, Lanxiang; Tang, Chunzhou; Zhu, Yunxi; Jiang, Qin; Yao, Jin

    2018-01-15

    Ultra-violet (UV) radiation causes oxidative injuries to human retinal pigment epithelium (RPE) cells. We tested the potential effect of keratinocyte growth factor (KGF) against the process. KGF receptor (KGFR) is expressed in ARPE-19 cells and primary human RPE cells. Pre-treatment with KGF inhibited UV-induced reactive oxygen species (ROS) production and RPE cell death. KGF activated nuclear-factor-E2-related factor 2 (Nrf2) signaling in RPE cells, causing Nrf2 Ser-40 phosphorylation, stabilization and nuclear translocation as well as expression of Nrf2-dependent genes (HO1, NOQ1 and GCLC). Nrf2 knockdown (by targeted shRNAs) or S40T mutation almost reversed KGF-induced RPE cell protection against UV. Further studies demonstrated that KGF activated KGFR-Akt-mTORC1 signaling to mediate downstream Nrf2 activation. KGFR shRNA or Akt-mTORC1 inhibition not only blocked KGF-induced Nrf2 Ser-40 phosphorylation and activation, but also nullified KGF-mediated RPE cell protection against UV. We conclude that KGF-KGFR activates Akt-mTORC1 downstream Nrf2 signaling to protect RPE cells from UV radiation. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    interference pattern whose lattice is modified by a custom designed Talbot mask. In other words, this method enables filling the arbitrary Talbot cell with ultra-fine interference nanofeatures. Detailed optics modeling, system design and experiment results using He-Ne laser and table top EUV laser are included. The last part of chapter IV will analyze its exclusive advantages over traditional Talbot or interference lithography.

  4. Simultaneous quantification of voriconazole and posaconazole in human plasma by high-performance liquid chromatography with ultra-violet detection.

    PubMed

    Chhun, Stéphanie; Rey, Elisabeth; Tran, Agnes; Lortholary, Olivier; Pons, Gérard; Jullien, Vincent

    2007-06-01

    A sensitive and selective high-performance liquid chromatographic (HPLC) method with ultra-violet detection has been developed and validated for the simultaneous determination of posaconazole and voriconazole, two systemic anti-fungal agents. An internal standard diazepam was added to 100 microL of human plasma followed by 3 mL of hexane-methylene chloride (70:30, v/v). The organic layer was evaporated to dryness and the residue was reconstituted with 100 microL of mobile phase before being injected in the chromatographic system. The compounds were separated on a C8 column using sodium potassium phosphate buffer (0.04 M, pH 6.0): acetonitrile:ultrapure water (45:52.5:2.5, v/v/v) as mobile phase. All compounds were detected at a wavelength of 255 nm. The assay was linear and validated over the range 0.2-10.0 mg/L for voriconazole and 0.05-10.0 mg/L for posaconazole. The biases were comprised between -3 and 5% for voriconazole and -2 and 8% for posaconazole. The intra- and inter-day precisions of the method were lower than 8% for the routine quality control (QC). The mean recovery was 98% for voriconazole and 108% for posaconazole. This method provides a useful tool for therapeutic drug monitoring.

  5. Results from the IMP-J violet solar cell experiment and violet cell balloon flights

    NASA Technical Reports Server (NTRS)

    Gaddy, E. M.

    1976-01-01

    The IMP-J violet solar cell experiment was flown in an orbit with mild thermal cycling and low hard particle radiation. The results of the experiment show that violet cells degrade at about the same rate as conventional cells in such an orbit. Balloon flight measurements show that violet solar cells produce approximately 20% more power than conventional cells.

  6. Results from the IMP-J violet solar cell experiment and violet cell balloon flights

    NASA Technical Reports Server (NTRS)

    Gaddy, E. M.

    1976-01-01

    The Interplanetary Monitoring Platform-J violet solar cell experiment was flown in an orbit with mild thermal cycling and low hard-particle radiation. The results of the experiment show that violet cells degrade at about the same rate as conventional cells in such an orbit. Balloon flight measurements show that violet solar cells produce approximately 20% more power than conventional cells.

  7. Is ultra-violet radiation the main force shaping molecular evolution of varicella-zoster virus?

    PubMed Central

    2011-01-01

    Background Varicella (chickenpox) exhibits a characteristic epidemiological pattern which is associated with climate. In general, primary infections in tropical regions are comparatively less frequent among children than in temperate regions. This peculiarity regarding varicella-zoster virus (VZV) infection among certain age groups in tropical regions results in increased susceptibility during adulthood in these regions. Moreover, this disease shows a cyclic behavior in which the number of cases increases significantly during winter and spring. This observation further supports the participation of environmental factors in global epidemiology of chickenpox. However, the underlying mechanisms responsible for this distinctive disease behavior are not understood completely. In a recent publication, Philip S. Rice has put forward an interesting hypothesis suggesting that ultra-violet (UV) radiation is the major environmental factor driving the molecular evolution of VZV. Discussion While we welcomed the attempt to explain the mechanisms controlling VZV transmission and distribution, we argue that Rice's hypothesis takes lightly the circulation of the so called "temperate VZV genotypes" in tropical regions and, to certain degree, overlooks the predominance of such lineages in certain non-temperate areas. Here, we further discuss and present new information about the overwhelming dominance of temperate VZV genotypes in Mexico regardless of geographical location and climate. Summary UV radiation does not satisfactorily explain the distribution of VZV genotypes in different tropical and temperate regions of Mexico. Additionally, the cyclic behavior of varicella does not shown significant differences between regions with different climates in the country. More studies should be conducted to identify the factors directly involved in viral spreading. A better understanding of the modes of transmissions exploited by VZV and their effect on viral fitness is likely to facilitate

  8. Specific ultra-violet absorbance as an indicator measurement of merucry sources in an Adirondack River basin

    USGS Publications Warehouse

    Burns, Douglas A.; Aiken, George R.; Bradley, Paul M.; Journey, Celeste A.; Schelker, Jakob

    2013-01-01

    The Adirondack region of New York has been identified as a hot spot where high methylmercury concentrations are found in surface waters and biota, yet mercury (Hg) concentrations vary widely in this region. We collected stream and groundwater samples for Hg and organic carbon analyses across the upper Hudson River, a 493 km2 basin in the central Adirondacks to evaluate and model the sources of variation in filtered total Hg (FTHg) concentrations. Variability in FTHg concentrations during the growing seasons (May-Oct) of 2007-2009 in Fishing Brook, a 66-km2 sub-basin, was better explained by specific ultra-violet absorbance at 254 nm (SUVA254), a measure of organic carbon aromaticity, than by dissolved organic carbon (DOC) concentrations, a commonly used Hg indicator. SUVA254 was a stronger predictor of FTHg concentrations during the growing season than during the dormant season. Multiple linear regression models that included SUVA254 values and DOC concentrations could explain 75 % of the variation in FTHg concentrations on an annual basis and 84 % during the growing season. A multiple linear regression landscape modeling approach applied to 27 synoptic sites across the upper Hudson basin found that higher SUVA254 values are associated with gentler slopes, and greater riparian area, and lower SUVA254 values are associated with an increasing influence of open water. We hypothesize that the strong Hg?SUVA254 relation in this basin reflects distinct patterns of FTHg and SUVA254 that are characteristic of source areas that control the mobilization of Hg to surface waters, and that the seasonal influence of these source areas varies in this heterogeneous basin landscape.

  9. 21 CFR 73.2775 - Manganese violet.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... manganese violet is a violet pigment obtained by reacting phosphoric acid, ammonium dihydrogen orthophosphate, and manganese dioxide at temperatures above 450 °F. The pigment is a manganese ammonium...

  10. Electrochemical Corrosion Properties of Commercial Ultra-Thin Copper Foils

    NASA Astrophysics Data System (ADS)

    Yen, Ming-Hsuan; Liu, Jen-Hsiang; Song, Jenn-Ming; Lin, Shih-Ching

    2017-08-01

    Ultra-thin electrodeposited Cu foils have been developed for substrate thinning for mobile devices. Considering the corrosion by residual etchants from the lithography process for high-density circuit wiring, this study investigates the microstructural features of ultra-thin electrodeposited Cu foils with a thickness of 3 μm and their electrochemical corrosion performance in CuCl2-based etching solution. X-ray diffraction and electron backscatter diffraction analyses verify that ultra-thin Cu foils exhibit a random texture and equi-axed grains. Polarization curves show that ultra-thin foils exhibit a higher corrosion potential and a lower corrosion current density compared with conventional (220)-oriented foils with fan-like distributed fine-elongated columnar grains. Chronoamperometric results also suggest that ultra-thin foils possess superior corrosion resistance. The passive layer, mainly composed of CuCl and Cu2O, forms and dissolves in sequence during polarization.

  11. Global distribution of the He+ column density observed by Extreme Ultra Violet Imager on the International Space Station

    NASA Astrophysics Data System (ADS)

    Hozumi, Yuta; Saito, Akinori; Yoshikawa, Ichiro; Yamazaki, Atsushi; Murakami, Go; Yoshioka, Kazuo; Chen, Chia-Hung

    2017-07-01

    The global distribution of He+ in the topside ionosphere was investigated using data of the He+ resonant scattering emission at 30.4 nm obtained by the Extreme Ultra Violet Imager (EUVI) onboard the International Space Station. The optical observation by EUVI from the low-Earth orbit provides He+ column density data above the altitude of 400 km, presenting a unique opportunity to study the He+ distribution with a different perspective from that of past studies using data from in situ measurements. We analyzed data taken in 2013 and elucidated, for the first time, the seasonal, longitudinal, and latitudinal variations of the He+ column density in the dusk sector. It was found that the He+ column density in the winter hemisphere was about twice that in the summer hemisphere. In the December solstice season, the magnitude of this hemispheric asymmetry was large (small) in the longitudinal sector where the geomagnetic declination is eastward (westward). In the June solstice season, this relationship between the He+ distribution and the geomagnetic declination is reversed. In the equinox seasons, the He+ column densities in the two hemispheres are comparable at most longitudes. The seasonal and longitudinal dependence of the hemispheric asymmetry of the He+ distribution was attributed to the geomagnetic meridional neutral wind in the F region ionosphere. The neutral wind effect on the He+ distribution was examined with an empirical neutral wind model, and it was confirmed that the transport of ions in the topside ionosphere is predominantly affected by the F region neutral wind and the geomagnetic configuration.

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Wei, E-mail: wguo2@ncsu.edu; Kirste, Ronny; Bryan, Zachary

    Enhanced light extraction efficiency was demonstrated on nanostructure patterned GaN and AlGaN/AlN Multiple-Quantum-Well (MQW) structures using mass production techniques including natural lithography and interference lithography with feature size as small as 100 nm. Periodic nanostructures showed higher light extraction efficiency and modified emission profile compared to non-periodic structures based on integral reflection and angular-resolved transmission measurement. Light extraction mechanism of macroscopic and microscopic nanopatterning is discussed, and the advantage of using periodic nanostructure patterning is provided. An enhanced photoluminescence emission intensity was observed on nanostructure patterned AlGaN/AlN MQW compared to as-grown structure, demonstrating a large-scale and mass-producible pathway to higher lightmore » extraction efficiency in deep-ultra-violet light-emitting diodes.« less

  13. Enhanced photocatalytic performance of CeO2-TiO2 nanocomposite for degradation of crystal violet dye and industrial waste effluent

    NASA Astrophysics Data System (ADS)

    Zahoor, Mehvish; Arshad, Amara; Khan, Yaqoob; Iqbal, Mazhar; Bajwa, Sadia Zafar; Soomro, Razium Ali; Ahmad, Ishaq; Butt, Faheem K.; Iqbal, M. Zubair; Wu, Aiguo; Khan, Waheed S.

    2018-03-01

    This study presents the synthesis of CeO2-TiO2 nanocomposite and its potential application for the visible light-driven photocatalytic degradation of model crystal violet dye as well as real industrial waste water. The ceria-titania (CeO2-TiO2) nanocomposite material was synthesised using facile hydrothermal route without the assistance of any template molecule. As-prepared composite was characterised by SEM, TEM, HRTEM, XRD, XPS for surface features, morphological and crystalline characters. The formed nanostructures were determined to possess crystal-like geometrical shape and average size less than 100 nm. The as-synthesised nanocomposite was further investigated for their heterogeneous photocatalytic potential against the oxidative degradation of CV dye taken as model pollutant. The photo-catalytic performance of the as-synthesised material was evaluated both under ultra-violet as well as visible light. Best photocatalytic performance was achieved under visible light with complete degradation (100%) exhibited within 60 min of irradiation time. The kinetics of the photocatalytic process were also considered and the reaction rate constant for CeO2-TiO2 nanocomposite was determined to be 0.0125 and 0.0662 min-1 for ultra-violet and visible region, respectively. In addition, the as-synthesised nanocomposite demonstrated promising results when considered for the photo-catalytic degradation of coloured industrial waste water collected from local textile industry situated in Faisalabad region of Pakistan. Enhanced photo-catalytic performance of CeO2-TiO2 nanocomposite was proposed owing to heterostructure formation leading to reduced electron-hole recombination.

  14. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  15. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  16. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  17. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  18. Warm dark matter via ultra-violet freeze-in: reheating temperature and non-thermal distribution for fermionic Higgs portal dark matter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McDonald, John

    2016-08-17

    Warm dark matter (WDM) of order keV mass may be able to resolve the disagreement between structure formation in cold dark matter simulations and observations. The detailed properties of WDM will depend upon its energy distribution, in particular how it deviates from the thermal distribution usually assumed in WDM simulations. Here we focus on WDM production via the Ultra-Violet (UV) freeze-in mechanism, for the case of fermionic Higgs portal dark matter ψ produced via the portal interaction ψ-barψH{sup †}H/Λ. We introduce a new method to simplify the computation of the non-thermal energy distribution of dark matter from freeze-in. We showmore » that the non-thermal energy distribution from UV freeze-in is hotter than the corresponding thermal distribution and has the form of a Bose-Einstein distribution with a non-thermal normalization. The resulting range of dark matter fermion mass consistent with observations is 5–7 keV. The reheating temperature must satisfy T{sub R}≳120 GeV in order to account for the observed dark matter density when m{sub ψ}≈5 keV, where the lower bound on T{sub R} corresponds to the limit where the fermion mass is entirely due to electroweak symmetry breaking via the portal interaction. The corresponding bound on the interaction scale is Λ≳6.0×10{sup 9} GeV.« less

  19. Simultaneous Determination of Six Benzodiazepines in Spiked Soft Drinks by High Performance Liquid Chromatography with Ultra Violet Detection (HPLC-UV)

    PubMed Central

    Soltaninejad, Kambiz; Karimi, Mohammad; Nateghi, Alireza; Daraei, Bahram

    2016-01-01

    A high performance liquid chromatographic method with ultra violet detection for simultaneous analysis of six benzodiazepines (BZDs) (chlordiazepoxide, diazepam, clonazepam, midazolam , flurazpam, and lorazepam) has been developed for forensic screening of adulterated non-alcoholic drinks. Samples were analyzed after a simple procedure for preparation using pH adjustment and filtering. Isocratic elution on a C18 column (250mm × 4.6 mm, 5μm) in the temperature 45ºC with a mobile phase consisting of 15mM phosphate buffer: methanol (50:50 v/v) at a flow rate 1.4 mL/min has been done. The column eluent was monitored with a UV detector at 245 nm. This allowed a rapid detection and identification as well as quantization of the eluting peaks. Calibration curves for all drugs in the range of 0.5- 10 µg/ mL that all the linear regression and has more than 0.996. Recovery rates for the BZDs were in the range 93.7- 108.7%. The limits of detection were calculated between 0.01- 0.02 µg/ mL. Also, the limits of quantification were 0.03- 0.05 µg/mL. Within-day and between -day coefficient of variation for all BZDs at all concentrations in the range of 0.45 - 7.69 % was calculated. The procedure can provide a simple, sensitive and fast method for the screening of six BZDs in adulterated soft drinks in forensic analysis. PMID:27642316

  20. 21 CFR 73.2775 - Manganese violet.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2775 Manganese violet. (a) Identity. The color additive... less than 93 percent. (c) Uses and restrictions. Manganese violet is safe for use in coloring cosmetics generally, including cosmetics applied to the area of the eye, in amounts consistent with good manufacturing...

  1. 21 CFR 73.2775 - Manganese violet.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2775 Manganese violet. (a) Identity. The color additive... less than 93 percent. (c) Uses and restrictions. Manganese violet is safe for use in coloring cosmetics generally, including cosmetics applied to the area of the eye, in amounts consistent with good manufacturing...

  2. 21 CFR 73.2775 - Manganese violet.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2775 Manganese violet. (a) Identity. The color additive... less than 93 percent. (c) Uses and restrictions. Manganese violet is safe for use in coloring cosmetics generally, including cosmetics applied to the area of the eye, in amounts consistent with good manufacturing...

  3. 21 CFR 73.2775 - Manganese violet.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false Manganese violet. 73.2775 Section 73.2775 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2775 Manganese violet. (a) Identity. The color additive...

  4. 21 CFR 73.3107 - Carbazole violet.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 1 2012-04-01 2012-04-01 false Carbazole violet. 73.3107 Section 73.3107 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Medical Devices § 73.3107 Carbazole violet. (a) Identity. The color...

  5. 21 CFR 73.3107 - Carbazole violet.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 1 2014-04-01 2014-04-01 false Carbazole violet. 73.3107 Section 73.3107 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Medical Devices § 73.3107 Carbazole violet. (a) Identity. The color...

  6. 21 CFR 73.3107 - Carbazole violet.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false Carbazole violet. 73.3107 Section 73.3107 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Medical Devices § 73.3107 Carbazole violet. (a) Identity. The color...

  7. 21 CFR 73.3107 - Carbazole violet.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 1 2010-04-01 2010-04-01 false Carbazole violet. 73.3107 Section 73.3107 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Medical Devices § 73.3107 Carbazole violet. (a) Identity. The color...

  8. 21 CFR 73.3107 - Carbazole violet.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 1 2013-04-01 2013-04-01 false Carbazole violet. 73.3107 Section 73.3107 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Medical Devices § 73.3107 Carbazole violet. (a) Identity. The color...

  9. 21 CFR 589.1000 - Gentian violet.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 6 2010-04-01 2010-04-01 false Gentian violet. 589.1000 Section 589.1000 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) ANIMAL DRUGS... Substances Prohibited From Use in Animal Food or Feed § 589.1000 Gentian violet. The Food and Drug...

  10. Development of a Wafer Positioning System for the Sandia Extreme Ultraviolet Lithography Tool

    NASA Technical Reports Server (NTRS)

    Wronosky, John B.; Smith, Tony G.; Darnold, Joel R.

    1996-01-01

    A wafer positioning system was recently developed by Sandia National Laboratories for an Extreme Ultraviolet Lithography (EUVL) tool. The system, which utilizes a magnetically levitated fine stage to provide ultra-precise positioning in all six degrees of freedom, incorporates technological improvements resulting from four years of prototype development. This paper describes the design, implementation, and functional capability of the system. Specifics regarding control system electronics, including software and control algorithm structure, as well as performance design goals and test results are presented. Potential system enhancements, some of which are in process, are also discussed.

  11. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  12. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  13. Electron beam mask writer EBM-9500 for logic 7nm node generation

    NASA Astrophysics Data System (ADS)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  14. Evolutionary replacement of UV vision by violet vision in fish.

    PubMed

    Tada, Takashi; Altun, Ahmet; Yokoyama, Shozo

    2009-10-13

    The vertebrate ancestor possessed ultraviolet (UV) vision and many species have retained it during evolution. Many other species switched to violet vision and, then again, some avian species switched back to UV vision. These UV and violet vision are mediated by short wavelength-sensitive (SWS1) pigments that absorb light maximally (lambda(max)) at approximately 360 and 390-440 nm, respectively. It is not well understood why and how these functional changes have occurred. Here, we cloned the pigment of scabbardfish (Lepidopus fitchi) with a lambda(max) of 423 nm, an example of violet-sensitive SWS1 pigment in fish. Mutagenesis experiments and quantum mechanical/molecular mechanical (QM/MM) computations show that the violet-sensitivity was achieved by the deletion of Phe-86 that converted the unprotonated Schiff base-linked 11-cis-retinal to a protonated form. The finding of a violet-sensitive SWS1 pigment in scabbardfish suggests that many other fish also have orthologous violet pigments. The isolation and comparison of such violet and UV pigments in fish living in different ecological habitats will open an unprecedented opportunity to elucidate not only the molecular basis of phenotypic adaptations, but also the genetics of UV and violet vision.

  15. Scintillation properties of Nd 3+, Tm 3+, and Er 3+ doped LuF 3 scintillators in the vacuum ultra violet region

    NASA Astrophysics Data System (ADS)

    Yanagida, Takayuki; Kawaguchi, Noriaki; Fukuda, Kentaro; Kurosawa, Shunsuke; Fujimoto, Yutaka; Futami, Yoshisuke; Yokota, Yuui; Taniue, Kojiro; Sekiya, Hiroyuki; Kubo, Hidetoshi; Yoshikawa, Akira; Tanimori, Toru

    2011-12-01

    In order to develop novel vacuum ultra violet (VUV) emitting scintillators, we grew Nd 0.5%, Tm 0.5%, and Er 0.5% doped LuF3 scintillators by the μ-pulling down method, because LuF3 has a very wide band gap and Nd3+, Tm3+, and Er3+ luminescence centers show fast and intense 5d-4f emission in VUV region. Transmittance and X-ray induced radioluminescence were studied in these three samples using our original spectrometer made by Bunkou-Keiki company. In the VUV region, transmittance of 20-60% was achieved for all the samples. The emission peaks appeared at approximately 180, 165, and 164 nm for Nd3+, Tm3+, and Er3+ doped LuF3, respectively. Using PMT R8778 (Hamamatsu), we measured their light yields under 241Am α-ray excitation. Compared with Nd:LaF3 scintillator, which has 33 photoelectrons/5.5 MeV α, Nd:LuF3 and Tm:LuF3 showed 900±90 and 170±20 ph/5.5 MeV-α, respectively. Only for the Nd doped one, we can detect 137Cs 662 keV γ-ray photoabsorption peak and the light yield of 1200±120 ph/MeV was measured. We also investigated their decay time profiles by picosecond pulse X-ray equipped streak camera, and the main decay component of Nd:LuF3 turned out to be 7.63 ns.

  16. Seasonal and spatial variation of topside He+ column density obtained from Extreme Ultra Violet Imager onboard the International Space Station

    NASA Astrophysics Data System (ADS)

    Hozumi, Y.; Saito, A.; Murakami, G.; Yamazaki, A.; Yoshikawa, I.

    2016-12-01

    The seasonal, longitudinal and latitudinal variations of He+ distribution in the topside ionosphere in 2013 are elucidated with data of He+ resonant scattering obtained by Extreme Ultra Violet Imager (EUVI) onboard the International Space Station (ISS). EUVI provides a data set of the column density of He+ above the ISS orbit altitude. The data set provides a unique opportunity to study He+ distribution in the topside ionosphere from a different perspective of past studies using in-situ measurement data. During the solstice seasons, an enhancement of He+ column density in the winter hemisphere is observed. The magnitude of this hemispheric asymmetry shows a longitudinal variability. Around the June solstice, the hemispheric asymmetry was greater in the longitude sector where the geomagnetic declination angle is negative and smaller in the longitude sector where the geomagnetic declination angle is positive. Around the December solstice, on the other hand, this longitudinal variation of the asymmetry magnitude had opposite tendency. The hemispheric asymmetry of the effective neutral wind well explains this behavior of He+. The field-aligned component of neutral wind in the F-region is varied in longitude under the presence of finite geomagnetic declination angle and large zonal wind. In the equinox seasons, two longitudinal maxima were observed at around 140ºE and 30ºE. The longitudinal variation of the effective neutral wind is a candidate of these two maxima of He+ concentration. These results suggest that the transport of ions in the topside ionosphere is strongly affected by the F-region neutral wind.

  17. Ultra-low loss fully-etched grating couplers for perfectly vertical coupling compatible with DUV lithography tools

    NASA Astrophysics Data System (ADS)

    Dabos, G.; Pleros, N.; Tsiokos, D.

    2016-03-01

    Hybrid integration of VCSELs onto silicon-on-insulator (SOI) substrates has emerged as an attractive approach for bridging the gap between cost-effective and energy-efficient directly modulated laser sources and silicon-based PICs by leveraging flip-chip (FC) bonding techniques and silicon grating couplers (GCs). In this context, silicon GCs, should comply with the process requirements imposed by the complimentary-metal-oxide-semiconductor manufacturing tools addressing in parallel the challenges originating from the perfectly vertical incidence. Firstly, fully etched GCs compatible with deep-ultraviolet lithography tools offering high coupling efficiencies are imperatively needed to maintain low fabrication cost. Secondly, GC's tolerance to VCSEL bonding misalignment errors is a prerequisite for practical deployment. Finally, a major challenge originating from the perfectly vertical coupling scheme is the minimization of the direct back-reflection to the VCSEL's outgoing facet which may destabilize its operation. Motivated from the above challenges, we used numerical simulation tools to design an ultra-low loss, bidirectional VCSEL-to-SOI optical coupling scheme for either TE or TM polarization, based on low-cost fully etched GCs with a Si-layer of 340 nm without employing bottom reflectors or optimizing the buried-oxide layer. Comprehensive 2D Finite-Difference-Time- Domain simulations have been performed. The reported GC layout remains fully compatible with the back-end-of-line (BEOL) stack associated with the 3D integration technology exploiting all the inter-metal-dielectric (IMD) layers of the CMOS fab. Simulation results predicted for the first time in fully etched structures a coupling efficiency of as low as -0.87 dB at 1548 nm and -1.47 dB at 1560 nm with a minimum direct back-reflection of -27.4 dB and -14.2 dB for TE and TM polarization, respectively.

  18. 21 CFR 74.2602 - D&C Violet No. 2.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... ADDITIVES SUBJECT TO CERTIFICATION Cosmetics § 74.2602 D&C Violet No. 2. (a) Identity and specifications. The color additive D&C Violet No. 2 shall conform in identity and specifications to the requirements of § 74.1602(a)(1) and (b). (b) Uses and restrictions. The color additive D&C Violet No. 2 may be...

  19. 21 CFR 74.2602 - D&C Violet No. 2.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... ADDITIVES SUBJECT TO CERTIFICATION Cosmetics § 74.2602 D&C Violet No. 2. (a) Identity and specifications. The color additive D&C Violet No. 2 shall conform in identity and specifications to the requirements of § 74.1602(a)(1) and (b). (b) Uses and restrictions. The color additive D&C Violet No. 2 may be...

  20. 21 CFR 74.2602 - D&C Violet No. 2.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... ADDITIVES SUBJECT TO CERTIFICATION Cosmetics § 74.2602 D&C Violet No. 2. (a) Identity and specifications. The color additive D&C Violet No. 2 shall conform in identity and specifications to the requirements of § 74.1602(a)(1) and (b). (b) Uses and restrictions. The color additive D&C Violet No. 2 may be...

  1. 21 CFR 74.2602 - D&C Violet No. 2.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... ADDITIVES SUBJECT TO CERTIFICATION Cosmetics § 74.2602 D&C Violet No. 2. (a) Identity and specifications. The color additive D&C Violet No. 2 shall conform in identity and specifications to the requirements of § 74.1602(a)(1) and (b). (b) Uses and restrictions. The color additive D&C Violet No. 2 may be...

  2. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  3. Analysis of violet-excited fluorochromes by flow cytometry using a violet laser diode.

    PubMed

    Telford, William G; Hawley, Teresa S; Hawley, Robert G

    2003-07-01

    Low power violet laser diodes (VLDs) have been evaluated as potential replacements for water-cooled argon-ion and krypton-ion ultraviolet and violet lasers for DNA content analysis using the Hoechst dyes and 4,6-diamidino-2-phenylindole (Shapiro HMN, Perlmutter NG: Cytometry 44:133-136, 2001). In this study, we used a VLD to excite a variety of violet-excited fluorescent molecules important in biomedical analysis, including the fluorochromes Cascade Blue and Pacific Blue, the expressible fluorescent protein cyan fluorescent protein (CFP), and the fluorogenic alkaline phosphatase (AP) substrate 2-(5'-chloro-2'-phosphoryloxyphenyl)-6-chloro-4-(3H)-quinazoline (ELF-97; for endogenous AP detection and cell surface labeling with AP-conjugated antibodies). Comparisons were made between VLD excitation and a krypton-ion laser emitting at 407 nm (both at higher power levels and with the beam attenuated at levels approximating the VLD) on the same FACSVantage SE stream-in-air flow cytometer. We evaluated a Power Technology 408-nm VLD (30 mW) equipped with circularization optics (18 mW maximum output, set to 15 mW) and a Coherent I-302C krypton-ion laser emitting at power levels ranging from 15 to 75 mW. Cascade Blue, Pacific Blue, and CFP showed comparable signal-to-noise ratios and levels of sensitivity with VLD excitation versus the krypton-ion laser at high and VLD-matched power outputs. Multicolor fluorescent protein analysis with 488-nm excitation of green fluorescent protein and DsRed and VLD excitation of CFP was therefore feasible and was demonstrated. Similar levels of excitation efficiency between krypton-ion and VLD sources also were observed for ELF-97 detection. These evaluations confirmed that VLDs may be cost- and maintenance-effective replacements for water-cooled gas lasers for applications requiring violet excitation in addition to DNA binding dyes. Published 2003 Wiley-Liss, Inc.

  4. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron

  5. Polystyrene negative resist for high-resolution electron beam lithography

    PubMed Central

    2011-01-01

    We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL) resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern. PMID:21749679

  6. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  7. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  8. 75 FR 14468 - Carbazole Violet Pigment 23 From China and India

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-25

    ...)] Carbazole Violet Pigment 23 From China and India AGENCY: United States International Trade Commission... violet pigment 23 from India and the antidumping duty orders on carbazole violet pigment 23 from China and India. SUMMARY: The Commission hereby gives notice of the scheduling of expedited reviews pursuant...

  9. Ablation of dentin by irradiation of violet diode laser

    NASA Astrophysics Data System (ADS)

    Hatayama, H.; Kato, J.; Akashi, G.; Hirai, Y.; Inoue, A.

    2006-02-01

    Several lasers have been used for clinical treatment in dentistry. Among them, diode lasers are attractive because of their compactness compared with other laser sources. Near-infrared diode lasers have been practically used for cutting soft tissues. Because they penetrate deep to soft tissues, they cause sufficiently thick coagulation layer. However, they aren't suitable for removal of carious dentin because absorption by components in dentin is low. Recently, a violet diode laser with a wavelength of 405nm has been developed. It will be effective for cavity preparation because dentin contains about 20% of collagen whose absorption coefficient at a violet wavelength is larger than that at a near-infrared wavelength. In this paper, we examined cutting performance of the violet diode laser for dentin. To our knowledge, there have been no previous reports on application of a violet laser to dentin ablation. Bovine teeth were irradiated by continuous wave violet diode laser with output powers in a range from 0.4W to 2.4W. The beam diameter on the sample was about 270μm and an irradiation time was one second. We obtained the crater ablated at more than an output power of 0.8W. The depth of crater ranged from 20μm at 0.8W to 90μm at 2.4W. Furthermore, the beam spot with an output power of 1.7W was scanned at a speed of 1mm/second corresponding to movement of a dentist's hand in clinical treatment. Grooves with the depth of more than 50μm were also obtained. From these findings, the violet diode laser has good potential for cavity preparation. Therefore, the violet diode laser may become an effective tool for cavity preparation.

  10. Photo Inactivation of Streptococcus mutans Biofilm by Violet-Blue light.

    PubMed

    Gomez, Grace F; Huang, Ruijie; MacPherson, Meoghan; Ferreira Zandona, Andrea G; Gregory, Richard L

    2016-09-01

    Among various preventive approaches, non-invasive phototherapy/photodynamic therapy is one of the methods used to control oral biofilm. Studies indicate that light at specific wavelengths has a potent antibacterial effect. The objective of this study was to determine the effectiveness of violet-blue light at 380-440 nm to inhibit biofilm formation of Streptococcus mutans or kill S. mutans. S. mutans UA159 biofilm cells were grown for 12-16 h in 96-well flat-bottom microtiter plates using tryptic soy broth (TSB) or TSB with 1 % sucrose (TSBS). Biofilm was irradiated with violet-blue light for 5 min. After exposure, plates were re-incubated at 37 °C for either 2 or 6 h to allow the bacteria to recover. A crystal violet biofilm assay was used to determine relative densities of the biofilm cells grown in TSB, but not in TSBS, exposed to violet-blue light. The results indicated a statistically significant (P < 0.05) decrease compared to the non-treated groups after the 2 or 6 h recovery period. Growth rates of planktonic and biofilm cells indicated a significant reduction in the growth rate of the violet-blue light-treated groups grown in TSB and TSBS. Biofilm viability assays confirmed a statistically significant difference between violet-blue light-treated and non-treated groups in TSB and TSBS. Visible violet-blue light of the electromagnetic spectrum has the ability to inhibit S. mutans growth and reduce the formation of S. mutans biofilm. This in vitro study demonstrated that violet-blue light has the capacity to inhibit S. mutans biofilm formation. Potential clinical applications of light therapy in the future remain bright in preventing the development and progression of dental caries.

  11. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  12. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  13. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  14. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  15. Determination of Organic Impurities in Anthraquinone Color Additives D&C Violet No. 2 and D&C Green No. 6 by Ultra-High Performance Liquid Chromatography.

    PubMed

    Yang, H H Wendy

    2017-01-01

    A new practical and time-saving ultra-high performance liquid chromatography (UHPLC) method has been developed for determining the organic impurities in the anthraquinone color additives D&C Violet No. 2 and D&C Green No. 6. The impurities determined are p-toluidine, 1-hydroxyanthraquinone, 1,4-dihydroxyanthraquinone, and two subsidiary colors. The newly developed UHPLC method uses a 1.7-μ particle size C-18 column, 0.1 M ammonium acetate and acetonitrile as eluents, and photodiode array detection. For the quantification of the impurities, six-point calibration curves were used with correlation coefficients that ranged from 0.9974 to 0.9998. Recoveries of impurities ranged from 99 to 104%. Relative standard deviations ranged from 0.81 to 4.29%. The limits of detection for the impurities ranged from 0.0067% to 0.216%. Samples from sixteen batches of each color additive were analyzed, and the results favorably compared with the results obtained by gravity-elution column chromatography, thin-layer chromatography, and isooctane extraction. Unlike with those other methods, use of the UHPLC method permits all of the impurities to be determined in a single analysis, while also reducing the amount of organic waste and saving time and labor. The method is expected to be implemented by the U.S. Food and Drug Administration for analysis of color additive samples submitted for batch certification.

  16. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  17. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  18. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  19. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  20. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  1. Disordered Nanohole Patterns in Metal-Insulator Multilayer for Ultra-broadband Light Absorption: Atomic Layer Deposition for Lithography Free Highly repeatable Large Scale Multilayer Growth.

    PubMed

    Ghobadi, Amir; Hajian, Hodjat; Dereshgi, Sina Abedini; Bozok, Berkay; Butun, Bayram; Ozbay, Ekmel

    2017-11-08

    In this paper, we demonstrate a facile, lithography free, and large scale compatible fabrication route to synthesize an ultra-broadband wide angle perfect absorber based on metal-insulator-metal-insulator (MIMI) stack design. We first conduct a simulation and theoretical modeling approach to study the impact of different geometries in overall stack absorption. Then, a Pt-Al 2 O 3 multilayer is fabricated using a single atomic layer deposition (ALD) step that offers high repeatability and simplicity in the fabrication step. In the best case, we get an absorption bandwidth (BW) of 600 nm covering a range of 400 nm-1000 nm. A substantial improvement in the absorption BW is attained by incorporating a plasmonic design into the middle Pt layer. Our characterization results demonstrate that the best configuration can have absorption over 0.9 covering a wavelength span of 400 nm-1490 nm with a BW that is 1.8 times broader compared to that of planar design. On the other side, the proposed structure retains its absorption high at angles as wide as 70°. The results presented here can serve as a beacon for future performance enhanced multilayer designs where a simple fabrication step can boost the overall device response without changing its overall thickness and fabrication simplicity.

  2. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  3. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  4. A Hot Companion to a Blue Straggler in NGC 188 as Revealed by the Ultra-Violet Imaging Telescope (UVIT) on ASTROSAT

    NASA Astrophysics Data System (ADS)

    Subramaniam, Annapurni; Sindhu, N.; Tandon, S. N.; Kameswara Rao, N.; Postma, J.; Côté, Patrick; Hutchings, J. B.; Ghosh, S. K.; George, K.; Girish, V.; Mohan, R.; Murthy, J.; Sankarasubramanian, K.; Stalin, C. S.; Sutaria, F.; Mondal, C.; Sahu, S.

    2016-12-01

    We present early results from the Ultra-Violet Imaging Telescope (UVIT) on board the ASTROSAT observatory. We report the discovery of a hot companion associated with one of the blue straggler stars (BSSs) in the old open cluster, NGC 188. Using fluxes measured in four filters in UVIT’s far-UV (FUV) channel, and two filters in the near-UV (NUV) channel, we have constructed the spectral energy distribution (SED) of the star WOCS-5885, after combining with flux measurements from GALEX, Ultraviolet Imaging Telescope, Ultraviolet Optical Telescope, SPITZER, WISE, and several ground-based facilities. The resulting SED spans a wavelength range of 0.15 μm to 7.8 μm. This object is found to be one of the brightest FUV sources in the cluster. An analysis of the SED reveals the presence of two components. The cooler component is found to have a temperature of 6000 ± 150 K, confirming that it is a BSS. Assuming it to be a main-sequence star, we estimate its mass to be ˜1.1-1.2 M ⊙. The hotter component, with an estimated temperature of 17,000 ± 500 K, has a radius of ˜ 0.6 R ⊙ and L ˜30 L ⊙. Bigger and more luminous than a white dwarf, yet cooler than a sub-dwarf, we speculate that it is a post-AGB/HB star that has recently transferred its mass to the BSS, which is known to be a rapid rotator. This binary system, which is the first BSS with a post-AGB/HB companion identified in an open cluster, is an ideal laboratory to study the process of BSS formation via mass transfer.

  5. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  6. 75 FR 27815 - Carbazole Violet Pigment 23 From China and India; Determinations

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-18

    ...) Carbazole Violet Pigment 23 From China and India; Determinations On the basis of the record \\1\\ developed in... countervailing duty order on carbazole violet pigment 23 from India would be likely to lead to continuation or... that revocation of the antidumping duty orders on carbazole violet pigment 23 from China and India...

  7. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  8. Depth-resolved ultra-violet spectroscopic photo current-voltage measurements for the analysis of AlGaN/GaN high electron mobility transistor epilayer deposited on Si

    NASA Astrophysics Data System (ADS)

    Ozden, Burcu; Yang, Chungman; Tong, Fei; Khanal, Min P.; Mirkhani, Vahid; Sk, Mobbassar Hassan; Ahyi, Ayayi Claude; Park, Minseo

    2014-10-01

    We have demonstrated that the depth-dependent defect distribution of the deep level traps in the AlGaN/GaN high electron mobility transistor (HEMT) epi-structures can be analyzed by using the depth-resolved ultra-violet (UV) spectroscopic photo current-voltage (IV) (DR-UV-SPIV). It is of great importance to analyze deep level defects in the AlGaN/GaN HEMT structure, since it is recognized that deep level defects are the main source for causing current collapse phenomena leading to reduced device reliability. The AlGaN/GaN HEMT epi-layers were grown on a 6 in. Si wafer by metal-organic chemical vapor deposition. The DR-UV-SPIV measurement was performed using a monochromatized UV light illumination from a Xe lamp. The key strength of the DR-UV-SPIV is its ability to provide information on the depth-dependent electrically active defect distribution along the epi-layer growth direction. The DR-UV-SPIV data showed variations in the depth-dependent defect distribution across the wafer. As a result, rapid feedback on the depth-dependent electrical homogeneity of the electrically active defect distribution in the AlGaN/GaN HEMT epi-structure grown on a Si wafer with minimal sample preparation can be elucidated from the DR-UV-SPIV in combination with our previously demonstrated spectroscopic photo-IV measurement with the sub-bandgap excitation.

  9. 21 CFR 74.1602 - D&C Violet No. 2.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false D&C Violet No. 2. 74.1602 Section 74.1602 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES SUBJECT TO CERTIFICATION Drugs § 74.1602 D&C Violet No. 2. (a) Identity. (1) The color additive D...

  10. 21 CFR 82.1602 - D&C Violet No. 2.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false D&C Violet No. 2. 82.1602 Section 82.1602 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF CERTIFIED... additive D&C Violet No. 2 shall conform in identity and specifications to the requirements of § 74.1602(a...

  11. Micropropagation of African violet (Saintpaulia ionantha Wendl.).

    PubMed

    Shukla, Mukund; Sullivan, J Alan; Jain, Shri Mohan; Murch, Susan J; Saxena, Praveen K

    2013-01-01

    Micropropagation is an important tool for rapid multiplication and the creation of genetic variability in African violets (Saintpaulia ionantha Wendl.). Successful in vitro propagation depends on the specific requirements and precise manipulation of various factors such as the type of explants used, physiological state of the mother plant, plant growth regulators in the culture medium, and growth conditions. Development of cost-effective protocols with a high rate of multiplication is a crucial requirement for commercial application of micropropagation. The current chapter describes an optimized protocol for micropropagation of African violets using leaf explants obtained from in vitro grown plants. In this process, plant regeneration occurs via both somatic embryogenesis and shoot organogenesis simultaneously in the explants induced with the growth regulator thidiazuron (TDZ; N-phenyl-N'-1,2,3-thidiazol-5-ylurea). The protocol is simple, rapid, and efficient for large-scale propagation of African violet and the dual routes of regeneration allow for multiple applications of the technology from simple clonal propagation to induction or selection of variants to the production of synthetic seeds.

  12. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  13. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  14. 21 CFR 74.3602 - D&C Violet No. 2.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... coloring absorbable meniscal tacks made from poly (L-lactic acid) at a level not to exceed 0.15 percent by... 21 Food and Drugs 1 2013-04-01 2013-04-01 false D&C Violet No. 2. 74.3602 Section 74.3602 Food and... ADDITIVES SUBJECT TO CERTIFICATION Medical Devices § 74.3602 D&C Violet No. 2. (a) Identity and...

  15. 21 CFR 74.3602 - D&C Violet No. 2.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... coloring absorbable meniscal tacks made from poly (L-lactic acid) at a level not to exceed 0.15 percent by... 21 Food and Drugs 1 2011-04-01 2011-04-01 false D&C Violet No. 2. 74.3602 Section 74.3602 Food and... ADDITIVES SUBJECT TO CERTIFICATION Medical Devices § 74.3602 D&C Violet No. 2. (a) Identity and...

  16. 21 CFR 74.3602 - D&C Violet No. 2.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... coloring absorbable meniscal tacks made from poly (L-lactic acid) at a level not to exceed 0.15 percent by... 21 Food and Drugs 1 2012-04-01 2012-04-01 false D&C Violet No. 2. 74.3602 Section 74.3602 Food and... ADDITIVES SUBJECT TO CERTIFICATION Medical Devices § 74.3602 D&C Violet No. 2. (a) Identity and...

  17. 21 CFR 74.3602 - D&C Violet No. 2.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... coloring absorbable meniscal tacks made from poly (L-lactic acid) at a level not to exceed 0.15 percent by... 21 Food and Drugs 1 2014-04-01 2014-04-01 false D&C Violet No. 2. 74.3602 Section 74.3602 Food and... ADDITIVES SUBJECT TO CERTIFICATION Medical Devices § 74.3602 D&C Violet No. 2. (a) Identity and...

  18. 21 CFR 74.3602 - D&C Violet No. 2.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... coloring absorbable meniscal tacks made from poly (L-lactic acid) at a level not to exceed 0.15 percent by... 21 Food and Drugs 1 2010-04-01 2010-04-01 false D&C Violet No. 2. 74.3602 Section 74.3602 Food and... ADDITIVES SUBJECT TO CERTIFICATION Medical Devices § 74.3602 D&C Violet No. 2. (a) Identity and...

  19. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  20. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  1. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  2. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  3. BIODEGRADATION OF CRYSTAL VIOLET BY THE WHITE ROT FUNGUS PHANEROCHAETE CHRYSOPORIUM

    EPA Science Inventory

    Biodegradation of crystal violet (N,N,N',N',N",N"-hexamethylpararosaniline) in ligninolytic (nitrogen-limited) cultures of the white rot fungus Phanerochaete chrysosporium was demonstrated by the disappearance of crystal violet and by the identification of three metabolites (N,N,...

  4. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  5. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  6. Micropunching lithography for generating micro- and submicron-patterns on polymer substrates.

    PubMed

    Chakraborty, Anirban; Liu, Xinchuan; Luo, Cheng

    2012-07-02

    Conducting polymers have attracted great attention since the discovery of high conductivity in doped polyacetylene in 1977(1). They offer the advantages of low weight, easy tailoring of properties and a wide spectrum of applications(2,3). Due to sensitivity of conducting polymers to environmental conditions (e.g., air, oxygen, moisture, high temperature and chemical solutions), lithographic techniques present significant technical challenges when working with these materials(4). For example, current photolithographic methods, such as ultra-violet (UV), are unsuitable for patterning the conducting polymers due to the involvement of wet and/or dry etching processes in these methods. In addition, current micro/nanosystems mainly have a planar form(5,6). One layer of structures is built on the top surfaces of another layer of fabricated features. Multiple layers of these structures are stacked together to form numerous devices on a common substrate. The sidewall surfaces of the microstructures have not been used in constructing devices. On the other hand, sidewall patterns could be used, for example, to build 3-D circuits, modify fluidic channels and direct horizontal growth of nanowires and nanotubes. A macropunching method has been applied in the manufacturing industry to create macropatterns in a sheet metal for over a hundred years. Motivated by this approach, we have developed a micropunching lithography method (MPL) to overcome the obstacles of patterning conducting polymers and generating sidewall patterns. Like the macropunching method, the MPL also includes two operations (Fig. 1): (i) cutting; and (ii) drawing. The "cutting" operation was applied to pattern three conducting polymers(4), polypyrrole (PPy), Poly(3,4-ethylenedioxythiophen)-poly(4-styrenesulphonate) (PEDOT) and polyaniline (PANI). It was also employed to create Al microstructures(7). The fabricated microstructures of conducting polymers have been used as humidity(8), chemical(8), and glucose

  7. Advances in nanoimprint lithography and applications in plasmonic-enhanced electron source

    NASA Astrophysics Data System (ADS)

    Liang, Yixing

    The research work in this thesis comprises of two parts. The first part focuses on nanofabrication techniques for better control of nanostructures, such as line edge roughness control and critical structure dimensions, for improvement in large area lift-off of ultra-thin (sub-40 nm) and ultra-small (sub-20 nm) nanostructures, and for improvement in mold-substrate separation. The second part of this thesis studies one important application of nanoimprint lithography (NIL) in the field of plasmonic-enhanced electron source. In the first part, a post-fabrication method, termed Self-limited Self Perfection by Liquefaction (SP-SPEL), is studied. SP-SPEL has experimentally demonstrated to reduce the trench width with precise control down to 20 nm from original 90 nm width, - 450% reduction. In addition, SP-SPEL increases the trench width uniformity and reduces the low-frequency line edge roughness. Second, a tri-layer method is studied to offer large area, efficient lift-off of ultra-thin (sub-40 nm) and ultra-fine (sub-20 nm) nanostructures. Using this method, a nanoimprint mold is fabricated. Third, tribo-electronics in NIL has been studied. It has been shown that tribo-charge can not only skew the AFM measurement by over 400%, but also largely increase the mold-substrate separation force. To solve this problem, a new mold structure is firstly proposed by Professor Stephen Y Chou and has demonstrated to reduce the separation force by over 8 fold. In the second part, a plasmonic-enhanced nanostructured electron source is studied, for both semiconducting and metallic photoemissive materials. For the semiconducting photocathode, a vertical cavity structure, comprising a top sub-wavelength mesh, ultra-thin (~ 40 nm) semiconducting materials in the middle and metallic back-plane, has demonstrated a 30 fold enhancement in photoelectron emission over a planar thin film. In addition, for the metallic photocathode, a 3D nanocavity, termed "Disk coupled Dots-on-Pillar Antenna

  8. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  9. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  10. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  11. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  12. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  13. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  14. Violet and blue light-induced green fluorescence emissions from dental caries.

    PubMed

    Shakibaie, F; Walsh, L J

    2016-12-01

    The objective of this laboratory study was to compare violet and visible blue LED light-elicited green fluorescence emissions from enamel and dentine in healthy or carious states. Microscopic digital photography was undertaken using violet and blue LED illumination (405 nm and 455 nm wavelengths) of tooth surfaces, which were photographed through a custom-made stack of green compensating filters which removed the excitation light and allowed green fluorescence emissions to pass. Green channel pixel data were analysed. Dry sound enamel and sound root surfaces showed strong green fluorescence when excited by violet or blue lights. Regions of cavitated dental caries gave lower green fluorescence, and this was similar whether the dentine in the lesions was the same colour as normal dentine or was darkly coloured. The presence of saliva on the surface did not significantly change the green fluorescence, while the presence of blood diluted in saliva depressed green fluorescence. Using violet or blue illumination in combination with green compensating filters could potentially aid in the assessment of areas of mineral loss. © 2016 Australian Dental Association.

  15. Violet laser diodes as light sources for cytometry.

    PubMed

    Shapiro, H M; Perlmutter, N G

    2001-06-01

    Violet laser diodes have recently become commercially available. These devices emit 5-25 mW in the range of 395-415 nm, and are available in systems that incorporate the diodes with collimating optics and regulated power supplies in housing incorporating thermoelectric coolers, which are necessary to maintain stable output. Such systems now cost several thousand dollars, but are expected to drop substantially in price. Materials and Methods A 4-mW, 397-nm violet diode system was used in a laboratory-built flow cytometer to excite fluorescence of DAPI and Hoechst dyes in permeabilized and intact cells. Forward and orthogonal light scattering were also measured. DNA content histograms with good precision (G(0)/G(1) coefficient of variation 1.7%) were obtained with DAPI staining; precision was lower using Hoechst 33342. Hoechst 34580, with an excitation maximum nearer 400 nm, yielded the highest fluorescence intensity, but appeared to decompose after a short time in solution. Scatter signals exhibited relatively broad distributions. Violet laser diodes are relatively inexpensive, compact, efficient, and quiet light sources for DNA fluorescence measurement using DAPI and Hoechst dyes; they can also excite several other fluorescent probes. Copyright 2001 Wiley-Liss, Inc.

  16. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  17. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  18. Porosity characteristics of ultra-low dielectric insulator films directly patterned by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Ro, Hyun Wook; Jones, Ronald L.; Peng, Huagen; Lee, Hae-Jeong; Lin, Eric K.; Karim, Alamgir; Yoon, Do Y.; Gidley, David W.; Soles, Christopher L.

    2008-03-01

    Direct patterning of low-dielectric constant (low-k) materials via nanoimprint lithography (NIL) has the potential to simplify fabrication processes and significantly reduce the manufacturing costs for semiconductor devices. We report direct imprinting of sub-100 nm features into a high modulus methylsilsesquioxane-based organosilicate glass (OSG) material. An excellent fidelity of the pattern transfer process is quantified with nm precision using critical dimension small angle X-ray scattering (CD-SAXS) and specular X-ray reflectivity (SXR). X-ray porosimetry (XRP) and positron annihilation lifetime spectroscopy (PALS) measurements indicate that imprinting increases the inherent microporosity of the methylsilsequioxane-based OSG material. When a porogen (pore generating material) is added, imprinting decreases the population of mesopores associated with the porogen while retaining the enhanced microporosity. The net effect is a decrease the pore interconnectivity. There is also evidence for a sealing effect that is interpreted as an imprint induced dense skin at the surface of the porous pattern.

  19. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  20. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  1. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  2. Oxidation kinetics of crystal violet by potassium permanganate in acidic medium

    NASA Astrophysics Data System (ADS)

    Khan, Sameera Razi; Ashfaq, Maria; Mubashir; Masood, Summyia

    2016-05-01

    The oxidation kinetics of crystal violet (a triphenylmethane dye) by potassium permanganate was focused in an acidic medium by the spectrophotometric method at 584 nm. The oxidation reaction of crystal violet by potassium permanganate is carried out in an acidic medium at different temperatures ranging within 298-318 K. The kinetic study was carried out to investigate the effect of the concentration, ionic strength and temperature. The reaction followed first order kinetics with respect to potassium permanganate and crystal violet and the overall rate of the reaction was found to be second order. Thermodynamic activation parameters like the activation energy ( E a), enthalpy change (Δ H*), free energy change (Δ G*), and entropy change (Δ S*) have also been evaluated.

  3. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  4. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  5. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  6. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  7. Magnetic solid-phase extraction for determination of the total malachite green, gentian violet and leucomalachite green, leucogentian violet in aquaculture water by high-performance liquid chromatography with fluorescence detection.

    PubMed

    Zhao, Jiao; Wei, Daqiao; Yang, Yaling

    2016-06-01

    In this study, magnetic multi-walled carbon nanotube nanoparticles were synthesized and used as the adsorbent for the sums of malachite green, gentian violet and leucomalachite green, leucogentian violet in aquaculture water samples followed by high performance liquid chromatography with fluorescence detection. This method was based on in situ reduction of chromic malachite green, gentian violet to colorless leucomalachite green, leucogentian violet with potassium borohydride, respectively. The obtained adsorbent combines the advantages of carbon nanotubes and Fe3 O4 nanoparticles in one material for separation and preconcentration of the reductive dyes in aqueous media. The structure and properties of the prepared nanoparticles were characterized by transmission and scanning electron microscopy, X-ray diffraction, and Fourier-transform infrared spectroscopy. The main parameters affecting the adsorption recoveries were investigated and optimized, including reducing agent concentration, type and amount of sorbent, sample pH, and eluting conditions. Under the optimum conditions, the limits of detection in this method were 0.22 and 0.09 ng/mL for malachite green and gentian violet, respectively. Product recoveries ranged from 87.0 to 92.8% with relative standard deviations from 4.6 to 5.9%. The results indicate that the sorbent is a suitable material for the removal and concentration of triphenylmethane dyes from polluted environmental samples. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  9. Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure

    NASA Astrophysics Data System (ADS)

    Nagahara, Seiji; Carcasi, Michael; Shiraishi, Gosuke; Nakagawa, Hisashi; Dei, Satoshi; Shiozawa, Takahiro; Nafus, Kathleen; De Simone, Danilo; Vandenberghe, Geert; Stock, Hans-Jürgen; Küchler, Bernd; Hori, Masafumi; Naruoka, Takehiko; Nagai, Tomoki; Minekawa, Yukie; Iseki, Tomohiro; Kondo, Yoshihiro; Yoshihara, Kosuke; Kamei, Yuya; Tomono, Masaru; Shimada, Ryo; Biesemans, Serge; Nakashima, Hideo; Foubert, Philippe; Buitrago, Elizabeth; Vockenhuber, Michaela; Ekinci, Yasin; Oshima, Akihiro; Tagawa, Seiichi

    2017-03-01

    A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: "PSCAR 2.0," is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a "photo acid generator which can be photosensitized" (PS-PAG), a "photo decomposable base (quencher) which can be photosensitized" (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML's NXE:3300 with TEL's standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.

  10. 75 FR 25209 - Carbazole Violet Pigment 23 from India: Rescission of Administrative Review

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-07

    ... from India: Rescission of Administrative Review AGENCY: Import Administration, International Trade... administrative review of the antidumping duty order on carbazole violet pigment 23 (CVP 23) from India for the...-circumstances review. See Carbazole Violet Pigment 23 from India: Initiation of Antidumping Duty Changed...

  11. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  12. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  13. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  14. Ultra Violet Waterworks (UVW)

    Science.gov Websites

    cheaply disinfect water. It is a uniquely effective device that operates using the equivalent of a 60-Watt - Curriculum Vita Top Some links on this page may take you to non-federal websites. Their policies may differ

  15. Identification of methyl violet 2B as a novel blocker of focal adhesion kinase signaling pathway in cancer cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Hwan; Translational Research Center for Protein Function Control; Kim, Nam Doo

    2013-07-26

    Highlights: •FAK signaling cascade in cancer cells is profoundly inhibited by methyl violet 2B. •Methyl violet 2B identified by virtual screening is a novel allosteric FAK inhibitor. •Methyl violet 2B possesses extremely high kinase selectivity. •Methyl violet 2B suppresses strongly the proliferation of cancer cells. •Methyl violet 2B inhibits focal adhesion, invasion and migration of cancer cells. -- Abstract: The focal adhesion kinase (FAK) signaling cascade in cancer cells was profoundly inhibited by methyl violet 2B identified with the structure-based virtual screening. Methyl violet 2B was shown to be a non-competitive inhibitor of full-length FAK enzyme vs. ATP. It turnedmore » out that methyl violet 2B possesses extremely high kinase selectivity in biochemical kinase profiling using a large panel of kinases. Anti-proliferative activity measurement against several different cancer cells and Western blot analysis showed that this substance is capable of suppressing significantly the proliferation of cancer cells and is able to strongly block FAK/AKT/MAPK signaling pathways in a dose dependent manner at low nanomolar concentration. Especially, phosphorylation of Tyr925-FAK that is required for full activation of FAK was nearly completely suppressed even with 1 nM of methyl violet 2B in A375P cancer cells. To the best of our knowledge, it has never been reported that methyl violet possesses anti-cancer effects. Moreover, methyl violet 2B significantly inhibited FER kinase phosphorylation that activates FAK in cell. In addition, methyl violet 2B was found to induce cell apoptosis and to exhibit strong inhibitory effects on the focal adhesion, invasion, and migration of A375P cancer cells at low nanomolar concentrations. Taken together, these results show that methyl violet 2B is a novel, potent and selective blocker of FAK signaling cascade, which displays strong anti-proliferative activities against a variety of human cancer cells and suppresses

  16. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  17. [Biosorption of crystal violet and malachite green by Rhodotorula graminis Y-5].

    PubMed

    Hu, Rong; Huang, Jian-Bo; Yang, Zhou-Ping; Cheng, Zi-Zhang; Jing, De-Jun; Huang, Qian-Ming

    2011-12-01

    With a shaker, this paper studied the characteristics of the biosorption of crystal violet and malachite green by Rhodotorula graminis Y-5 under different adsorption time, initial pH, and temperature, as well as the desorption and recycling use of the dyes. The biosorption of crystal violet and malachite green by R. graminis Y-5 had the peaks (93.8% and 87.7%, respectively) at pH 7.0, dye concentration 50 mg x L(-1), 150 r x min(-1), 30 degrees C, and lasting 10 hours. After desorption, the biosorption rate of crystal violet and malachite green by R. graminis was 85.5% and 78.5%, respectively, indicating that the biosorption of crystal violet and malachite green was reversible, and the recycling use of the dyes by R. graminis was quite good, i. e., the dyes were renewable and could be recycled. Biosorption could be the mechanism of the decolorization of the dyes. The dyes were mostly adsorbed on the R. graminis surface -OH. The adsorption process was fast, efficient, and reversible, suggesting that R. graminis had a high potential for waste water treatment.

  18. GASEOUS SCINTILLATION COUNTER

    DOEpatents

    Eggler, C.; Huddleston, C.M.

    1959-04-28

    A gaseous excitation counter for detecting the presence amd measuring the energy of subatomic particles and electromagnetic radiation is described. The counter includes a gas-tight chamber filled with an elemental gas capable of producing ultra-violet excitation quanta when irradiated with subatomic particles and electromagnetic radiation. The gas has less than one in a thousand parts ultra-violet absorbing contamination. When nuclear radiation ps present the ultra-violet light produced by the gas strikes a fluorescent material within the counter, responsive to produce visible excitation quanta, and photo-sensitive counting means detect the visible emission.

  19. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  20. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  1. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  2. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  3. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  4. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  5. Time Series of SO2 Flux from Popocatépetl Volcano by an Ultra-Violet Camera with a Set of Different Band-Pass Filters

    NASA Astrophysics Data System (ADS)

    Schiavo, B.; Stremme, W.; Grutter, M.; Campion, R.; Rivera, C. I.; Inguaggiato, S.

    2017-12-01

    The measurement of SO2flux from active volcanoes are of great importance, for monitoring and hazard of volcanic activity, environmental impact and flux emissions related to changes of magmatic activity. Sulfur dioxide total flux from Popocatépetl volcano was determinad using a ultra-violet camera (or SO2 camera) with different band-pass filter. The flux is obteined from the product of the gas concentration over integrated the plume cross-section (slant column in molec/cm2 or ppm*m) and wind velocity data. Model of plume altitude and wind speed measurement are used to calculate a wind velocity, but a new method of sequential images is widely used in several years for this calculation. Volcanic plume measurements, for a total of about 60 days from from January to March 2017, were collected and utilized to generate the SO2 time series. The importance of monitoring and the time series of volcanic gas emissions is described and proven by many scientific studies. A time series of the Popocatépetl volcano will allow us to detect the volcanic gas as well as anomalies in volcanic processes and help to estimate the average SO2 flux of the volcano. We present a detailed description of the posterior correction of the dilution effect, which occurs due to a simplification of the radiative transfer equation. The correction scheme is especial applicable for long term monitoring from a permanent observation site. Images of volcanic SO2 plumes from the active Popocatépetl volcano in Mexico are presented, showing persistent passive degassing. The measurment are taken from the Altzomoni Atmospheric Observatory (19.12N, -98.65W, 3,985 m.a.s.l.), which forms part of the RUOA (www.ruoa.unam.mx) and NDACC (https://www2.acom.ucar.edu/irwg) networks. It is located north of the crater at 11 km distance. The data to calculate SO2 flux (t/d or kg/s) were recorded with the QSI UV camera and processed using Python scripts.

  6. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  7. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  8. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  9. Ultra-thin layer chromatography and surface enhanced Raman spectroscopy on silver nanorod array substrates prepared by oblique angle deposition

    NASA Astrophysics Data System (ADS)

    Chen, Jing; Abell, Justin; Huang, Yao-wen; Zhao, Yiping

    2012-06-01

    We demonstrate the potential use of silver nanorod (AgNR) array substrates for on-chip separation and detection of chemical mixtures by ultra-thin layer chromatography (UTLC) and surface enhanced Raman spectroscopy (SERS). The capability of the AgNR substrates to separate different compounds in a mixture was explored using a mixture of the food colorant Brilliant Blue FCF and lactic acid, and the mixtures of Methylene Violet and BSA at various concentrations. After the UTLC process, spatially-resolved SERS spectra were collected along the mobile phase development direction and the intensities of specific SERS peaks from each component were used to generate chromatograms. The AgNR substrates demonstrate the capability of separating Brilliant Blue from lactic acid, as well as revealing the SERS signal of Methylene Violet from the massive BSA background after a simple UTLC step. This technique may have significant practical implications in actual detection of small molecules from complex food or clinical backgrounds.

  10. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  11. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  12. Violet LED light enhances the recruitment of a thrip predator in open fields

    PubMed Central

    Ogino, Takumi; Uehara, Takuya; Muraji, Masahiko; Yamaguchi, Terumi; Ichihashi, Takahisa; Suzuki, Takahiro; Kainoh, Yooichi; Shimoda, Masami

    2016-01-01

    The predatory bug Orius sauteri is an indigenous natural enemy of thrips and whiteflies in Asian countries. To put these bugs to practical use in pest management, methods to attract and retain the bugs in agricultural fields are needed. We previously showed that violet light (405 nm) attracts O. sauteri selectively. Many thrips and whiteflies are attracted to UV or green light. In this study, we examined the effect of violet-LED illumination on O. sauteri in pesticide-free eggplant (Solanum melongena L.) cultivation. In three cultivation trials, the density of O. sauteri on eggplant leaves was consistently higher in the illuminated plots; at least twice that of the non-illuminated plots. Simultaneously, the density of thrips declined markedly to less than half that of the non-illuminated plots. We identified three positive effects of violet light including an “immediate-effect” on predator attraction, a “persistent-effect” on predator reproduction, and a “secondary-effect” on the food web structure. Our results showed that illumination with violet light provides a powerful tool for integrated pest management. This is the first report on the use of illumination to manipulate the behavior of natural enemies. PMID:27604315

  13. Violet LED light enhances the recruitment of a thrip predator in open fields.

    PubMed

    Ogino, Takumi; Uehara, Takuya; Muraji, Masahiko; Yamaguchi, Terumi; Ichihashi, Takahisa; Suzuki, Takahiro; Kainoh, Yooichi; Shimoda, Masami

    2016-09-08

    The predatory bug Orius sauteri is an indigenous natural enemy of thrips and whiteflies in Asian countries. To put these bugs to practical use in pest management, methods to attract and retain the bugs in agricultural fields are needed. We previously showed that violet light (405 nm) attracts O. sauteri selectively. Many thrips and whiteflies are attracted to UV or green light. In this study, we examined the effect of violet-LED illumination on O. sauteri in pesticide-free eggplant (Solanum melongena L.) cultivation. In three cultivation trials, the density of O. sauteri on eggplant leaves was consistently higher in the illuminated plots; at least twice that of the non-illuminated plots. Simultaneously, the density of thrips declined markedly to less than half that of the non-illuminated plots. We identified three positive effects of violet light including an "immediate-effect" on predator attraction, a "persistent-effect" on predator reproduction, and a "secondary-effect" on the food web structure. Our results showed that illumination with violet light provides a powerful tool for integrated pest management. This is the first report on the use of illumination to manipulate the behavior of natural enemies.

  14. 75 FR 52930 - Carbazole Violet Pigment 23 From India: Preliminary Results of Antidumping Duty Changed...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-08-30

    ... From India: Preliminary Results of Antidumping Duty Changed-Circumstances Review AGENCY: Import... order on carbazole violet pigment 23 from India to determine whether Meghmani Pigments (Meghmani) is the... initiation of an antidumping duty changed- circumstances review. See Carbazole Violet Pigment 23 from India...

  15. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  16. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    NASA Astrophysics Data System (ADS)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  17. Ultra compact triplexing filters based on SOI nanowire AWGs

    NASA Astrophysics Data System (ADS)

    Jiashun, Zhang; Junming, An; Lei, Zhao; Shijiao, Song; Liangliang, Wang; Jianguang, Li; Hongjie, Wang; Yuanda, Wu; Xiongwei, Hu

    2011-04-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion.

  18. [Active carbon from Thalia dealbata residues: its preparation and adsorption performance to crystal violet].

    PubMed

    Chu, Shu-Yi; Yang, Min; Xiao, Ji-Bo; Zhang, Jun; Zhu, Yan-Ping; Yan, Xiang-Jun; Tian, Guang-Ming

    2013-06-01

    By using phosphoric acid as activation agent, active carbon was prepared from Thalia dealbata residues. The BET specific surface area of the active carbon was 1174.13 m2 x g(-1), micropore area was 426.99 m2 x g(-1), and average pore diameter was 3.23 nm. An investigation was made on the adsorption performances of the active carbon for crystal violet from aqueous solution under various conditions of pH, initial concentration of crystal violet, contact time, and contact temperature. It was shown that the adsorbed amount of crystal violet was less affected by solution pH, and the adsorption process could be divided into two stages, i. e., fast adsorption and slow adsorption, which followed the pseudo-second-order kinetics model. At the temperature 293, 303, and 313 K, the adsorption process was more accordance with Langmuir isotherm model, and the maximum adsorption capacity was 409.83, 425.53, and 438.59 mg x g(-1), respectively. In addition, the adsorption process was spontaneous and endothermic, and the randomness of crystal violet molecules increased.

  19. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  20. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  1. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  2. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  3. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  4. Color-Pure Violet-Light-Emitting Diodes Based on Layered Lead Halide Perovskite Nanoplates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liang, Dong; Peng, Yuelin; Fu, Yongping

    Violet electroluminescence is rare in both inorganic and organic light-emitting diodes (LEDs). Low-cost and room- temperature solution-processed lead halide perovskites with high- efficiency and color-tunable photoluminescence are promising for LEDs. Here, we report room-temperature color-pure violet LEDs based on a two-dimensional lead halide perovskite material, namely, 2-phenylethylammonium (C 6H 5CH 2CH 2NH 3 +, PEA) lead bromide [(PEA) 2PbBr 4]. The natural quantum confinement of two-dimen- sional layered perovskite (PEA) 2PbBr 4 allows for photoluminescence of shorter wavelength (410 nm) than its three-dimensional counterpart. By converting as-deposited polycrystalline thin films to micrometer-sized (PEA) 2PbBr 4 nanoplates using solvent vapor annealing,more » we successfully integrated this layered perovskite material into LEDs and achieved efficient room-temperature violet electroluminescence at 410 nm with a narrow bandwidth. This conversion to nanoplates significantly enhanced the crystallinity and photophysical properties of the (PEA) 2PbBr 4 samples and the external quantum efficiency of the violet LED. Finally, the solvent vapor annealing method reported herein can be generally applied to other perovskite materials to increase their grain size and, ultimately, improve the performance of optoelectronic devices based on perovskite materials.« less

  5. Color-Pure Violet-Light-Emitting Diodes Based on Layered Lead Halide Perovskite Nanoplates

    DOE PAGES

    Liang, Dong; Peng, Yuelin; Fu, Yongping; ...

    2016-06-23

    Violet electroluminescence is rare in both inorganic and organic light-emitting diodes (LEDs). Low-cost and room- temperature solution-processed lead halide perovskites with high- efficiency and color-tunable photoluminescence are promising for LEDs. Here, we report room-temperature color-pure violet LEDs based on a two-dimensional lead halide perovskite material, namely, 2-phenylethylammonium (C 6H 5CH 2CH 2NH 3 +, PEA) lead bromide [(PEA) 2PbBr 4]. The natural quantum confinement of two-dimen- sional layered perovskite (PEA) 2PbBr 4 allows for photoluminescence of shorter wavelength (410 nm) than its three-dimensional counterpart. By converting as-deposited polycrystalline thin films to micrometer-sized (PEA) 2PbBr 4 nanoplates using solvent vapor annealing,more » we successfully integrated this layered perovskite material into LEDs and achieved efficient room-temperature violet electroluminescence at 410 nm with a narrow bandwidth. This conversion to nanoplates significantly enhanced the crystallinity and photophysical properties of the (PEA) 2PbBr 4 samples and the external quantum efficiency of the violet LED. Finally, the solvent vapor annealing method reported herein can be generally applied to other perovskite materials to increase their grain size and, ultimately, improve the performance of optoelectronic devices based on perovskite materials.« less

  6. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  7. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  8. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  9. Albanian violets of the section Melanium, their morphological variability, genetic similarity and their adaptations to serpentine or chalk soils.

    PubMed

    Słomka, Aneta; Godzik, Barbara; Szarek-Łukaszewska, Grażyna; Shuka, Lulëzim; Hoef-Emden, Kerstin; Bothe, Hermann

    2015-02-01

    Violets of the section Melanium from Albanian serpentine and chalk soils were examined for their taxonomic affiliations, their ability to accumulate heavy metals and their colonization by arbuscular mycorrhizal fungi (AMF). The sequence analysis of the ITS1-5.8S rDNA-ITS2 region showed that all the sampled six Albanian violets grouped between Viola lutea and Viola arvensis, but not with Viola tricolor. The fine resolution of the ITS sequences was not sufficient for a further delimitation of the Albanian violets within the V. lutea-V. arvensis clade. Therefore, the Albanian violets were classified by a set of morphological characters. Viola albanica, Viola dukadjinica and Viola raunsiensis from serpentine soils as well as Viola aetolica from a chalk meadow were unambiguously identified, whereas the samples of Viola macedonica showed high morphological variability. All the violets, in both roots and shoots contained less than or similar levels of heavy metals as their harboring soils, indicating that they were heavy metal excluders. All the violets were strongly colonized by AMF with the remarkable exception of V. albanica. This violet lived as a scree creeper in shallow serpentine soil where the concentration of heavy metals was high but those of P, K and N were scarce. Copyright © 2014 Elsevier GmbH. All rights reserved.

  10. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  11. Publications - GMC 386 | Alaska Division of Geological & Geophysical

    Science.gov Websites

    from the FEX Limited Partnership Aklaq #6 well and white light and ultra-violet photography of the FEX Limited Partnership Aklaq #2, Aklaq #6, and Aklaqyaak #1 wells Authors: Talisman Energy Inc. Publication results from the FEX Limited Partnership Aklaq #6 well and white light and ultra-violet photography of the

  12. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  13. 75 FR 62765 - Carbazole Violet Pigment 23 From India: Final Results of Antidumping Duty Changed-Circumstances...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-10-13

    ... From India: Final Results of Antidumping Duty Changed-Circumstances Review AGENCY: Import...-in-interest to Alpanil Industries. See Carbazole Violet Pigment 23 From India: Preliminary Results of... Carbazole Violet Pigment 23 From India: Final Results of Antidumping Duty Administrative Review, 75 FR 38076...

  14. Full-wafer fabrication by nanostencil lithography of micro/nanomechanical mass sensors monolithically integrated with CMOS.

    PubMed

    Arcamone, J; van den Boogaart, M A F; Serra-Graells, F; Fraxedas, J; Brugger, J; Pérez-Murano, F

    2008-07-30

    Wafer-scale nanostencil lithography (nSL) is used to define several types of silicon mechanical resonators, whose dimensions range from 20 µm down to 200 nm, monolithically integrated with CMOS circuits. We demonstrate the simultaneous patterning by nSL of ∼2000 nanodevices per wafer by post-processing standard CMOS substrates using one single metal evaporation, pattern transfer to silicon and subsequent etch of the sacrificial layer. Resonance frequencies in the MHz range were measured in air and vacuum. As proof-of-concept towards an application as high performance sensors, CMOS integrated nano/micromechanical resonators are successfully implemented as ultra-sensitive areal mass sensors. These devices demonstrate the ability to monitor the deposition of gold layers whose average thickness is smaller than a monolayer. Their areal mass sensitivity is in the range of 10(-11) g cm(-2) Hz(-1), and their thickness resolution corresponds to approximately a thousandth of a monolayer.

  15. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  16. 75 FR 10759 - Carbazole Violet Pigment 23 from India: Initiation of Antidumping Duty Changed-Circumstances Review

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-09

    ... dispersions in any form (e.g., pigment dispersed in oleoresins, flammable solvents, water) are not included... DEPARTMENT OF COMMERCE International Trade Administration [A-533-838] Carbazole Violet Pigment 23... changed-circumstances review of the antidumping duty order on carbazole violet pigment 23 from India with...

  17. EUVL mask dual pods to be used for mask shipping and handling in exposure tools

    NASA Astrophysics Data System (ADS)

    Gomei, Yoshio; Ota, Kazuya; Lystad, John; Halbmair, Dave; He, Long

    2007-03-01

    The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.

  18. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  19. Adsorption of Crystal Violet Dye Using Zeolite A Synthesized From Coal Fly Ash

    NASA Astrophysics Data System (ADS)

    Jumaeri; Kusumastuti, E.; Santosa, S. J.; Sutarno

    2017-02-01

    Adsorption of Crystal Violet (CV) dye using zeolite A synthesized from coal fly ash (ZA) has been done. Effect of pH, contact time, and the initial concentration of dye adsorption was studied in this adsorption. Model experimental of adsorption isotherms and adsorption kinetics were also studied. The adsorption is done in a batch reactor at room temperature. A total of 0.01 g of zeolite A was added to the Erlenmeyer flask 50 mL containing 20 mL of the dye solution of Crystal Violet in a variety of conditions of pH, contact time and initial concentration. Furthermore, Erlenmeyer flask and its contents were shaken using an orbital shaker at a speed of 200 rpm. After a specified period of adsorption, the solution was centrifuged for 2 minutes so that the solids separated from the solution. The concentration of the dye after adsorption determined using Genesis-20 Spectrophotometer. The results showed that the Zeolite A synthesized from coal fly ash could be used as an effective adsorbent for Crystal Violet dye. The optimum adsorption occurs at pH 6, and contact time 45 minutes. At the initial concentration of 2 to 6 mg/L, adsorption is reduced from 79 to 62.8%. Crystal Violet dye adsorption in zeolite A fulfilled kinetic model of pseudo-order 2 and model of Freundlich adsorption isotherm.

  20. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  1. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    NASA Astrophysics Data System (ADS)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  2. Design and demonstration of ultra-wide bandgap AlGaN tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yuewei; Krishnamoorthy, Sriram; Akyol, Fatih

    Ultra violet light emitting diodes (UV LEDs) face critical limitations in both the injection efficiency and the light extraction efficiency due to the resistive and absorbing p-type contact layers. In this work, we investigate the design and application of polarization engineered tunnel junctions for ultra-wide bandgap AlGaN (Al mole fraction >50%) materials towards highly efficient UV LEDs. We demonstrate that polarization-induced three dimensional charge is beneficial in reducing tunneling barriers especially for high composition AlGaN tunnel junctions. In addition, the design of graded tunnel junction structures could lead to low tunneling resistance below 10 –3 Ω cm 2 and lowmore » voltage consumption below 1 V (at 1 kA/cm 2) for high composition AlGaN tunnel junctions. Experimental demonstration of 292 nm emission was achieved through non-equilibrium hole injection into wide bandgap materials with bandgap energy larger than 4.7 eV, and detailed modeling of tunnel junctions shows that they can be engineered to have low resistance and can enable efficient emitters in the UV-C wavelength range.« less

  3. Design and demonstration of ultra-wide bandgap AlGaN tunnel junctions

    DOE PAGES

    Zhang, Yuewei; Krishnamoorthy, Sriram; Akyol, Fatih; ...

    2016-09-19

    Ultra violet light emitting diodes (UV LEDs) face critical limitations in both the injection efficiency and the light extraction efficiency due to the resistive and absorbing p-type contact layers. In this work, we investigate the design and application of polarization engineered tunnel junctions for ultra-wide bandgap AlGaN (Al mole fraction >50%) materials towards highly efficient UV LEDs. We demonstrate that polarization-induced three dimensional charge is beneficial in reducing tunneling barriers especially for high composition AlGaN tunnel junctions. In addition, the design of graded tunnel junction structures could lead to low tunneling resistance below 10 –3 Ω cm 2 and lowmore » voltage consumption below 1 V (at 1 kA/cm 2) for high composition AlGaN tunnel junctions. Experimental demonstration of 292 nm emission was achieved through non-equilibrium hole injection into wide bandgap materials with bandgap energy larger than 4.7 eV, and detailed modeling of tunnel junctions shows that they can be engineered to have low resistance and can enable efficient emitters in the UV-C wavelength range.« less

  4. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  5. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  6. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  7. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  8. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  9. Parametric Evaluation of an Innovative Ultra-Violet PhotocatalyticOxidation (UVPCO) Air Cleaning Technology for Indoor Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hodgson, Alfred T.; Sullivan, Douglas P.; Fisk, William J.

    2005-10-31

    An innovative Ultra-Violet Photocatalytic Oxidation (UVPCO) air cleaning technology employing a semitransparent catalyst coated on a semitransparent polymer substrate was evaluated to determine its effectiveness for treating mixtures of volatile organic compounds (VOCs) representative of indoor environments at low, indoor-relevant concentration levels. The experimental UVPCO contained four 30 by 30-cm honeycomb monoliths irradiated with nine UVA lamps arranged in three banks. A parametric evaluation of the effects of monolith thickness, air flow rate through the device, UV power, and reactant concentrations in inlet air was conducted for the purpose of suggesting design improvements. The UVPCO was challenged with three mixturesmore » of VOCs. A synthetic office mixture contained 27 VOCs commonly measured in office buildings. A building product mixture was created by combining sources including painted wallboard, composite wood products, carpet systems, and vinyl flooring. The third mixture contained formaldehyde and acetaldehyde. Steady state concentrations were produced in a classroom laboratory or a 20-m{sup 3} chamber. Air was drawn through the UVPCO, and single-pass conversion efficiencies were measured from replicate samples collected upstream and downstream of the reactor. Thirteen experiments were conducted in total. In this UVPCO employing a semitransparent monolith design, an increase in monolith thickness is expected to result in general increases in both reaction efficiencies and absolute reaction rates for VOCs oxidized by photocatalysis. The thickness of individual monolith panels was varied between 1.2 and 5 cm (5 to 20 cm total thickness) in experiments with the office mixture. VOC reaction efficiencies and rates increased with monolith thickness. However, the analysis of the relationship was confounded by high reaction efficiencies in all configurations for a number of compounds. These reaction efficiencies approached or exceeded 90% for alcohols

  10. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  11. Demonstration of lipofuscin and Nissl bodies in crystal violet stained sections using a fluorescence technique or pyronin Y stain.

    PubMed

    Terr, L I

    1986-09-01

    This paper presents two simple, reliable methods for identification of lipofuscin and Nissl bodies in the same section. One method shows that lipofuscin stained with crystal violet retains its ability to fluoresce and can be observed under the fluorescence microscope after the stain has faded. Fading is accompanied by a gradual increase in the intensity of the fluorescence and is complete in about 5 min. Exciting illumination from this part of the spectrum also substantially fades staining of other autofluorescing tissue elements, such as lipids. Nonfluorescing structures, such as Nissl bodies, remain stained. By changing from transillumination with tungsten light to epifluorescent illumination and vice versa, both types of structures--Nissl bodies and lipofuscin--can be identified in the same section. The second technique uses pyronin Y for staining Nissl bodies in preparations previously stained with crystal violet. Nissl bodies are stained pink but lipofuscin remains violet. Lipofuscin in these sections also remains autofluorescent after the crystal violet stain has faded under violet or near-UV light.

  12. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  13. Effect of blue and violet light on polymerization shrinkage vectors of a CQ/TPO-containing composite.

    PubMed

    Sampaio, Camila S; Atria, Pablo J; Rueggeberg, Frederick A; Yamaguchi, Satoshi; Giannini, Marcelo; Coelho, Paulo G; Hirata, Ronaldo; Puppin-Rontani, Regina M

    2017-07-01

    To evaluate the effect of light-curing wavelengths on composite filler particle displacement, and thus to visualize localized polymerization shrinkage in a resin-based composite (RBC) containing camphorquinone (CQ) and Lucirin TPO (TPO). Three light-curing units (LCUs) were used to light-cure a RBC containing CQ and TPO: a violet-only, a blue-only, and a dual-wavelength, conventional (Polywave ® , emitting violet and blue wavelengths simultaneously). Zirconia fillers were added to the RBC to act as filler particle displacement tracers. LCUs were characterized for total emitted power (mW) and spectral irradiant output (mW/cm 2 /nm). 2-mm high, 7-mm diameter silanized glass cylindrical specimens were filled in a single increment with the RBC, and micro-computed tomography (μ-CT) scans were obtained before and after light-curing, according to each LCU (n=6). Filler particle movement identified polymerization shrinkage vectors, traced using software, at five depths (from 0 up to 2mm): top, top-middle, middle, middle-bottom and bottom. Considering different RBC depths within the same LCU, use of violet-only and conventional LCUs showed filler particle movement decreased with increased depth. Blue-only LCU showed homogeneous filler particle movement along the depths. Considering the effect of different LCUs within the same depth, filler particle movement within LCUs was not statistically different until the middle of the samples (P>.05). However, at the middle-bottom and bottom depths (1.5 and 2mm, respectively), blue-only LCU compared to violet-only LCU showed higher magnitude of displacement vector values (P<.05). Use of the conventional LCU showed filler displacement magnitudes that were not significantly different than blue-only and violet-only LCUs at any depth (P>.05). With respect to the direction of particle movement vectors, use of violet-only LCU showed a greater displacement when close to the incident violet LED; blue-only LCU showed equally distributed

  14. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  15. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  16. Improved mask-based CD uniformity for gridded-design-rule lithography

    NASA Astrophysics Data System (ADS)

    Faivishevsky, Lev; Khristo, Sergey; Sagiv, Amir; Mangan, Shmoolik

    2009-03-01

    The difficulties encountered during lithography of state-of-the-art 2D patterns are formidable, and originate from the fact that deep sub-wavelength features are being printed. This results in a practical limit of k1 >=0.4 as well as a multitude of complex restrictive design rules, in order to mitigate or minimize lithographic hot spots. An alternative approach, that is gradually attracting the lithographic community's attention, restricts the design of critical layers to straight, dense lines (a 1D grid), that can be relatively easily printed using current lithographic technology. This is then followed by subsequent, less critical trimming stages to obtain circuit functionality. Thus, the 1D gridded approach allows hotspot-free, proximity-effect free lithography of ultra low- k1 features. These advantages must be supported by a stable CD control mechanism. One of the overriding parameters impacting CDU performance is photo mask quality. Previous publications have demonstrated that IntenCDTM - a novel, mask-based CDU mapping technology running on Applied Materials' Aera2TM aerial imaging mask inspection tool - is ideally fit for detecting mask-based CDU issues in 1D (L&S) patterned masks for memory production. Owing to the aerial nature of image formation, IntenCD directly probes the CD as it is printed on the wafer. In this paper we suggest that IntenCD is naturally fit for detecting mask-based CDU issues in 1D GDR masks. We then study a novel method of recovering and quantifying the physical source of printed CDU, using a novel implementation of the IntenCD technology. We demonstrate that additional, simple measurements, which can be readily performed on board the Aera2TM platform with minimal throughput penalty, may complement IntenCD and allow a robust estimation of the specific nature and strength of mask error source, such as pattern width variation or phase variation, which leads to CDU issues on the printed wafer. We finally discuss the roles played by

  17. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  18. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  19. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  20. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  1. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  2. Light Therapy in Mental Hospitals

    PubMed Central

    Cormac, H. Dove

    1929-01-01

    The position of actinotherapy in Mental Hospitals in this country is reviewed. An investigation of the results of ultra-violet irradiation in mental disorders at Parkside Mental Hospital is described and it is shown that certain types of the psychoses appear to benefit. The physiological action of actinic rays in relation to mental disorders is discussed and their mode of action on the nervous system suggested. Reference is made to substances which sensitize the body tissues to sunlight and ultra-violet radiation. An allusion is made to glass, penetrable by a portion of the actinic rays, and its uses. The need for ultra-violet ray apparatus in every mental hospital is urged both for treatment of mental and physical conditions and for the study of its action. PMID:19986837

  3. New Mobile Lidar Systems Aboard Ultra-Light Aircrafts

    NASA Astrophysics Data System (ADS)

    Chazette, Patrick; Shang, Xiaoxia; Totems, Julien; Marnas, Fabien; Sanak, Joseph

    2013-04-01

    Two lidar systems embedded on ultra light aircraft (ULA) flew over the Rhone valley, south-east of France, to characterize the vertical extend of pollution aerosols in this area influenced by large industrial sites. The main industrial source is the Etang de Berre (43°28' N, 5°01' E), close to Marseille city. The emissions are mainly due to metallurgy and petrochemical factories. Traffic related to Marseille's area contribute to pollution with its ~1500000 inhabitants. Note that the maritime traffic close to Marseille may play an important role due to its position as the leading French harbor . For the previous scientific purpose and for the first time on ULA, we flew a mini-N2 Raman lidar system to help the assessment of the aerosol optical properties. Another Ultra-Violet Rayleigh-Mie lidar has been integrated aboard a second ULA. The lidars are compact and eye safe instruments. They operate at the wavelength of 355 nm with a sampling along the line-of-sight of 0.75 m. Different flights plans were tested to use the two lidars in synergy. We will present the different approaches and discuss both their advantages and limitations. Acknowledgements: the lidar systems have been developed by CEA. They have been deployed with the support of FERRING France. We acknowledge the ULA pilots Franck Toussaint, François Bernard and José Coutet, and the Air Creation ULA Company for logistical help during the ULA campaign.

  4. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  5. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  6. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  7. 77 FR 1463 - Carbazole Violet Pigment 23 From the People's Republic of China: Final Rescission of Antidumping...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-01-10

    ... finished pigment in the form of presscake and dry color. Pigment dispersions in any form (e.g., pigments... DEPARTMENT OF COMMERCE International Trade Administration [A-570-892] Carbazole Violet Pigment 23... administrative review of the antidumping duty order on carbazole violet pigment 23 (CVP-23) from the People's...

  8. 76 FR 55003 - Carbazole Violet Pigment 23 From the People's Republic of China: Preliminary Intent To Rescind...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-09-06

    ... finished pigment in the form of presscake and dry color. Pigment dispersions in any form (e.g., pigments... DEPARTMENT OF COMMERCE International Trade Administration [A-570-892] Carbazole Violet Pigment 23... antidumping duty order on carbazole violet pigment 23 (CVP 23) from the People's Republic of China (PRC). This...

  9. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  10. Effects of blue or violet light on the inactivation of Staphylococcus aureus by riboflavin-5'-phosphate photolysis.

    PubMed

    Wong, Tak-Wah; Cheng, Chien-Wei; Hsieh, Zong-Jhe; Liang, Ji-Yuan

    2017-08-01

    The light sensitive compound riboflavin-5'-phosphate (or flavin mononucleotide, FMN) generates reactive oxygen species (ROS) upon photo-irradiation. FMN is required by all flavoproteins because it is a cofactor of biological blue-light receptors. The photochemical effects of FMN after irradiation by blue or violet light on the inactivation of Staphylococcus aureus strains, including a methicillin-resistant strain (MRSA), were investigated in this study. Upon blue- or violet-light photo-treatment, FMN was shown to inactivate S. aureus due to the generated ROS. Effective bacterial inactivation can be achieved by FMN photolysis without an exogenous electron provider. Inactivation rates of 94.9 and 95.2% in S. aureus and MRSA, respectively, can be reached by blue light irradiation (2.0mW/cm 2 ) with 120μM FMN for 120min. A lower FMN concentration and a shorter time are required to reach similar effects by violet light irradiation. Inactivation rates of 96.3 and 97.0% in S. aureus and MRSA, respectively, can be reached by violet light irradiation (1.0mW/cm 2 ) with 30μM FMN for 30min. The sensitivity of the inherent photosensitizers is lower under blue-light irradiation. A long exposure photolytic treatment of FMN by blue light is required to inactivate S. aureus. Violet light was found to be more efficient in S. aureus inactivation at the same radiant intensity. FMN photolysis with blue or violet light irradiation enhanced the inactivation rates of S. aureus and MRSA. FMN photochemical treatment could be a supplemental technique in hygienic decontamination processes. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  12. Evaluation of modified crystal violet chromoendoscopy procedure using new mucosal pit pattern classification for detection of Barrett's dysplastic lesions.

    PubMed

    Yuki, T; Amano, Y; Kushiyama, Y; Takahashi, Y; Ose, T; Moriyama, I; Fukuhara, H; Ishimura, N; Koshino, K; Furuta, K; Ishihara, S; Adachi, K; Kinoshita, Y

    2006-05-01

    Pit pattern diagnosis is important for endoscopic detection of dysplastic Barrett's lesions, though using magnification endoscopy can be difficult and laborious. We investigated the usefulness of a modified crystal violet chromoendoscopy procedure and utilised a new pit pattern classification for diagnosis of dysplastic Barrett's lesions. A total of 1,030 patients suspected of having a columnar lined oesophagus were examined, of whom 816 demonstrated a crystal violet-stained columnar lined oesophagus. The early group of patients underwent 0.05% crystal violet chromoendoscopy, while the later group was examined using 0.03% crystal violet with 3.0% acetate. A targeted biopsy of the columnar lined oesophagus was performed using crystal violet staining after making a diagnosis of closed or open type pit pattern with a newly proposed system of classification. The relationship between type of pit pattern and histologically identified dysplastic Barrett's lesions was evaluated. Dysplastic Barrett's lesions were identified in biopsy samples with an open type pit pattern with a sensitivity of 96.0%. Further, Barrett's mucosa with the intestinal predominant mucin phenotype was closely associated with the open type pit pattern (sensitivity 81.9%, specificity 95.6%). The new pit pattern classification for diagnosis of Barrett's mucosa was found to be useful for identification of cases with dysplastic lesions and possible malignant potential using a crystal violet chromoendoscopic procedure.

  13. Spectral enhancement of leucocrystal violet treated footwear impression evidence in blood.

    PubMed

    Spence, Lindsay; Asmussen, Gary

    2003-03-27

    The results presented demonstrate the capacity for spectral enhancement to substantially improve the forensic examination of footwear impressions in blood treated with leucocrystal violet (LCV). The UV-Vis absorption spectra were generated of (i) an aqueous solution of leucocrystal violet, (ii) leucocrystal violet in 3% H(2)O(2), (iii) LCV working solution and (iv) whole blood added to LCV working solution. The resultant fluorescence emission spectra were subsequently generated (lambda(ex)=630nm, lambda(em)=661-900nm). The results indicate that the UV-Vis absorption spectra of an unbuffered solution of whole blood with LCV working solution produces a strong absorbance curve with a maxima at 630nm. Subsequent excitation at this wavelength and generation of the emission spectrum in the fluorescence mode indicates that a solution of whole blood added to LCV working solution is an extremely weak fluorophore. Therefore, to enable an adequate and timely enhancement of blood impression evidence treated with LCV utilising either visible fluorescence or infrared luminescence requires (i) selection of the most appropriate excitation wavelength (lambda(ex)) and emission wavelength (lambda(em)) with extremely narrow band pass filters, which in the absence of substrate matrix interference is excitation at 630nm producing the emission maxima at 665nm and (ii) a visual enhancement system such as a CCD colour IR video camera with image integration.

  14. Sub-mm Scale Fiber Guided Deep/Vacuum Ultra-Violet Optical Source for Trapped Mercury Ion Clocks

    NASA Technical Reports Server (NTRS)

    Yi, Lin; Burt, Eric A.; Huang, Shouhua; Tjoelker, Robert L.

    2013-01-01

    We demonstrate the functionality of a mercury capillary lamp with a diameter in the sub-mm range and deep ultraviolet (DUV)/ vacuum ultraviolet (VUV) radiation delivery via an optical fiber integrated with the capillary. DUV spectrum control is observed by varying the fabrication parameters such as buffer gas type and pressure, capillary diameter, electrical resonator design, and temperature. We also show spectroscopic data of the 199Hg+ hyper-fine transition at 40.5GHz when applying the above fiber optical design. We present efforts toward micro-plasma generation in hollow-core photonic crystal fiber with related optical design and theoretical estimations. This new approach towards a more practical DUV optical interface could benefit trapped ion clock developments for future ultra-stable frequency reference and time-keeping applications.

  15. 21 CFR 74.2602 - D&C Violet No. 2.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false D&C Violet No. 2. 74.2602 Section 74.2602 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR... safely used for coloring externally applied cosmetics in amounts consistent with good manufacturing...

  16. Nature and origin of the violet stains on the walls of a Roman tomb.

    PubMed

    Dominguez-Moñino, Irene; Diaz-Herraiz, Marta; Jurado, Valme; Laiz, Leonila; Miller, Ana Z; Santos, Juan Luis; Alonso, Esteban; Saiz-Jimenez, Cesareo

    2017-11-15

    The Circular Mausoleum tomb (Roman Necropolis of Carmona, Spain) dates back from the first century AD and is characterized by a dense microbial (phototrophic) colonization on the walls and ceiling. However, some walls exhibited an important number of violet stains of unknown origin. The microbial communities of these violet stains are mainly composed of cyanobacteria, streptomycetes and fungi. A strain of Streptomyces parvus, isolated from the walls, produces a violet pigment in culture media. High performance liquid chromatography-mass spectrometry of the culture extracts obtained from this Streptomyces revealed the presence of a few granaticins, pigments with a benzoisochromanequinone structure. When metabolically active in the tomb, S. parvus synthesizes the pigments that diffuse into the mortar. During rain and/or wetting periods, the pigments are solubilized by alkaline waters and elute from the starting position to the surrounding mortar, enlarging the pigmented area and thus contributing to this exceptional biodeterioration phenomenon. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Sexual Dimorphism and Retinal Mosaic Diversification following the Evolution of a Violet Receptor in Butterflies.

    PubMed

    McCulloch, Kyle J; Yuan, Furong; Zhen, Ying; Aardema, Matthew L; Smith, Gilbert; Llorente-Bousquets, Jorge; Andolfatto, Peter; Briscoe, Adriana D

    2017-09-01

    Numerous animal lineages have expanded and diversified the opsin-based photoreceptors in their eyes underlying color vision behavior. However, the selective pressures giving rise to new photoreceptors and their spectral tuning remain mostly obscure. Previously, we identified a violet receptor (UV2) that is the result of a UV opsin gene duplication specific to Heliconius butterflies. At the same time the violet receptor evolved, Heliconius evolved UV-yellow coloration on their wings, due to the pigment 3-hydroxykynurenine (3-OHK) and the nanostructure architecture of the scale cells. In order to better understand the selective pressures giving rise to the violet receptor, we characterized opsin expression patterns using immunostaining (14 species) and RNA-Seq (18 species), and reconstructed evolutionary histories of visual traits in five major lineages within Heliconius and one species from the genus Eueides. Opsin expression patterns are hyperdiverse within Heliconius. We identified six unique retinal mosaics and three distinct forms of sexual dimorphism based on ommatidial types within the genus Heliconius. Additionally, phylogenetic analysis revealed independent losses of opsin expression, pseudogenization events, and relaxation of selection on UVRh2 in one lineage. Despite this diversity, the newly evolved violet receptor is retained across most species and sexes surveyed. Discriminability modeling of behaviorally preferred 3-OHK yellow wing coloration suggests that the violet receptor may facilitate Heliconius color vision in the context of conspecific recognition. Our observations give insights into the selective pressures underlying the origins of new visual receptors. © The Author 2017. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  18. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    PubMed

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  19. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  20. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  1. Impact of design-parameters on the optical performance of a high-power adaptive mirror

    NASA Astrophysics Data System (ADS)

    Koek, Wouter D.; Nijkerk, David; Smeltink, Jeroen A.; van den Dool, Teun C.; van Zwet, Erwin J.; van Baars, Gregor E.

    2017-02-01

    TNO is developing a High Power Adaptive Mirror (HPAM) to be used in the CO2 laser beam path of an Extreme Ultra- Violet (EUV) light source for next-generation lithography. In this paper we report on a developed methodology, and the necessary simulation tools, to assess the performance and associated sensitivities of this deformable mirror. Our analyses show that, given the current limited insight concerning the process window of EUV generation, the HPAM module should have an actuator pitch of <= 4 mm. Furthermore we have modelled the sensitivity of performance with respect to dimpling and actuator noise. For example, for a deformable mirror with an actuator pitch of 4 mm, and if the associated performance impact is to be limited to smaller than 5%, the actuator noise should be smaller than 45 nm (rms). Our tools assist in the detailed design process by assessing the performance impact of various design choices, including for example those that affect the shape and spectral content of the influence function.

  2. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  3. 75 FR 13257 - Carbazole Violet Pigment 23 from India: Final Results of the Expedited Five-year (Sunset) Review...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-19

    ...) and finished pigment in the form of presscake and dry color. Pigment dispersions in any form (e.g... DEPARTMENT OF COMMERCE International Trade Administration [C-533-839] Carbazole Violet Pigment 23... countervailing duty (CVD) order on Carbazole Violet Pigment 23 (CVP-23) [[Page 13258

  4. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  5. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  6. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  7. Topical gentian violet compared with nystatin oral suspension for the treatment of oropharyngeal candidiasis in HIV-1-infected participants.

    PubMed

    Mukherjee, Pranab K; Chen, Huichao; Patton, Lauren L; Evans, Scott; Lee, Anthony; Kumwenda, Johnstone; Hakim, James; Masheto, Gaerolwe; Sawe, Frederick; Pho, Mai T; Freedberg, Kenneth A; Shiboski, Caroline H; Ghannoum, Mahmoud A; Salata, Robert A

    2017-01-02

    Compare the safety and efficacy of topical gentian violet with that of nystatin oral suspension (NYS) for the treatment of oropharyngeal candidiasis in HIV-1-infected adults in resource-limited settings. Multicenter, open-label, evaluator-blinded, randomized clinical trial at eight international sites, within the AIDS Clinical Trials Group. Adult HIV-infected participants with oropharyngeal candidiasis, stratified by CD4 cell counts and antiretroviral therapy status at study entry, were randomized to receive either gentian violet (0.00165%, BID) or NYS (500 000 units, QID) for 14 days. Cure or improvement after 14 days of treatment. Signs and symptoms of oropharyngeal candidiasis were evaluated in an evaluator-blinded manner. The study was closed early per Data Safety Monitoring Board after enrolling 221 participants (target = 494). Among the 182 participants eligible for efficacy analysis, 63 (68.5%) in the gentian violet arm had cure or improvement of oropharyngeal candidiasis versus 61 (67.8%) in the NYS arm, resulting in a nonsizable difference of 0.007 (95% confidence interval: -0.129, 0.143). There was no sizable difference in cure rates between the two arms (-0.0007; 95% confidence interval: -0.146, 0.131). No gentian violet-related adverse events were noted. No sizable differences were identified in tolerance, adherence, quality of life, or acceptability of study drugs. In gentian violet arm, 61 and 39% of participants reported 'no' and 'mild-to-moderate' staining, respectively. Cost for medication procurement was significantly lower for gentian violet versus NYS (median $2.51 and 19.42, respectively, P = 0.01). Efficacy of gentian violet was not statistically different than NYS, was well tolerated, and its procurement cost was substantially less than NYS.

  8. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  9. Improving Student Results in the Crystal Violet Chemical Kinetics Experiment

    ERIC Educational Resources Information Center

    Kazmierczak, Nathanael; Vander Griend, Douglas A.

    2017-01-01

    Despite widespread use in general chemistry laboratories, the crystal violet chemical kinetics experiment frequently suffers from erroneous student results. Student calculations for the reaction order in hydroxide often contain large asymmetric errors, pointing to the presence of systematic error. Through a combination of "in silico"…

  10. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  11. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  12. Herpin effective media resonant underlayers and resonant overlayer designs for ultra-high NA interference lithography.

    PubMed

    Bourke, Levi; Blaikie, Richard J

    2017-12-01

    Dielectric waveguide resonant underlayers are employed in ultra-high NA interference photolithography to effectively double the depth of field. Generally a single high refractive index waveguiding layer is employed. Here multilayer Herpin effective medium methods are explored to develop equivalent multilayer waveguiding layers. Herpin equivalent resonant underlayers are shown to be suitable replacements provided at least one layer within the Herpin trilayer supports propagating fields. In addition, a method of increasing the intensity incident upon the photoresist using resonant overlayers is also developed. This method is shown to greatly enhance the intensity within the photoresist making the use of thicker, safer, non-absorbing, low refractive index matching liquids potentially suitable for large-scale applications.

  13. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  14. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  15. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  16. Detection of intracellular glutathione using ThiolTracker violet stain and fluorescence microscopy.

    PubMed

    Mandavilli, Bhaskar S; Janes, Michael S

    2010-07-01

    Glutathione plays an important role in protecting mammalian cells from oxidative stress and cell death. Because reduced glutathione (GSH) represents the large majority of intracellular free thiols, cell-permeant, thiol-reactive fluorescent probes represent potentially useful indicators of intracellular GSH. The ThiolTracker Violet stain (a registered trademark of Invitrogen) is a bright fluorescent probe that is highly reactive to thiols and can be used as a convenient and effective indicator of intracellular GSH and general redox status by a variety of detection modalities. While this probe has been validated in flow cytometry and microplate fluorimetry assays, the following method will describe details on the use of the ThiolTracker Violet dye in traditional fluorescence microscopy, as well as high-content imaging and analysis.

  17. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  18. Adsorption of crystal violet onto functionalised multi-walled carbon nanotubes: Equilibrium and kinetic studies.

    PubMed

    Sabna, V; Thampi, Santosh G; Chandrakaran, S

    2016-12-01

    Synthetic dyes present in effluent from textile, paper and paint industries contain crystal violet (CV), a known carcinogenic agent. This study investigates the modification of multiwalled carbon nanotubes by acid reflux method and equilibrium and kinetic behaviour of adsorption of CV onto functionalized multi-walled carbon nanotubes (fMWNTs) in batch system. High stability of the fMWNTs suspension in water indicates the hydrophilicity of fMWNTs induced due to the formation of functional groups that make hydrogen bonds with water molecules. fMWNTs were characterized by Fourier Transform Infra Red (FTIR) spectroscopy and the functional groups present on the fMWNTs were confirmed. Characteristic variation was observed in the FTIR spectra of fMWNTs after adsorption of crystal violet onto it. Adsorption characteristics were evaluated as a function of system variables such as contact time, dosage of fMWNTs and initial concentration and pH of the crystal violet solution. Adsorption capacity of fMWNTs and percentage removal of the dye increased with increase in contact time, adsorbent dosage and pH but declined with increase in initial concentration of the dye. fMWNTs showed higher adsorption capacity compared to that of pristine MWNTs. Data showed good fit with the Langmuir and Freundlich isotherm models and the pseudo-second order kinetic model; the maximum adsorption capacity was 90.52mg/g. Kinetic parameters such as rate constants, equilibrium adsorption capacities and regression coefficients were estimated. Results indicate that fMWNTs are an effective adsorbent for the removal of crystal violet from aqueous solution. Copyright © 2015 Elsevier Inc. All rights reserved.

  19. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  20. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  1. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  2. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  3. The Violet Experience: Social Interaction through Eclectic Music Learning Practices

    ERIC Educational Resources Information Center

    Dakon, Jacob M.; Cloete, Elene

    2018-01-01

    In this qualitative case study, we used participant observation and interviews to examine Violet, a Flemish string youth orchestra. In doing so, we identify the qualities that constitute an 'eclectic' ensemble space, herein defined as a musical environment that uses a blend of informal and formal learning practices. Moreover, we emphasize how…

  4. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  5. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  6. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  7. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  8. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  9. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  10. Fluorescence screening of leucomalachite green and leucogentian violet residues in catfish

    USDA-ARS?s Scientific Manuscript database

    The tripheylmethane dyes malachite green (MG) and gentian violet (GV) are effective fungicides, ectoparasiticides and disinfectants in aquaculture. This practice may leave toxic residues in seafood which is not allowed by FDA and many regulatory agencies worldwide. In this work, residues of their me...

  11. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  12. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  13. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  14. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  15. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  16. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  17. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  18. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  19. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  20. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  1. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  2. 21 CFR 74.2602a - Ext. D&C Violet No. 2.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ...,10-dioxo-1-anthracenyl) amino]-5-methyl-benzenesulfonic acid. (b) Specifications. Ext. D&C Violet No... Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF... than 0.1 percent. p- Toluidine sulfonic acids, sodium salts, not more than 0.2 percent. Subsidiary...

  3. 21 CFR 74.2602a - Ext. D&C Violet No. 2.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ...,10-dioxo-1-anthracenyl) amino]-5-methyl-benzenesulfonic acid. (b) Specifications. Ext. D&C Violet No... Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF... than 0.1 percent. p- Toluidine sulfonic acids, sodium salts, not more than 0.2 percent. Subsidiary...

  4. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  5. STEREO's Extreme UltraViolet Imager (EUVI)

    NASA Technical Reports Server (NTRS)

    2007-01-01

    At a pixel resolution of 2048x2048, the STEREO EUVI instrument provides views of the Sun in ultraviolet light that rivals the full-disk views of SOHO/EIT. This image is through the 171 Angstrom (ultraviolet) filter which is characteristic of iron ions (missing eight and nine electrons) at 1 million degrees. There is a short data gap in the latter half of the movie that creates a freeze and then jump in the data view. This is a movie of the Sun in 171 Angstrom ultraviolet light. The time frame is late January, 2007

  6. Improved panels for clinical immune phenotyping: Utilization of the violet laser.

    PubMed

    Ryherd, Mark; Plassmeyer, Matthew; Alexander, Connor; Eugenio, Ines; Kleschenko, Yuliya; Badger, Ariel; Gupta, Raavi; Alpan, Oral; Sønder, Søren Ulrik

    2017-05-10

    Clinical diagnostic laboratories are subject to numerous regulations imposed by government agencies. Laboratory developed tests for flow cytometry panels are essentially restricted to the use of analyte-specific reagents (ASR) antibodies. With the advances in clinical flow cytometry systems, there is a trend toward the utilization of blue/red/violet laser flow systems and 8 to 10-color panels. Currently, the selection of commercially available ASR antibodies for the violet laser is very limited. The market is dominated by Brilliant Violet 421 (BV421) manufactured by BD Biosciences and Pacific Blue (PB) manufactured by Beckman Coulter. In this study, we compare BV421 and PB conjugated ASR antibodies. Whole blood was stained and acquired on a Gallios flow cytometer system. For single color staining, the stain index (SI) was calculated. For the two panels, the compensation matrix was calculated and the performance of the antibody cocktails analyzed in FCS Express. The results show that five out of six tested BV421 conjugated antibodies have significantly higher SI than their PB counterparts. Furthermore, BV421 antibodies require less compensation for spillover than PB. Finally, BV421 conjugated antibodies give better separation between negative and positive populations in the context of an 8 and 10 color panel without affecting the intensity of the other dyes. Overall, using BV421 conjugated antibodies results in better separation between populations compared to PB conjugated antibodies without negatively affecting other fluorochromes in our panels. We conclude that the BV421 conjugated ASR antibodies are currently the better available option for clinical flow panels. © 2017 International Clinical Cytometry Society. © 2017 International Clinical Cytometry Society.

  7. Comparison of staining of mitotic figures by haematoxylin and eosin-and crystal violet stains, in oral epithelial dysplasia and squamous cell carcinoma.

    PubMed

    Ankle, Madhuri R; Kale, Alka D; Charantimath, Seema

    2007-01-01

    Mitosis of cells gives rise to tissue integrity. Defects during mitosis bring about abnormalities. Excessive proliferation of cells due to increased mitosis is one such outcome, which is the hallmark in precancer and cancer. The localization of proliferating cells or their precursors may not be obvious and easy. Establishing an easy way to distinguish these mitotic cells will help in grading and understanding their biological potential. Although immunohistochemistry is an advanced method in use, the cost and time factor makes it less feasible for many laboratories. Selective histochemical stains like toluidine blue, giemsa and crystal violet have been used in tissues including the developing brain, neural tissue and skin. 1) To compare the staining of mitotic cells in haematoxylin and eosin with that in crystal violet. 2) To compare the number of mitotic figures present in normal oral mucosa, epithelial dysplasia and oral squamous cell carcinoma in crystal violet-stained sections with that in H and E-stained sections. Ten tissues of normal oral mucosa and 15 tissues each of oral epithelial dysplasia seen in tobacco-associated leukoplakia and squamous cell carcinoma were studied to evaluate the selectivity of 1% crystal violet for mitotic figures. The staining was compared with standard H and E staining. Statistical analysis was done using Mann-Whitney U test. A statistically significant increase in the mean mitotic count was observed in crystal violet-stained sections of epithelial dysplasia as compared to the H and E-stained sections (p=0.0327). A similar increase in the mitotic counts was noted in crystal violet-stained sections of oral squamous cell carcinoma as compared to the H and E-stained sections.(p=0.0443). No significant difference was found in the mitotic counts determined in dysplasia or carcinoma by either the crystal violet (p=0.4429) or the H and E-staining techniques (p=0.2717). One per cent crystal violet provides a definite advantage over the H

  8. Comparison of methylene blue/gentian violet stain to Gram's stain for the rapid diagnosis of gonococcal urethritis in men.

    PubMed

    Taylor, Stephanie N; DiCarlo, Richard P; Martin, David H

    2011-11-01

    We compared a simple, one-step staining procedure using a mixture of methylene blue and gentian violet to Gram stain for the detection of gonococcal urethritis. The sensitivity and specificity of both Gram stain and methylene blue/gentian violet stain were 97.3% and 99.6%, respectively. There was a 100% correlation between the 2 methods.

  9. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  10. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  11. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  12. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  13. Haemostasis in Oral Surgery with Blue-Violet Light.

    PubMed

    Veleska-Stevkoska, Daniela; Koneski, Filip

    2018-04-15

    The invasive dental procedures usually result in wounds accompanied by physiological bleeding. Even though the bleeding is easily manageable, it is still one of the major concerns of the patients and a reason for their subjective discomfort. Recently, a novel approach with light-emitting diode (LED) was introduced to control the bleeding. This study aims to examine the effectiveness of the irradiation with blue-violet light LEDs on the haemostasis. The study included 40 patients with an indication for tooth extraction, divided into two groups: examination group (n = 30) and a control group (n = 10). The site of the extraction socket in the examination group was irradiated with LED (410 nm) until the bleeding stopped. The patients from the control group were treated by conventional gauze pressure to stop the bleeding (control group). The duration of irradiation and gauze pressure was measured and compared. The statistical analysis was performed with Student T-test. The examination group showed the shorter duration of bleeding compared to the control group for 13.67 seconds and 156 seconds, respectively. The most of the cases in the examination group were irradiated in 10 seconds (70%), followed by irradiation of 20 seconds (23.3%) and 30 seconds (6.6%). In the control group, the average time to stop the bleeding by the conventional method was 156 second. The blue-violet LED light shortens the bleeding time from the extraction socket after tooth extraction and may be a promising method for achieving haemostasis.

  14. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  15. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  16. Fabrication of ultra-fine nanostructures using edge transfer printing.

    PubMed

    Xue, Mianqi; Li, Fengwang; Cao, Tingbing

    2012-03-21

    The exploration of new methods and techniques for application in diverse fields, such as photonics, microfluidics, biotechnology and flexible electronics is of increasing scientific and technical interest for multiple uses over distance of 10-100 nm. This article discusses edge transfer printing--a series of unconventional methods derived from soft lithography for nanofabrication. It possesses the advantages of easy fabrication, low-cost and great serviceability. In this paper, we show how to produce exposed edges and use various materials for edge transfer printing, while nanoskiving, nanotransfer edge printing and tunable cracking for nanogaps are introduced. Besides this, different functional materials, such as metals, inorganic semiconductors and polymers, as well as localised heating and charge patterning, are described here as unconventional "inks" for printing. Edge transfer printing, which can effectively produce sub-100 nm scale ultra-fine structures, has broad applications, including metallic nanowires as nanoelectrodes, semiconductor nanowires for chemical sensors, heterostructures of organic semiconductors, plasmonic devices and so forth. This journal is © The Royal Society of Chemistry 2012

  17. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  18. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  19. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  20. Application of saponin biosurfactant and its recovery in the MEUF process for removal of methyl violet from wastewater.

    PubMed

    Samal, Kulbhushan; Das, Chandan; Mohanty, Kaustubha

    2017-12-01

    The potential of saponin, a biosurfactant, in the micellar enhanced ultrafiltration (MEUF) process was tested systematically for removal of methyl violet from wastewater. For this, the aqueous extract of reetha (Sapindus mukorossi) pericarp which contains saponin was used as the biosurfactant. First, the micellar solubilization of methyl violet in saponin micelles was investigated in terms of molar solubilization power (SP) of saponin. It was observed that the adsorption of methyl violet on the agglomerates of saponin micelles was mainly responsible for the enhanced solubilization. The Gibbs free energy of solubilization (calculated as -29.63 kJ mol -1 ) suggested that process was feasible and spontaneous. The MEUF experiments were performed in batch as well as continuous mode using saponin biosurfactant, and the effect of operating parameters on permeate flux and solute retention were evaluated. The removal of methyl violet in MEUF process was >99% achieved with 10 kDa polyethersulfone (PES) membrane for feed dye concentration of 250 mg L -1 at studied conditions. Finally, the saponin in permeate was recovered using n-heptane and n-butanol by solvent extraction process. The solvent n-butanol showed better extraction efficiency as compared to n-heptane for saponin extraction. Copyright © 2017 Elsevier Ltd. All rights reserved.

  1. Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control

    NASA Astrophysics Data System (ADS)

    Blancquaert, Yoann; Dezauzier, Christophe; Depre, Jerome; Miqyass, Mohamed; Beltman, Jan

    2013-04-01

    Continued tightening of overlay control budget in semiconductor lithography drives the need for improved metrology capabilities. Aggressive improvements are needed for overlay metrology speed, accuracy and precision. This paper is dealing with the on product metrology results of a scatterometry based platform showing excellent production results on resolution, precision, and tool matching for overlay. We will demonstrate point to point matching between tool generations as well as between target sizes and types. Nowadays, for the advanced process nodes a lot of information is needed (Higher order process correction, Reticle fingerprint, wafer edge effects) to quantify process overlay. For that purpose various overlay sampling schemes are evaluated: ultra- dense, dense and production type. We will show DBO results from multiple target type and shape for on product overlay control for current and future node down to at least 14 nm node. As overlay requirements drive metrology needs, we will evaluate if the new metrology platform meets the overlay requirements.

  2. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  3. Fabrication of universal serial bus flash disk type microfluidic chip electrophoresis and application for protein analysis under ultra low voltage

    PubMed Central

    Cong, Hailin; Xu, Xiaodan; Yu, Bing; Liu, Huwei

    2016-01-01

    A simple and effective universal serial bus (USB) flash disk type microfluidic chip electrophoresis (MCE) was developed by using poly(dimethylsiloxane) based soft lithography and dry film based printed circuit board etching techniques in this paper. The MCE had a microchannel diameter of 375 μm and an effective length of 25 mm. Equipped with a conventional online electrochemical detector, the device enabled effectively separation of bovine serum albumin, lysozyme, and cytochrome c in 80 s under the ultra low voltage from a computer USB interface. Compared with traditional capillary electrophoresis, the USB flash disk type MCE is not only portable and inexpensive but also fast with high separation efficiency. PMID:27042249

  4. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  5. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  6. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  7. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  8. Crystal violet stain as a selective stain for the assessment of mitotic figures in oral epithelial dysplasia and oral squamous cell carcinoma.

    PubMed

    Jadhav, Kiran B; Ahmed Mujib, B R; Gupta, Nidhi

    2012-01-01

    Assessment of mitotic figures (MFs) is routinely practiced as prognostic indicator in oral epithelial dysplasia (OED) and oral squamous cell carcinoma (OSCC), but identification of MFs poses a problem in terms of staining characteristics. To evaluate effectiveness of crystal violet stain for staining of MFs and its comparison with hematoxylin and eosin (H and E) stain. Study sample includes archival tissues embedded in paraffin blocks diagnosed as OED (n = 30) and OSCC (n = 30). The control group comprised of tissue specimen from oral mucosa of healthy volunteers (n = 30). Two serial sections of each tissue specimen were stained separately with H and E stain and 1% crystal violet stain. The stained sections were observed under microscope for identification and counting of MFs. Data obtained was statistically analyzed by using the Man-Whitney U test. A significant increase in number of MFs was observed in OED and OSCC in comparison with normal oral mucosa. There was a highly significant increase in number of MFs in crystal violet stained tissue sections when compared with H and E stain. Metaphase is the most commonly observed phase of mitosis in crystal violet stain when compared with H and E stain for all three groups. Crystal violet stain can be considered as selective stain for mitotic figures.

  9. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  10. Cresyl Violet Adsorption on Sonicated Graphite Oxide.

    PubMed

    Coello-Fiallos, D; Cazzanelli, E; Tavolaro, A; Tavolaro, P; Arias, M; Caputi, L S

    2018-04-01

    We present a study of adsorption of Cresyl Violet (CV) in aqueous solution on sonicated Graphite Oxide (sGO). For comparison, we also show adsorption results of Methylene Blue (MB) and Acridine Orange (AO) performed in the same conditions. The adsorbent was synthesized by the Tour's method followed by washing in water and ethanol and sonication, without any reduction, and studied by Raman, IR, UV-Vis, SEM and TEM techniques. Our results show that adsorption fits the pseudosecond order model for the three dyes, and that the adsorption quantity for CV is 125.0 mg g-1, while for MB and AO is 123.3 and 94.6 mg g-1 respectively.

  11. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  12. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  13. EXPERIMENT - APOLLO 16 (UV)

    NASA Image and Video Library

    1972-06-06

    S72-40820 (21 April 1972) --- A color enhancement of a photograph taken on ultra-violet light showing the spectrum of the upper atmosphere of Earth and geocorona. The bright horizontal line is far ultra-violet emission (1216 angstrom) of hydrogen extending 10 degrees (40,000 miles) either side of Earth. The knobby vertical line shows several ultra-violet emissions from Earth's sunlit atmosphere, each "lump" being produced by one type gas (oxygen, nitrogen, helium, etc.). The spectral dispersion is about 10 angstrom per millimeter on this enlargement. The UV camera/spectrograph was operated on the lunar surface by astronaut John W. Young, commander of the Apollo 16 lunar landing mission. It was designed and built at the Naval Research Laboratory, Washington, D.C. While astronauts Young and Charles M. Duke Jr., lunar module pilot, descended in the Lunar Module (LM) "Orion" to explore the Descartes highlands region of the moon, astronaut Thomas K. Mattingly II, command module pilot, remained with the Command and Service Modules (CSM) "Casper" in lunar orbit.

  14. A study of an alignment-less lithography method as an educational resource

    NASA Astrophysics Data System (ADS)

    Kai, Kazuho; Shiota, Koki; Nagaoka, Shiro; Mahmood, Mohamad Rusop Bin Haji; Kawai, Akira

    2016-07-01

    A simplification of the lithography process was studied. The simplification method of photolithography, named "alignment-less lithography" was proposed by omitting the photomask alignment process in photolithography process using mechanically aligned photomasks and substrate by using a simple jig on which countersinks were formed. Photomasks made of glass and the photomasks made of transparent plastic sheets were prepared for the process. As the result, approximately 5µm in the case of the glass mask, and 20µm in the case of the OHP mask were obtained with repetitive accuracies, respectively. It was confirmed that the alignment-less lithography method was successful. The possibility of the application to an educational program, such as a heuristic for solving problems was suggested using the method with the OHP mask. The nMOS FET fabrication process was successfully demonstrated using this method. The feasibility of this process was confirmed. It is expected that a totally simplified device fabrication process can be achievable when combined with other simplifications, such ass the simplified impurity diffusion processes using PSG and BSG thin film as diffusion source prepared by the Sol-Gel material under normal air environment.

  15. Vibrios from Fish Pen Slime Which Mimic Escherichia coli on Violet Red Bile Agar

    PubMed Central

    Rosen, A.; Levin, R. E.

    1970-01-01

    Organisms from fish pen slime which mimicked coliforms and Escherichia coli on Violet Red Bile Agar were identified as members of the genus Vibrio on the basis of metabolic and morphological characteristics. Images PMID:4195607

  16. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  17. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  18. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  19. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  20. Fabrication of unique 3D microparticles in non-rectangular microchannels with flow lithography

    NASA Astrophysics Data System (ADS)

    Nam, Sung Min; Kim, Kibeom; Park, Wook; Lee, Wonhee

    Invention of flow lithography has offered a simple yet effective method of fabricating micro-particles. However particles produced with conventional techniques were largely limited to 2-dimensional shapes projected to form a column. We proposed inexpensive and simple soft-lithography techniques to fabricate micro-channels with various cross-sectional shapes. The non-rectangular channels are then used to fabricate micro-particles using flow lithography resulting in interesting 3D shapes such as tetrahedrals or half-pyramids. In addition, a microfluidic device capable of fabricating multi-layered micro-particles was developed. On-chip PDMS valves are used to trap and position the particle at the precise location in microchannel with varying cross-section. Multilayer particles are generated by sequential monomer exchange and polymerization along the channel. While conventional multi-layered particles made with droplet generators require their layer materials be dissolved in immiscible fluids, the new method allows diverse choice of materials, not limited to their diffusibility. The multilayer 3D particles can be applied in areas such as drug delivery and tissue engineering.

  1. 76 FR 24855 - Carbazole Violet Pigment 23 From India: Rescission of Administrative Review

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-05-03

    ... From India: Rescission of Administrative Review AGENCY: Import Administration, International Trade... administrative review of the antidumping duty order on carbazole violet pigment 23 (CVP 23) from India for the... Federal Register the antidumping duty order on CVP 23 from India. See Notice of Amended Final...

  2. Decolorization of Malachite Green and Crystal Violet by Waterborne Pathogenic Mycobacteria

    PubMed Central

    Jones, Jefferson J.; Falkinham III, Joseph O.

    2003-01-01

    Mycobacterium avium, Mycobacterium intracellulare, Mycobacterium scrofulaceum, Mycobacterium marinum, and Mycobacterium chelonae tolerate high concentrations of the dyes malachite green and crystal violet. Cells of strains of those species decolorized (reduced) both malachite green and crystal violet. Because decolorized malachite green lacked antimicrobial activity, the resistance of these mycobacteria could be due, in part, to their ability to decolorize the dyes. Small amounts of malachite green and its reduced, decolorized product were detected in the lipid fraction of M. avium strain A5 cells grown in the presence of malachite green, suggesting that a minor component of resistance could be due to sequestering the dyes in the extensive mycobacterial cell surface lipid. The membrane fraction of M. avium strain A5 had at least a fivefold-higher specific decolorization rate than did the crude extract, suggesting that the decolorization activity is membrane associated. The malachite green-decolorizing activity of the membrane fraction of M. avium strain A5 was abolished by either boiling or proteinase exposure, suggesting that the decolorizing activity was due to a protein. Decolorization activity of membrane fractions was stimulated by ferrous ion and inhibited by dinitrophenol and metyrapone. PMID:12821489

  3. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  4. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  5. Rhabdomyolysis and exercise-associated hyponatremia in ultra-bikers and ultra-runners.

    PubMed

    Chlíbková, Daniela; Knechtle, Beat; Rosemann, Thomas; Tomášková, Ivana; Novotný, Jan; Žákovská, Alena; Uher, Tomáš

    2015-01-01

    Exercise-associated hyponatremia (EAH), rhabdomyolysis and renal failure appear to be a unique problem in ultra-endurance racers. We investigated the combined occurrence of EAH and rhabdomyolysis in seven different ultra-endurance races and disciplines (i.e. multi-stage mountain biking, 24-h mountain biking, 24-h ultra-running and 100-km ultra-running). Two (15.4%) ultra-runners (man and woman) from hyponatremic ultra-athletes (n = 13) and four (4%) ultra-runners (four men) from the normonatremic group (n = 100) showed rhabdomyolysis following elevated blood creatine kinase (CK) levels > 10,000 U/L without the development of renal failure and the necessity of a medical treatment. Post-race creatine kinase, plasma and urine creatinine significantly increased, while plasma [Na(+)] and creatine clearance decreased in hyponatremic and normonatremic athletes, respectively. The percentage increase of CK was higher in the hyponatremic compared to the normonatremic group (P < 0.05). Post-race CK levels were higher in ultra-runners compared to mountain bikers (P < 0.01), in faster normonatremic (P < 0.05) and older and more experienced hyponatremic ultra-athletes (P < 0.05). In all finishers, pre-race plasma [K(+)] was related to post-race CK (P < 0.05). Hyponatremic ultra-athletes tended to develop exercise-induced rhabdomyolysis more frequently than normonatremic ultra-athletes. Ultra-runners tended to develop rhabdomyolysis more frequently than mountain bikers. We found no association between post-race plasma [Na(+)] and CK concentration in both hypo- and normonatremic ultra-athletes.

  6. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    NASA Astrophysics Data System (ADS)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  7. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  8. Hylemetry versus Biometry: a new method to certificate the lithography authenticity

    NASA Astrophysics Data System (ADS)

    Schirripa Spagnolo, Giuseppe; Cozzella, Lorenzo; Simonetti, Carla

    2011-06-01

    When we buy an artwork object a certificate of authenticity contain specific details about the artwork. Unfortunately, these certificates are often exchanged between similar artworks: the same document is supplied by the seller to certificate the originality. In this way the buyer will have a copy of an original certificate to attest that the "not original artwork" is an original one. A solution for this problem would be to insert a system that links together the certificate and a specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this paper we propose a new lithography certification based on the color spots distribution, which compose the lithography itself. Due to the high resolution acquisition media available today, it is possible using analysis method typical of speckle metrology. In particular, in verification phase it is only necessary acquiring the same portion of lithography, extracting the verification information, using the private key to obtain the same information from the certificate and confronting the two information using a comparison threshold. Due to the possible rotation and translation it is applied image correlation solutions, used in speckle metrology, to determine translation and rotation error and correct allow to verifying extracted and acquired images in the best situation, for granting correct originality verification.

  9. Optofluidic encapsulation and manipulation of silicon microchips using image processing based optofluidic maskless lithography and railed microfluidics.

    PubMed

    Chung, Su Eun; Lee, Seung Ah; Kim, Jiyun; Kwon, Sunghoon

    2009-10-07

    We demonstrate optofluidic encapsulation of silicon microchips using image processing based optofluidic maskless lithography and manipulation using railed microfluidics. Optofluidic maskless lithography is a dynamic photopolymerization technique of free-floating microstructures within a fluidic channel using spatial light modulator. Using optofluidic maskless lithography via computer-vision aided image processing, polymer encapsulants are fabricated for chip protection and guiding-fins for efficient chip conveying within a fluidic channel. Encapsulated silicon chips with guiding-fins are assembled using railed microfluidics, which is an efficient guiding and heterogeneous self-assembly system of microcomponents. With our technology, externally fabricated silicon microchips are encapsulated, fluidically guided and self-assembled potentially enabling low cost fluidic manipulation and assembly of integrated circuits.

  10. Programmable imprint lithography template

    DOEpatents

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  11. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  12. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  13. Self-aligned grating couplers on template-stripped metal pyramids via nanostencil lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klemme, Daniel J.; Johnson, Timothy W.; Mohr, Daniel A.

    2016-05-23

    We combine nanostencil lithography and template stripping to create self-aligned patterns about the apex of ultrasmooth metal pyramids with high throughput. Three-dimensional patterns such as spiral and asymmetric linear gratings, which can couple incident light into a hot spot at the tip, are presented as examples of this fabrication method. Computer simulations demonstrate that spiral and linear diffraction grating patterns are both effective at coupling light to the tip. The self-aligned stencil lithography technique can be useful for integrating plasmonic couplers with sharp metallic tips for applications such as near-field optical spectroscopy, tip-based optical trapping, plasmonic sensing, and heat-assisted magneticmore » recording.« less

  14. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  15. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  16. Understanding overlay signatures using machine learning on non-lithography context information

    NASA Astrophysics Data System (ADS)

    Overcast, Marshall; Mellegaard, Corey; Daniel, David; Habets, Boris; Erley, Georg; Guhlemann, Steffen; Thrun, Xaver; Buhl, Stefan; Tottewitz, Steven

    2018-03-01

    Overlay errors between two layers can be caused by non-lithography processes. While these errors can be compensated by the run-to-run system, such process and tool signatures are not always stable. In order to monitor the impact of non-lithography context on overlay at regular intervals, a systematic approach is needed. Using various machine learning techniques, significant context parameters that relate to deviating overlay signatures are automatically identified. Once the most influential context parameters are found, a run-to-run simulation is performed to see how much improvement can be obtained. The resulting analysis shows good potential for reducing the influence of hidden context parameters on overlay performance. Non-lithographic contexts are significant contributors, and their automatic detection and classification will enable the overlay roadmap, given the corresponding control capabilities.

  17. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    PubMed Central

    Morris, Michael A.

    2017-01-01

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO3) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance. PMID:28973987

  18. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  19. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    PubMed

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  20. Sensitive detection of malachite green and crystal violet by nonlinear laser wave mixing and capillary electrophoresis.

    PubMed

    Maxwell, Eric J; Tong, William G

    2016-05-01

    An ultrasensitive label-free antibody-free detection method for malachite green and crystal violet is presented using nonlinear laser wave-mixing spectroscopy and capillary zone electrophoresis. Wave-mixing spectroscopy provides a sensitive absorption-based detection method for trace analytes. This is accomplished by forming dynamic gratings within a sample cell, which diffracts light to create a coherent laser-like signal beam with high optical efficiency and high signal-to-noise ratio. A cubic dependence on laser power and square dependence on analyte concentration make wave mixing sensitive enough to detect molecules in their native form without the use of fluorescent labels for signal enhancement. A 532 nm laser and a 635 nm laser were used for malachite green and crystal violet sample excitation. The use of two lasers of different wavelengths allows the method to simultaneously detect both analytes. Selectivity is obtained through the capillary zone electrophoresis separation, which results in characteristic migration times. Measurement in capillary zone electrophoresis resulted in a limit of detection of 6.9 × 10(-10)M (2.5 × 10(-19) mol) for crystal violet and 8.3 × 10(-11)M (3.0 × 10(-20) mol) for malachite green at S/N of 2. Copyright © 2016. Published by Elsevier B.V.

  1. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  2. Investigation of new dyes for chromovitrectomy: preclinical biocompatibility of trisodium, orangell and methyl violet.

    PubMed

    Badaro, Emmerson; Souza-Lima, Rodrigo A; Novais, Eduardo A; Maia, Mauricio; Hirai, Flávio; Meyer, Carsten H; Farah, Michel Eid; Rodrigues, Eduardo B

    2015-01-01

    To investigate the retinal toxicity by electroretinography (ERG), clinical examination and histology after intravitreal injection of biological stains in two concentrations: Trisodium (0.50 g/L and 1.00 g/L), Orangell (0.25 g/L and 1.00 g/L) and Methyl Violet (0.50 g/L and 1.00 g/L). Eighteen New-Zealand albinos rabbits were assigned in six groups (n = 3 in each group). The animals in group 1 received Trisodium in the dose of 0.50 g/L and group 2 received 1.00 g/L; Group 3 received Orangell in the dose of 0.25 g/L and group 4 received 1.00 g/L; Group 5 received Methyl Violet in the dose of 1.00 g/L and group 6 received 0.50 g/L. A volume of 0.05 mL of dye was injected in the right eyes, whereas the left eyes received the same volume of balanced salt solution (BSS) as control. ERG recordings and clinical examination were performed at baseline and seven days after intravitreal injection. The ERG responses at one week after injection were compared with baseline levels. A decrease in the post-injection amplitude of more than 50% was considered remarkable. After the 7-day follow-up, rabbits were euthanized and eye enucleated for light microscopy (LM) histological evaluation. At clinical examination by indirect ophthalmoscopy seven days after dye injection, all eyes were negative for cataract, hemorrhage, retinal detachment, and intraocular opacities. Amplitude analysis of maximum scotopic b-wave showed no significant reduction in either dye injected or control eyes. Neither dye nor BSS caused significant retinal alteration on LM at doses tested. Trisodium, Orangell and Methyl Violet can be applied in future studies in order to prove the capacity to stain preretinal tissues and vitreous without toxicity. The three dyes did not induce significant ERG amplitude reduction or LM alterations in this preliminary experimental research. Trisodium, Orangell and Methyl Violet may be potentially useful vital dyes for ocular surgery, and deserve further

  3. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  4. Integration of plant viruses in electron beam lithography nanostructures.

    PubMed

    Alonso, Jose M; Ondarçuhu, Thierry; Bittner, Alexander M

    2013-03-15

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes.

  5. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.

  6. A fuzzy pattern matching method based on graph kernel for lithography hotspot detection

    NASA Astrophysics Data System (ADS)

    Nitta, Izumi; Kanazawa, Yuzi; Ishida, Tsutomu; Banno, Koji

    2017-03-01

    In advanced technology nodes, lithography hotspot detection has become one of the most significant issues in design for manufacturability. Recently, machine learning based lithography hotspot detection has been widely investigated, but it has trade-off between detection accuracy and false alarm. To apply machine learning based technique to the physical verification phase, designers require minimizing undetected hotspots to avoid yield degradation. They also need a ranking of similar known patterns with a detected hotspot to prioritize layout pattern to be corrected. To achieve high detection accuracy and to prioritize detected hotspots, we propose a novel lithography hotspot detection method using Delaunay triangulation and graph kernel based machine learning. Delaunay triangulation extracts features of hotspot patterns where polygons locate irregularly and closely one another, and graph kernel expresses inner structure of graphs. Additionally, our method provides similarity between two patterns and creates a list of similar training patterns with a detected hotspot. Experiments results on ICCAD 2012 benchmarks show that our method achieves high accuracy with allowable range of false alarm. We also show the ranking of the similar known patterns with a detected hotspot.

  7. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  8. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  9. Advancing semiconductor–electrocatalyst systems: application of surface transformation films and nanosphere lithography

    DOE PAGES

    Brinkert, Katharina; Richter, Matthias H.; Akay, Ömer; ...

    2018-01-01

    We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties.

  10. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  11. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  12. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  13. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    NASA Astrophysics Data System (ADS)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  14. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  15. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    PubMed

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  16. Ultra preconcentration of polycyclic aromatic hydrocarbons in smoked bacon by a combination of SPE and DLLME.

    PubMed

    Liu, Xiaofang; Zhou, Shu; Zhu, Quanfei; Ye, Yong; Chen, Huaixia

    2014-09-01

    A sample pretreatment method, solid-phase extraction combined with dispersive liquid-liquid microextraction (SPE-DLLME), was established for the sensitive determination of polycyclic aromatic hydrocarbons (PAHs) in smoked bacon samples. In the SPE-DLLME process, three PAHs including naphthalene (Naph), phenanthrene (Phen) and pyrene (Pyr) were extracted from samples and transferred into C18 SPE cartridge. The target analytes were subsequently eluted with 1.2 ml of acetonitrile-dichloromethane (5:1, v/v) mixture solution. The eluent was injected directly into the 5.0 ml ultrapure water in the subsequent DLLME procedure. The sedimented phase was concentrated under a gentle nitrogen flow to 120.0 µl. Finally, the analytes in the extraction solvent were determined by high-performance liquid chromatography with a ultra-violet detector. Some important extraction parameters affecting the performance, such as the sample solution flow rate, breakthrough volume, salt addition as well as the type and volume of the elution solvent were optimized. The developed method provided an ultra enrichment factors for PAHs ranged from 3478 to 3824. The method was applied for the selective extraction and sensitive determination of PAHs in smoked bacon samples. The limits of detection (S/N = 3) were 0.05, 0.01, 0.02 μg kg(-1) for Naph, Phen, Pyr, respectively. © The Author [2013]. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  17. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  18. Method for maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    2000-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  19. Achieving pattern uniformity in plasmonic lithography by spatial frequency selection

    NASA Astrophysics Data System (ADS)

    Liang, Gaofeng; Chen, Xi; Zhao, Qing; Guo, L. Jay

    2018-01-01

    The effects of the surface roughness of thin films and defects on photomasks are investigated in two representative plasmonic lithography systems: thin silver film-based superlens and multilayer-based hyperbolic metamaterial (HMM). Superlens can replicate arbitrary patterns because of its broad evanescent wave passband, which also makes it inherently vulnerable to the roughness of the thin film and imperfections of the mask. On the other hand, the HMM system has spatial frequency filtering characteristics and its pattern formation is based on interference, producing uniform and stable periodic patterns. In this work, we show that the HMM system is more immune to such imperfections due to its function of spatial frequency selection. The analyses are further verified by an interference lithography system incorporating the photoresist layer as an optical waveguide to improve the aspect ratio of the pattern. It is concluded that a system capable of spatial frequency selection is a powerful method to produce deep-subwavelength periodic patterns with high degree of uniformity and fidelity.

  20. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  1. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  2. X-ray diffraction gratings: Precise control of ultra-low blaze angle via anisotropic wet etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Voronov, Dmitriy L.; Naulleau, Patrick; Gullikson, Eric M.

    2016-07-25

    Diffraction gratings are used from micron to nanometer wavelengths as dispersing elements in optical instruments. At shorter wavelengths, crystals can be used as diffracting elements, but due to the 3D nature of the interaction with light are wavelength selective rather than wavelength dispersing. There is an urgent need to extend grating technology into the x-ray domain of wavelengths from 1 to 0.1 nm, but this requires the use of gratings that have a faceted surface in which the facet angles are very small, typically less than 1°. Small facet angles are also required in the extreme ultra-violet and soft x-ray energymore » ranges in free electron laser applications, in order to reduce power density below a critical damage threshold. In this work, we demonstrate a technique based on anisotropic etching of silicon designed to produce very small angle facets with a high degree of perfection.« less

  3. Finding the right way: DFM versus area efficiency for 65 nm gate layer lithography

    NASA Astrophysics Data System (ADS)

    Sarma, Chandra S.; Scheer, Steven; Herold, Klaus; Fonseca, Carlos; Thomas, Alan; Schroeder, Uwe P.

    2006-03-01

    DFM (Design for Manufacturing) has become a buzzword for lithography since the 90nm node. Implementing DFM intelligently can boost yield rates and reliability in semiconductor manufacturing significantly. However, any restriction on the design space will always result in an area loss, thus diminishing the effective shrink factor for a given technology. For a lithographer, the key task is to develop a manufacturable process, while not sacrificing too much area. We have developed a high performing lithography process for attenuated gate level lithography that is based on aggressive illumination and a newly optimized SRAF placement schemes. In this paper we present our methodology and results for this optimization, using an anchored simulation model. The wafer results largely confirm the predictions of the simulations. The use of aggressive SRAF (Sub Resolution Assist Features) strategy leads to reduction of forbidden pitch regions without any SRAF printing. The data show that our OPC is capable of correcting the PC tip to tip distance without bridging between the tips in dense SRAM cells. SRAF strategy for various 2D cases has also been verified on wafer. We have shown that aggressive illumination schemes yielding a high performing lithography process can be employed without sacrificing area. By carefully choosing processing conditions, we were able develop a process that has very little restrictions for design. In our approach, the remaining issues can be addressed by DFM, partly in data prep procedures, which are largely area neutral and transparent to the designers. Hence, we have shown successfully, that DFM and effective technology shrinks are not mutually exclusive.

  4. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  5. Evaluation of Ultra-Violet Photocatalytic Oxidation (UVPCO) forIndoor Air Applications: Conversion of Volatile Organic Compounds at LowPart-per-Billion Concentrations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hodgson, Alfred T.; Sullivan, Douglas P.; Fisk, William J.

    2005-09-30

    Efficient removal of indoor generated airborne particles and volatile organic compounds (VOCs) in office buildings and other large buildings may allow for a reduction in outdoor air supply rates with concomitant energy savings while still maintaining acceptable indoor air quality in these buildings. Ultra-Violet Photocatalytic Oxidation (UVPCO) air cleaners have the potential to achieve the necessary reductions in indoor VOC concentrations at relatively low cost. In this study, laboratory experiments were conducted with a scaled, prototype UVPCO device designed for use in a duct system. The experimental UVPCO contained two 30 by 30-cm honeycomb monoliths coated with titanium dioxide andmore » 3% by weight tungsten oxide. The monoliths were irradiated with 12 UVC lamps arranged in four banks. The UVPCO was challenged with four mixtures of VOCs typical of mixtures encountered in indoor air. A synthetic office mixture contained 27 VOCs commonly measured in office buildings. A cleaning product mixture contained three cleaning products with high market shares. A building product mixture was created by combining sources including painted wallboard, composite wood products, carpet systems, and vinyl flooring. A fourth mixture contained formaldehyde and acetaldehyde. Steady-state concentrations were produced in a classroom laboratory or a 20-m{sup 3} environmental chamber. Air was drawn through the UVPCO, and single pass conversion efficiencies were measured from replicate air samples collected upstream and downstream of the reactor section. Concentrations of the mixtures were manipulated, with concentrations of individual VOCs mostly maintained below 10 ppb. Device flow rates were varied between 165 and 580 m{sup 3}/h. Production of formaldehyde, acetaldehyde, acetone, formic acid, and acetic acid as reaction products was investigated. Conversion efficiency data were generated for 48 individual VOCs or groups of closely related compounds. Alcohols and glycol ethers were

  6. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  7. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  8. Implementation and benefits of advanced process control for lithography CD and overlay

    NASA Astrophysics Data System (ADS)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  9. High throughput optical lithography by scanning a massive array of bowtie aperture antennas at near-field

    PubMed Central

    Wen, X.; Datta, A.; Traverso, L. M.; Pan, L.; Xu, X.; Moon, E. E.

    2015-01-01

    Optical lithography, the enabling process for defining features, has been widely used in semiconductor industry and many other nanotechnology applications. Advances of nanotechnology require developments of high-throughput optical lithography capabilities to overcome the optical diffraction limit and meet the ever-decreasing device dimensions. We report our recent experimental advancements to scale up diffraction unlimited optical lithography in a massive scale using the near field nanolithography capabilities of bowtie apertures. A record number of near-field optical elements, an array of 1,024 bowtie antenna apertures, are simultaneously employed to generate a large number of patterns by carefully controlling their working distances over the entire array using an optical gap metrology system. Our experimental results reiterated the ability of using massively-parallel near-field devices to achieve high-throughput optical nanolithography, which can be promising for many important nanotechnology applications such as computation, data storage, communication, and energy. PMID:26525906

  10. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  11. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  12. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    NASA Astrophysics Data System (ADS)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  13. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  14. Quirks of dye nomenclature. 8. Methylene blue, azure and violet.

    PubMed

    Cooksey, C J

    2017-01-01

    Methylene blue was synthesized in 1877 and soon found application in medicine, staining for microscopy and as an industrial dye and pigment. An enormous literature has accumulated since its introduction. Early on, it was known that methylene blue could be degraded easily by demethylation; consequently, the purity of commercial samples often was low. Therefore, demethylation products, such as azures and methylene violet, also are considered here. The names and identity of the components, their varying modes of manufacture, analytical methods and their contribution to biological staining are discussed.

  15. Economic consequences of high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Hartley, John G.; Govindaraju, Lakshmi

    2005-11-01

    Many people in the semiconductor industry bemoan the high costs of masks and view mask cost as one of the significant barriers to bringing new chip designs to market. All that is needed is a viable maskless technology and the problem will go away. Numerous sites around the world are working on maskless lithography but inevitably, the question asked is "Wouldn't a one wafer per hour maskless tool make a really good mask writer?" Of course, the answer is yes, the hesitation you hear in the answer isn't based on technology concerns, it's financial. The industry needs maskless lithography because mask costs are too high. Mask costs are too high because mask pattern generators (PG's) are slow and expensive. If mask PG's become much faster, mask costs go down, the maskless market goes away and the PG supplier is faced with an even smaller tool demand from the mask shops. Technical success becomes financial suicide - or does it? In this paper we will present the results of a model that examines some of the consequences of introducing high throughput maskless pattern generation. Specific features in the model include tool throughput for masks and wafers, market segmentation by node for masks and wafers and mask cost as an entry barrier to new chip designs. How does the availability of low cost masks and maskless tools affect the industries tool makeup and what is the ultimate potential market for high throughput maskless pattern generators?

  16. Method for maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.« less

  17. Driving imaging and overlay performance to the limits with advanced lithography optimization

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  18. Fabrication of superconducting nanowire single-photon detectors by nonlinear femtosecond optical lithography

    NASA Astrophysics Data System (ADS)

    Minaev, N. V.; Tarkhov, M. A.; Dudova, D. S.; Timashev, P. S.; Chichkov, B. N.; Bagratashvili, V. N.

    2018-02-01

    This paper describes a new approach to the fabrication of superconducting nanowire single-photon detectors from ultrathin NbN films on SiO2 substrates. The technology is based on nonlinear femtosecond optical lithography and includes direct formation of the sensitive element of the detector (the meander) through femtosecond laser exposure of the polymethyl methacrylate resist at a wavelength of 525 nm and subsequent removal of NbN using plasma-chemical etching. The nonlinear femtosecond optical lithography method allows the formation of planar structures with a spatial resolution of ~50 nm. These structures were used to fabricate single-photon superconducting detectors with quantum efficiency no worse than 8% at a wavelength of 1310 nm and dark count rate of 10 s-1 at liquid helium temperature.

  19. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  20. Imprint lithography template technology for bit patterned media (BPM)

    NASA Astrophysics Data System (ADS)

    Lille, J.; Patel, K.; Ruiz, R.; Wu, T.-W.; Gao, H.; Wan, Lei; Zeltzer, G.; Dobisz, E.; Albrecht, T. R.

    2011-11-01

    Bit patterned media (BPM) for magnetic recording has emerged as a promising technology to deliver thermally stable magnetic storage at densities beyond 1Tb/in2. Insertion of BPM into hard disk drives will require the introduction of nanoimprint lithography and other nanofabrication processes for the first time. In this work, we focus on nanoimprint and nanofabrication challenges that are being overcome in order to produce patterned media. Patterned media has created the need for new tools and processes, such as an advanced rotary e-beam lithography tool and block copolymer integration. The integration of block copolymer is through the use of a chemical contrast pattern on the substrate which guides the alignment of di-block copolymers. Most of the work on directed self assembly for patterned media applications has, until recently, concentrated on the formation of circular dot patterns in a hexagonal close packed lattice. However, interactions between the read head and media favor a bit aspect ratio (BAR) greater than one. This design constraint has motivated new approaches for using self-assembly to create suitable high-BAR master patterns and has implications for template fabrication.

  1. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  2. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  3. Photoinhibition superresolution lithography

    NASA Astrophysics Data System (ADS)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  4. Differential inheritance of pepper (capsicum annum) fruit pigments results in black to violet fruit color

    USDA-ARS?s Scientific Manuscript database

    Color and appearance of fruits and vegetables are critical determinants of product quality and may afford high-value market opportunities. Exploiting the rich genetic diversity in Capsicum, we characterized the inheritance of black and violet immature fruit color and chlorophyll, carotenoid and ant...

  5. A Low-Cost Hands-On Laboratory to Introduce Lithography Concepts

    ERIC Educational Resources Information Center

    Jalali, M.; Marti, J. J.; Kirchhoff, A. L.; Lawrenz, F.; Campbell, S. A.

    2012-01-01

    A lithography lab course has been developed that is applicable to students from the middle-school level up to college students. It can also be inserted into electronics technology or similar courses in two- and four-year colleges, or used to demonstrate applications of polymers in chemistry classes. Some of these techniques would enable research…

  6. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  7. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    PubMed

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  8. 75 FR 34699 - Carbazole Violet Pigment 23 from India: Rescission of Countervailing Duty Administrative Review

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-06-18

    ... from India: Rescission of Countervailing Duty Administrative Review AGENCY: Import Administration... review of the countervailing duty order on Carbazole Violet Pigment 23(CVP-23) from India. See... Pigments requesting an administrative review of the countervailing duty order on CVP-23 from India for the...

  9. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    NASA Astrophysics Data System (ADS)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  10. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    NASA Astrophysics Data System (ADS)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  11. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    NASA Astrophysics Data System (ADS)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  12. Uniformity of LED light illumination in application to direct imaging lithography

    NASA Astrophysics Data System (ADS)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  13. Rapid prototyping of microstructures in polydimethylsiloxane (PDMS) by direct UV-lithography.

    PubMed

    Scharnweber, Tim; Truckenmüller, Roman; Schneider, Andrea M; Welle, Alexander; Reinhardt, Martina; Giselbrecht, Stefan

    2011-04-07

    Microstructuring of polydimethylsiloxane (PDMS) is a key step for many lab-on-a-chip (LOC) applications. In general, the structure is generated by casting the liquid prepolymer against a master. The production of the master in turn calls for special equipment and know how. Furthermore, a given master only allows the reproduction of the defined structure. We report on a simple, cheap and practical method to produce microstructures in already cured PDMS by direct UV-lithography followed by chemical development. Due to the available options during the lithographic process like multiple exposures, the method offers a high design flexibility granting easy access to complex and stepped structures. Furthermore, no master is needed and the use of pre-cured PDMS allows processing at ambient (light) conditions. Features down to approximately 5 µm and a depth of 10 µm can be realised. As a proof of principle, we demonstrate the feasibility of the process by applying the structures to various established soft lithography techniques.

  14. New self-assembly strategies for next generation lithography

    NASA Astrophysics Data System (ADS)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  15. Extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Sweeney, Donald W.; Shafer, David; McGuire, James

    2001-01-01

    Condenser system for use with a ringfield camera in projection lithography where the condenser includes a series of segments of a parent aspheric mirror having one foci at a quasi-point source of radiation and the other foci at the radius of a ringfield have all but one or all of their beams translated and rotated by sets of mirrors such that all of the beams pass through the real entrance pupil of a ringfield camera about one of the beams and fall onto the ringfield radius as a coincident image as an arc of the ringfield. The condenser has a set of correcting mirrors with one of the correcting mirrors of each set, or a mirror that is common to said sets of mirrors, from which the radiation emanates, is a concave mirror that is positioned to shape a beam segment having a chord angle of about 25 to 85 degrees into a second beam segment having a chord angle of about 0 to 60 degrees.

  16. Mask manufacturing of advanced technology designs using multi-beam lithography (Part 1)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-10-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking Sub-Resolution Assist Features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, we study one such process, characterizing mask manufacturing capability of 10nm and below structures with particular focus on minimum resolution and pattern fidelity.

  17. Nanoporous membrane device for ultra high heat flux thermal management

    NASA Astrophysics Data System (ADS)

    Hanks, Daniel F.; Lu, Zhengmao; Sircar, Jay; Salamon, Todd R.; Antao, Dion S.; Bagnall, Kevin R.; Barabadi, Banafsheh; Wang, Evelyn N.

    2018-02-01

    High power density electronics are severely limited by current thermal management solutions which are unable to dissipate the necessary heat flux while maintaining safe junction temperatures for reliable operation. We designed, fabricated, and experimentally characterized a microfluidic device for ultra-high heat flux dissipation using evaporation from a nanoporous silicon membrane. With 100 nm diameter pores, the membrane can generate high capillary pressure even with low surface tension fluids such as pentane and R245fa. The suspended ultra-thin membrane structure facilitates efficient liquid transport with minimal viscous pressure losses. We fabricated the membrane in silicon using interference lithography and reactive ion etching and then bonded it to a high permeability silicon microchannel array to create a biporous wick which achieves high capillary pressure with enhanced permeability. The back side consisted of a thin film platinum heater and resistive temperature sensors to emulate the heat dissipation in transistors and measure the temperature, respectively. We experimentally characterized the devices in pure vapor-ambient conditions in an environmental chamber. Accordingly, we demonstrated heat fluxes of 665 ± 74 W/cm2 using pentane over an area of 0.172 mm × 10 mm with a temperature rise of 28.5 ± 1.8 K from the heated substrate to ambient vapor. This heat flux, which is normalized by the evaporation area, is the highest reported to date in the pure evaporation regime, that is, without nucleate boiling. The experimental results are in good agreement with a high fidelity model which captures heat conduction in the suspended membrane structure as well as non-equilibrium and sub-continuum effects at the liquid-vapor interface. This work suggests that evaporative membrane-based approaches can be promising towards realizing an efficient, high flux thermal management strategy over large areas for high-performance electronics.

  18. Treatment of oral thrush in HIV/AIDS patients with lemon juice and lemon grass (Cymbopogon citratus) and gentian violet.

    PubMed

    Wright, S C; Maree, J E; Sibanyoni, M

    2009-03-01

    The purpose of the study was to investigate the safety and efficacy of lemon juice and lemon grass (Cymbopogon citratus) in the treatment of oral thrush in HIV/AIDS patients when compared with the control group using gentian violet aqueous solution 0.5%. Oral thrush is a frequent complication of HIV infection. In the Moretele Hospice, due to financial constraints, the treatment routinely given to patients with oral thrush is either lemon juice directly into the mouth or a lemon grass infusion made from lemon grass (Cymbopogon citratus) grown and dried at the hospice. These two remedies have been found to be very efficacious therefore are used extensively. Gentian violet, the first line medication for oral thrush in South Africa, is not preferred by the primary health clinic patients due to the visible purple stain which leads them to being stigmatized as HIV-positive. Cymbopogon citratus and Citrus limon have known antifungal properties. The study design was a randomised controlled trial. Ninety patients were randomly assigned to one of three groups: gentian violet, lemon juice or lemon grass. Inclusion criteria included being HIV-positive with a diagnosis of oral thrush. The study period was 11 days and patients were followed up every second day. International ethical principles were adhered to during the study. Of the 90 patients, 83 completed the study. In the intention-to-treat analysis, none of the p-values were significant therefore the null hypothesis could not be rejected. In the analysis of the participants who actually completed the trial, the lemon juice showed better results than the gentian violet aqueous solution 0.5% in the treatment of oral thrush in an HIV-positive population (p<0.02). The null hypothesis in terms of the lemon grass and gentian violet could also be rejected on the basis of the Chi-square test and the likelihood ratio test (p<0.05). Though the patient population was small, the use of lemon juice and lemon grass for the treatment of

  19. Isolation and Characterization of Paracoccus sp. GSM2 Capable of Degrading Textile Azo Dye Reactive Violet 5

    PubMed Central

    Bheemaraddi, Mallikarjun C.; Shivannavar, Channappa T.; Gaddad, Subhashchandra M.

    2014-01-01

    A potential bacterial strain GSM2, capable of degrading an azo dye Reactive Violet 5 as a sole source of carbon, was isolated from textile mill effluent from Solapur, India. The 16S rDNA sequence and phenotypic characteristics indicated an isolated organism as Paracoccus sp. GSM2. This strain exhibited complete decolorization of Reactive Violet 5 (100 mg/L) within 16 h, while maximally it could decolorize 800 mg/L of dye within 38 h with 73% decolorization under static condition. For color removal, the most suitable pH and temperature were pH 6.0–9.0 and 25–40°C, respectively. The isolate was able to decolorize more than 70% of five structurally different azo dyes within 38 h. The isolate is salt tolerant as it can bring out more than 90% decolorization up to a salt concentration of 2% (w/v). UV-Visible absorption spectra before and after decolorization suggested that decolorization was due to biodegradation and was further confirmed by FT-IR spectroscopy. Overall results indicate the effectiveness of the strain GSM2 explored for the treatment of textile industry effluents containing various azo dyes. To our knowledge, this could be the first report on biodegradation of Reactive Violet 5 by Paracoccus sp. GSM2. PMID:24883397

  20. SURVIVAL OF THE RED BLOOD CELLS OF BLOOD TREATED WITH GENTIAN VIOLET. ITS IMPORTANCE IN THE PROPHYLAXIS OF CHAGAS DISEASE TRANSMITTED DURING TRANSFUSION (in Spanish)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maspes, V.; Pieroni, R.R.; Mellone, O.

    1959-01-01

    The utility of adding gentian violet to blood to be transfused for prophylaxis of Chanas disease is discussed. It is quite useful in regions where a high percentage of blood donore are infected, The survivial of the erythrocytes in blood treated with sufficient gentian violet to kill Trypanosoma Cruzi (1: 4,000) is studied. The study was made at 4 deg C with chromium as the labeling substance, Mean cell life values found varied from 66 to 91 days. It was concluded that other properties of erythrcytes are not significantly changed, Therefore, the wide use of gentian violet in the prophylaxismore » of Chagas disease is recommended. (auth)« less

  1. Integrating nanosphere lithography in device fabrication

    NASA Astrophysics Data System (ADS)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  2. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.

  3. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    NASA Astrophysics Data System (ADS)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  4. SMUVS: Spitzer Matching survey of the UltraVISTA ultra-deep Stripes

    NASA Astrophysics Data System (ADS)

    Caputi, Karina; Ashby, Matthew; Fazio, Giovanni; Huang, Jiasheng; Dunlop, James; Franx, Marijn; Le Fevre, Olivier; Fynbo, Johan; McCracken, Henry; Milvang-Jensen, Bo; Muzzin, Adam; Ilbert, Olivier; Somerville, Rachel; Wechsler, Risa; Behroozi, Peter; Lu, Yu

    2014-12-01

    We request 2026.5 hours to homogenize the matching ultra-deep IRAC data of the UltraVISTA ultra-deep stripes, producing a final area of ~0.6 square degrees with the deepest near- and mid-IR coverage existing in any such large area of the sky (H, Ks, [3.6], [4.5] ~ 25.3-26.1 AB mag; 5 sigma). The UltraVISTA ultra-deep stripes are contained within the larger COSMOS field, which has a rich collection of multi-wavelength, ancillary data, making it ideal to study different aspects of galaxy evolution with high statistical significance and excellent redshift accuracy. The UltraVISTA ultra-deep stripes are the region of the COSMOS field where these studies can be pushed to the highest redshifts, but securely identifying high-z galaxies, and determining their stellar masses, will only be possible if ultra-deep mid-IR data are available. Our IRAC observations will allow us to: 1) extend the galaxy stellar mass function at redshifts z=3 to z=5 to the intermediate mass regime (M~5x10^9-10^10 Msun), which is critical to constrain galaxy formation models; 2) gain a factor of six in the area where it is possible to effectively search for z>=6 galaxies and study their properties; 3) measure, for the first time, the large-scale structure traced by an unbiased galaxy sample at z=5 to z=7, and make the link to their host dark matter haloes. This cannot be done in any other field of the sky, as the UltraVISTA ultra-deep stripes form a quasi-contiguous, regular-shape field, which has a unique combination of large area and photometric depth. 4) provide a unique resource for the selection of secure z>5 targets for JWST and ALMA follow up. Our observations will have an enormous legacy value which amply justifies this new observing-time investment in the COSMOS field. Spitzer cannot miss this unique opportunity to open up a large 0.6 square-degree window to the early Universe.

  5. Biochar pyrolyzed from MgAl-layered double hydroxides pre-coated ramie biomass (Boehmeria nivea (L.) Gaud.): Characterization and application for crystal violet removal.

    PubMed

    Tan, Xiao-Fei; Liu, Yun-Guo; Gu, Yan-Ling; Liu, Shao-Bo; Zeng, Guang-Ming; Cai, Xiaoxi; Hu, Xin-Jiang; Wang, Hui; Liu, Si-Mian; Jiang, Lu-Hua

    2016-12-15

    A novel biochar/MgAl-layered double hydroxides composite (CB-LDH) was prepared for the removal of crystal violet from aqueous solution by pyrolyzing MgAl-LDH pre-coated ramie stem (Boehmeria nivea (L.) Gaud.). Pyrolysis played dual role for both converting biomass into biochar and calcining MgAl-LDH during the pyrolysis process. Scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy-dispersive X-ray analysis (EDS), X-ray photoelectron spectroscopy (XPS), Fourier transform infrared (FTIR) and zeta potential analysis were used to characterize the CB-LDH. The results of characterization suggested that the calcined LDH was successfully synthesized and coated on biochar. The resulted CB-LDH had higher total pore volume and more functional groups than the pristine biochar. Adsorption experimental data fitted well with the pseudo-second order kinetics model and the Freundlich isotherm model. The rate-controlled step was controlled by film-diffusion initially and then followed by intra-particle diffusion. Thermodynamic analysis showed that the adsorption of crystal violet was a spontaneous and endothermic process. The higher pH and temperature of the solution enhanced the adsorption performance. CB-LDH could also have excellent ability for the removal of crystal violet from the actual industrial wastewater and groundwater with high ionic strength. LDH adsorption, electrostatic attraction, pore-filling, π-π interaction and hydrogen bond might be the main mechanisms for crystal violet adsorption on CB-LDH. The results of this study indicated that CB-LDH is a sustainable and green adsorbent with high performance for crystal violet contaminated wastewater treatment and groundwater remediation. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. Sequential infiltration synthesis for advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned usingmore » photolithography, electron-beam lithography or a block copolymer self-assembly process.« less

  7. Sequential infiltration synthesis for advanced lithography

    DOEpatents

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2015-03-17

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  8. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  9. Rapid prototyping of Fresnel zone plates via direct Ga(+) ion beam lithography for high-resolution X-ray imaging.

    PubMed

    Keskinbora, Kahraman; Grévent, Corinne; Eigenthaler, Ulrike; Weigand, Markus; Schütz, Gisela

    2013-11-26

    A significant challenge to the wide utilization of X-ray microscopy lies in the difficulty in fabricating adequate high-resolution optics. To date, electron beam lithography has been the dominant technique for the fabrication of diffractive focusing optics called Fresnel zone plates (FZP), even though this preparation method is usually very complicated and is composed of many fabrication steps. In this work, we demonstrate an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga(+) beam lithography practically, in a single step. This method enabled us to prepare a high-resolution FZP in less than 13 min. The performance of the FZP was evaluated in a scanning transmission soft X-ray microscope where nanostructures as small as sub-29 nm in width were clearly resolved, with an ultimate cutoff resolution of 24.25 nm, demonstrating the highest first-order resolution for any FZP fabricated by the ion beam lithography technique. This rapid and simple fabrication scheme illustrates the capabilities and the potential of direct ion beam lithography (IBL) and is expected to increase the accessibility of high-resolution optics to a wider community of researchers working on soft X-ray and extreme ultraviolet microscopy using synchrotron radiation and advanced laboratory sources.

  10. Nanostructures Enabled by On-Wire Lithography (OWL)

    PubMed Central

    Braunschweig, Adam B.; Schmucker, Abrin L.; Wei, Wei David; Mirkin, Chad A.

    2010-01-01

    Nanostructures fabricated by a novel technique, termed On-Wire-Lithography (OWL), can be combined with organic and biological molecules to create systems with emergent and highly functional properties. OWL is a template-based, electrochemical process for forming gapped cylindrical structures on a solid support, with feature sizes (both gap and segment length) that can be controlled on the sub-100 nm length scale. Structures prepared by this method have provided valuable insight into the plasmonic properties of noble metal nanomaterials and have formed the basis for novel molecular electronic, encoding, and biological detection devices. PMID:20396668

  11. Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings

    NASA Astrophysics Data System (ADS)

    Palitzsch, Katrin; Kubis, Michael; Schroeder, Uwe P.; Schumacher, Karl; Frangen, Andreas

    2004-05-01

    CD control is crucial to maximize product yields on 300mm wafers. This is particularly true for DRAM frontend lithography layers, like gate level, and deep trench (capacitor) level. In the DRAM process, large areas of the chip are taken up by array structures, which are difficult to structure due to aggressive pitch requirements. Consequently, the lithography process is centered such that the array structures are printed on target. Optical proximity correction is applied to print gate level structures in the periphery circuitry on target. Only slight differences of the different Zernike terms can cause rather large variations of the proximity curves, resulting in a difference of isolated and semi-isolated lines printed on different tools. If the deviations are too large, tool specific OPC is needed. The same is true for deep trench level, where the length to width ratio of elongated contact-like structures is an important parameter to adjust the electrical properties of the chip. Again, masks with specific biases for tools with different Zernikes are needed to optimize product yield. Additionally, mask making contributes to the CD variation of the process. Theoretically, the CD deviation caused by an off-centered mask process can easily eat up the majority of the CD budget of a lithography process. In practice, masks are very often distributed intelligently among production tools, such that lens and mask effects cancel each other. However, only dose adjusting and mask allocation may still result in a high CD variation with large systematical contributions. By adjusting the illumination settings, we have successfully implemented a method to reduce CD variation on our advanced processes. Especially inner and outer sigma for annular illumination, and the numerical aperture, can be optimized to match mask and stepper properties. This process will be shown to overcome slight lens and mask differences effectively. The effects on lithography process windows have to be

  12. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    NASA Astrophysics Data System (ADS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  13. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  14. Immersion lithography: its history, current status and future prospects

    NASA Astrophysics Data System (ADS)

    Owa, Soichi; Nagasaka, Hiroyuki

    2008-11-01

    Since the 1980's, immersion exposure has been proposed several times. At the end of 1990's, however, these concepts were almost forgotten because other technologies, such as electron beam projection, EUVL, and 157 nm were believed to be more promising than immersion exposures. The current work in immersion lithography started in 2001 with the report of Switkes and Rothschild. Although their first proposal was at 157 nm wavelength, their report in the following year on 193 nm immersion with purified water turned out to be the turning point for the introduction of water-based 193 nm immersion lithography. In February, 2003, positive feasibility study results of 193 nm immersion were presented at the SPIE microlithography conference. Since then, the development of 193 nm immersion exposure tools accelerated. Currently (year 2008), multiple hyper NA (NA>1.0) scanners are generating mass production 45 nm half pitch devices in semiconductor manufacturing factories. As a future extension, high index immersion was studied over the past few years, but material development lagged more than expected, which resulted in the cancellation of high index immersion plans at scanner makers. Instead, double patterning, double dipole exposure, and customized illuminations techniques are expected as techniques to extend immersion for the 32 nm node and beyond.

  15. Mask manufacturing of advanced technology designs using multi-beam lithography (part 2)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-09-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced optical proximity correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking sub-resolution assist features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, Part 2 of our study, we further characterize an MBMW process for 10nm and below logic node mask manufacturing including advanced pattern analysis and write time demonstration.

  16. Micro-optical foundry: 3D lithography by freezing liquid instabilities at nanoscale

    NASA Astrophysics Data System (ADS)

    Grilli, S.; Coppola, S.; Vespini, V.; Merola, F.; Finizio, A.; Ferraro, P.

    2012-06-01

    The pyroelectric functionality of a Lithium Niobate (LN) substrate is used for non-contact manipulation of polymeric material. In this work we introduced a novel approach for fabricating a wide variety of soft solid-like microstructures, thus leading to a new concept in 3D lithography. A relatively easy to accomplish technique has been demonstrated for curing different transient stages of polymer fluids by rapid cross-linking of PDMS. The method is twofold innovative thanks to the electrode-less configuration and to the rapid formation of a wide variety of 3D solid-like structures by exploiting polymer instabilities. This new and unique technique is named "pyro-electrohydrodynamic (PEHD) lithography", meaning the generation of structures by using forces produced by electric fields generated by the pyroelectric effect. The fabrication of polymer wires, needles, pillars, cones, or microspheres is reported, and practical proofs of their use in photonics are presented.

  17. Spun-wrapped aligned nanofiber (SWAN) lithography for fabrication of micro/nano-structures on 3D objects

    NASA Astrophysics Data System (ADS)

    Ye, Zhou; Nain, Amrinder S.; Behkam, Bahareh

    2016-06-01

    Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for fabrication of multiscale (nano to microscale) structures on 3D objects without restriction on substrate material and geometry. SWAN lithography combines precise deposition of polymeric nanofiber masks, in aligned single or multilayer configurations, with well-controlled solvent vapor treatment and etching processes to enable high throughput (>10-7 m2 s-1) and large-area fabrication of sub-50 nm to several micron features with high pattern fidelity. Using this technique, we demonstrate whole-surface nanopatterning of bulk and thin film surfaces of cubes, cylinders, and hyperbola-shaped objects that would be difficult, if not impossible to achieve with existing methods. We demonstrate that the fabricated feature size (b) scales with the fiber mask diameter (D) as b1.5 ~ D. This scaling law is in excellent agreement with theoretical predictions using the Johnson, Kendall, and Roberts (JKR) contact theory, thus providing a rational design framework for fabrication of systems and devices that require precisely designed multiscale features.Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for

  18. Preparation and catalytic performance of copper-containing magnetic catalysts for degradation of azo dye (direct violet).

    PubMed

    Duan, Qiannan; Lee, Jianchao; Chen, Han; Zheng, Yunyun

    2017-12-01

    A novel magnetically separable magnetic activated carbon supporting-copper (MCAC) catalyst for catalytic wet peroxide oxidation (CWPO) was prepared by chemical impregnation. The prepared samples were characterized by X-ray diffraction (XRD), Brunauer-Emmett-Teller (BET) method, and scanning electron microscopy (SEM) equipped with energy dispersive spectrometry (EDS). The catalytic performance of the catalysts was evaluated by direct violet (D-BL) degradation in CWPO experiments. The influence of preparative and operational parameters (dipping conditions, calcination temperature, catalyst loading H 2 O 2 dosage, pH, reaction temperature, additive salt ions and initial D-BL concentration) on degradation performance of CWPO process was investigated. The resulting MCAC catalyst showed higher reusability in direct violet oxidation than the magnetic activated carbon (MAC). Besides, dynamic tests also showed the maximal degradation rate reached 90.16% and its general decoloring ability of MCAC was 34 mg g -1 for aqueous D-BL.

  19. Comparison and evaluation of mitotic figures in oral epithelial dysplasia using crystal violet and Feulgen stain.

    PubMed

    Rao, Roopa S; Patil, Shankargouda; Agarwal, Anveeta

    2014-05-01

    Routine staining procedures often pose a problem in differentiating a mitotic cell from an apoptotic cell, deteriorating the reliability of histology grading. Although various new methods have been recommended for identifying mitotic figures (MFs) in tissues, the time factor and cost makes them less feasible. Thus, an attempt was made to evaluate the efficacy of crystal violet and Feulgen reaction in identifying MFs and also to see for any variation in the number of MFs in various grades of Epithelial dysplasia. 1. Using crystal violet and Feulgen stain in the identification and counting of MFs on diagnosed cases of epithelial dysplasia and thereby to evaluate their efficacy. 2. To evaluate the variation in the number of MFs in various grades of epithelial dysplasia. The study sample includes retrieval of 30 formalin fixed paraffin embedded tissue sections diagnosed for different grades of epithelial dysplasia (WHO grading system, 2005) from the archives, Department of Oral Pathology, MSRDC, Bengaluru. Ten tissue sections each of mild, moderate and severe epithelial dysplasia were stained with H&E, Feulgen and 1% crystal violet stains and the number of MFs were counted. Five cases of cervical carcinoma were taken as control. Stained sections were compared, and data obtained was statistically analyzed using the Kruskal-Wallis test. A significant increase in the number of MFs (p = 0.02) was observed in Feulgen stained sections as compared to H&E stain. Feulgen stain can be considered as a simple, reliable, cost-effective and reproducible method of staining MFs.

  20. Micro-optics: enabling technology for illumination shaping in optical lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard

    2014-03-01

    Optical lithography has been the engine that has empowered semiconductor industry to continually reduce the half-pitch for over 50 years. In early mask aligners a simple movie lamp was enough to illuminate the photomask. Illumination started to play a more decisive role when proximity mask aligners appeared in the mid-1970s. Off-axis illumination was introduced to reduce diffraction effects. For early projection lithography systems (wafer steppers), the only challenge was to collect the light efficiently to ensure short exposure time. When projection optics reached highest level of perfection, further improvement was achieved by optimizing illumination. Shaping the illumination light, also referred as pupil shaping, allows the optical path from reticle to wafer to be optimized and thus has a major impact on aberrations and diffraction effects. Highly-efficient micro-optical components are perfectly suited for this task. Micro-optics for illumination evolved from simple flat-top (fly's-eye) to annular, dipole, quadrupole, multipole and freeform illumination. Today, programmable micro-mirror arrays allow illumination to be changed on the fly. The impact of refractive, diffractive and reflective microoptics for photolithography will be discussed.

  1. Business dynamics of lithography at very low k1 factors

    NASA Astrophysics Data System (ADS)

    Harrell, Sam; Preil, Moshe E.

    1999-07-01

    Lithography is the largest capital investment and the largest operating cost component of leading edge semiconductor fabs. In addition, it is the dominant factor in determining the performance of a semiconductor device and is important in determining the yield and thus the economics of a semiconductor circuit. To increase competitiveness and broaden adoption of circuits and the end products in which they are used, there has been and continues to be a dramatic acceleration in the industry roadmap. A critical factor in the acceleration is driving the lithographic images to smaller feature size. There has always been economic tension between the pace of change and the resultant circuit cost. The genius of the semiconductor industry has been in its ability to balance its technology with economic factors and deliver outstanding value to those using the circuits to add value to their end products. The critical question today is whether optical lithography can be successfully and economically extended to maintain and improve the economic benefits of higher complexity circuits. In this paper we will discuss some of these significant tradeoffs required to maintain optically based lithographic progress on the roadmap at acceptable cost.

  2. Fabrication of nanochannels on polyimide films using dynamic plowing lithography

    NASA Astrophysics Data System (ADS)

    Stoica, Iuliana; Barzic, Andreea Irina; Hulubei, Camelia

    2017-12-01

    Three distinct polyimide films were analyzed from the point of view of their morphology in order to determine if their surface features can be adapted for applications where surface anisotropy is mandatory. Channels of nanometric dimensions were created on surface of the specimens by using a less common atomic force microscopy (AFM) method, namely Dynamic Plowing Lithography (DPL). The changes generated by DPL procedure were monitored through the surface texture and other functional parameters, denoting the surface orientation degree and also bearing and fluid retention properties. The results revealed that in the same nanolithography conditions, the diamine and dianhydride moieties have affected the characteristics of the nanochannels. This was explained based on the aliphatic/aromatic nature of the monomers and the backbone flexibility. The reported data are of great importance in designing custom nanostructures with enhanced anisotropy on surface of polyimide films for liquid crystal orientation or guided cell growth purposes. At the end, to track the effect of the nanolithography process on the tip sharpness, degradation and contamination, the blind tip reconstruction was performed on AFM probe, before and after lithography experiments, using TGT1 test grating AFM image.

  3. Investigation of pattern transfer to piezoelectric jetted polymer using roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Menezes, Shannon John

    Nanoimprint Lithography (NIL) has existed since the mid 1990s as a proven concept of creating micro- and nanostructures using direct mechanical pattern transfer. Initially seen as a viable option to replace conventional lithography methods, the lack of technology to support large-scale manufacturing using NIL has motivated researchers to explore the application of NIL to create a better, more cost-efficient process with the ability to integrate NIL into a mass manufacturing system. One such method is the roll-to-roll process, similar to that used in printing presses of newspapers and plastics. This thesis is an investigation to characterize polymer deposition using a piezoelectric jetting head and attempt to create micro- and nanostructures on the polymer using R2RNIL technique.

  4. Sub-Optical Lithography With Nanometer Definition Masks

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T.; Malek, Chantal Khan; Neogi, Jayant

    2000-01-01

    Nanometer feature size lithography represents a major paradigm shift for the electronics and micro-electro-mechanical industries. In this paper, we discuss the capacity of dynamic focused reactive ion beam (FIB) etching systems to undertake direct and highly anisotropic erosion of thick evaporated gold coatings on boron-doped silicon X-ray mask membranes. FIB offers a new level of flexibility in micro fabrication, allowing for fast fabrication of X-ray masks, where pattern definition and surface alteration are combined in the same step which eliminates the whole lithographic process, in particular resist, resist development, electro-deposition and resist removal. Focused ion beam diameters as small as 7 nm can be obtained enabling fabrication well into the sub-20 nm regime. In preliminary demonstrations of this X-ray mask fabrication technique 22 nm width lines were milled directly through 0.9 microns of gold and a miniature mass spectrometer pattern was milled through over 0.5 microns of gold. Also presented are the results of the shadow printing, using the large depth of field of synchrotron high energy parallel X-ray beam, of these and other sub-optical defined patterns in photoresist conformally coated over surfaces of extreme topographical variation. Assuming that electronic circuits and/or micro devices scale proportionally, the surface area of devices processed with X-ray lithography and 20 nm critical dimension X-ray masks would be 0.5% that of contemporary devices (350 nm CD). The 20 CD mask fabrication represents an initial effort - a further factor of three reduction is anticipated which represents a further order-of-magnitude reduction in die area.

  5. Lithography-free large-area metamaterials for stable thermophotovoltaic energy conversion

    DOE PAGES

    Coppens, Zachary J.; Kravchenko, Ivan I.; Valentine, Jason G.

    2016-02-08

    A large-area metamaterial thermal emitter is fabricated using facile, lithography-free techniques. The device is composed of conductive oxides, refractory ceramics, and noble metals and shows stable, selective emission after exposure to 1173 K for 22 h in oxidizing and inert atmospheres. Lastly, the results indicate that the metamaterial can be used to achieve high-performance thermophotovoltaic devices for applications such as portable power generation.

  6. Vacuum system for room temperature X-ray lithography source (XLS)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schuchman, J.C.

    1988-09-30

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  7. All-optical lithography process for contacting nanometer precision donor devices

    NASA Astrophysics Data System (ADS)

    Ward, D. R.; Marshall, M. T.; Campbell, D. M.; Lu, T. M.; Koepke, J. C.; Scrymgeour, D. A.; Bussmann, E.; Misra, S.

    2017-11-01

    We describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  8. Vacuum system for room temperature X-ray lithography source (XLS)

    NASA Astrophysics Data System (ADS)

    Schuchman, J. C.

    1988-09-01

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  9. Top coat or no top coat for immersion lithography?

    NASA Astrophysics Data System (ADS)

    Stepanenko, N.; Kim, Hyun-Woo; Kishimura, S.; Van Den Heuvel, D.; Vandenbroeck, N.; Kocsis, M.; Foubert, P.; Maenhoudt, M.; Ercken, M.; Van Roey, F.; Gronheid, R.; Pollentier, I.; Vangoidsenhoven, D.; Delvaux, C.; Baerts, C.; O'Brien, S.; Fyen, W.; Wells, G.

    2006-03-01

    Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the material's refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the material's dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of

  10. PLGA nano/microparticles loaded with cresyl violet as a tracer for drug delivery: Characterization and in-situ hyperspectral fluorescence and 2-photon localization.

    PubMed

    Lunardi, Claure N; Gomes, Anderson J; Palepu, Sandeep; Galwaduge, P Thilanka; Hillman, Elizabeth M C

    2017-01-01

    Here we present the production, characterization and in-vivo assessment of cresyl violet-loaded biodegradable PLGA nano/microparticles (CV-NP and CV-MP). We demonstrate that the beneficial spectral characteristics of cresyl violet make it suitable as a tracer for particle-based drug delivery using both hyperspectral wide field and two-photon excited fluorescence microscopy. Particles were prepared using a cosolvent method, after which the physicochemical properties such as morphology, particle size, drug entrapment efficiency, drug loading and in vitro drug release behavior were measured in addition to spectroscopic properties, such as absorption, fluorescence and infrared spectra. The particles were then tested in an in vivo mouse model to assess their biodistribution characteristics. The location and integrity of particles after injection was determined using both hyperspectral fluorescence and two-photon microscopy within intact organs in situ. Our results show that cresyl violet is efficiently entrapped into PLGA particles, and that the particles are spherical in shape, ranging from 300 to 5070nm in diameter. Particle biodistribution in the mouse was found to depend on particle size, as expected. Cresyl violet is shown to be an ideal tracer to assess the properties PLGA particle-based drug delivery in combination with our novel multi-scale optical imaging techniques for in-situ particle localization. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Preparation of Octadecyltrichlorosilane Nanopatterns Using Particle Lithography: An Atomic Force Microscopy Laboratory

    ERIC Educational Resources Information Center

    Highland, Zachary L.; Saner, ChaMarra K.; Garno, Jayne C.

    2018-01-01

    Experiments are described that involve undergraduates learning concepts of nanoscience and chemistry. Students prepare nanopatterns of organosilane films using protocols of particle lithography. A few basic techniques are needed to prepare samples, such as centrifuging, mixing, heating, and drying. Students obtain hands-on skills with nanoscale…

  12. A high resolution water soluble fullerene molecular resist for electron beam lithography.

    PubMed

    Chen, X; Palmer, R E; Robinson, A P G

    2008-07-09

    Traditionally, many lithography resists have used hazardous, environmentally damaging or flammable chemicals as casting solvent and developer. There is now a strong drive towards processes that are safer and more environmentally friendly. We report nanometre-scale patterning of a fullerene molecular resist film with electron beam lithography, using water as casting solvent and developer. Negative tone behaviour is demonstrated after exposure and development. The sensitivity of this resist to 20 keV electrons is 1.5 × 10(-2) C cm(-2). Arrays of lines with a width of 30-35 nm and pitches of 200 and 400 nm, and arrays of dots with a diameter of 40 nm and a pitch of 200 nm have been patterned at 30 keV. The etch durability of this resist was found to be ∼2 times that of a standard novolac based resist. Initial results of the chemical amplification of this material for enhanced sensitivity are also presented.

  13. Photomask quality evaluation using lithography simulation and precision SEM image contour data

    NASA Astrophysics Data System (ADS)

    Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Hagiwara, Kazuyuki; Matsushita, Shohei; Hara, Daisuke; Adamov, Anthony

    2012-11-01

    To evaluate photomask quality, the current method uses spatial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to extract. To simulate the mask error-enhancement factor (MEEF) influence for aggressive OPC in 1Xnm node, wide FOV contour data and tone information are derived from high precision SEM images. For this purpose we have developed a new contour data extraction algorithm with sub-nanometer accuracy resulting in a wide Field of View (FOV) SEM image: (for example, more than 10um x 10um square). We evaluated MEEF influence of high-end photomask pattern using the wide FOV contour data of "E3630 MVM-SEMTM" and lithography simulator "TrueMaskTM DS" of D2S, Inc. As a result, we can detect the "invisible defect" as the MEEF influence using the wide FOV contour data and lithography simulator.

  14. Line-frequency doubling of directed self-assembly patterns for single-digit bit pattern media lithography

    NASA Astrophysics Data System (ADS)

    Patel, K. C.; Ruiz, R.; Lille, J.; Wan, L.; Dobiz, E.; Gao, H.; Robertson, N.; Albrecht, T. R.

    2012-03-01

    Directed self-assembly is emerging as a promising technology to define sub-20nm features. However, a straightforward path to scale block copolymer lithography to single-digit fabrication remains challenging given the diverse material properties found in the wide spectrum of self-assembling materials. A vast amount of block copolymer research for industrial applications has been dedicated to polystyrene-b-methyl methacrylate (PS-b-PMMA), a model system that displays multiple properties making it ideal for lithography, but that is limited by a weak interaction parameter that prevents it from scaling to single-digit lithography. Other block copolymer materials have shown scalability to much smaller dimensions, but at the expense of other material properties that could delay their insertion into industrial lithographic processes. We report on a line doubling process applied to block copolymer patterns to double the frequency of PS-b-PMMA line/space features, demonstrating the potential of this technique to reach single-digit lithography. We demonstrate a line-doubling process that starts with directed self-assembly of PS-b-PMMA to define line/space features. This pattern is transferred into an underlying sacrificial hard-mask layer followed by a growth of self-aligned spacers which subsequently serve as hard-masks for transferring the 2x frequency doubled pattern to the underlying substrate. We applied this process to two different block copolymer materials to demonstrate line-space patterns with a half pitch of 11nm and 7nm underscoring the potential to reach single-digit critical dimensions. A subsequent patterning step with perpendicular lines can be used to cut the fine line patterns into a 2-D array of islands suitable for bit patterned media. Several integration challenges such as line width control and line roughness are addressed.

  15. Report of the workshop on transferring X-ray Lithography Synchrotron (XLS) technology to industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marcuse, W.

    1987-01-01

    This paper reports on plans to develop an x-ray synchrotron for use in lithography. The primary concern of the present paper is technology transfer from national laboratories to private industry. (JDH)

  16. Development of a paper based roll-to-roll nanoimprinting machine

    NASA Astrophysics Data System (ADS)

    Son, Byungwook

    Nanoimprint lithography (NIL) has been developed and studied since 1995. It is a technique where micro- or nanoscale patterns are transferred to soft materials such as polymer through pressing a stamp with certain patterns into this materials and then solidifying it by cooling at lower temperature or curing under ultra violet excitement. High Cost and low throughput of batch mode nanoimprint lithography (NIL) processes are limiting its wide range of applications in meeting industry manufacturing requirements. The roll-to-roll (R2R) nanoimprinting technology is emerged as a solution to this issue. This thesis study presents the design, build and test of an innovative R2R T-NIL process machine for nanofabrication and MEMS fabrication applications, which consists of individual modules of heating, inking, pressuring, and rotational speed control. The system utilizes PDMS as mold material, PMMA as imprinting material, and paper as substrate material. In order to achieve a uniform pressure on PMMA during imprinting process, an innovative air pressure device (APD) was developed and integrated with R2R machine. The APD replaces the conventional 2-roll line contact pressure approach and can cover one third of the surface of the imprinting roller with a uniform pressure (1-3 psi). During the imprinting experiment, a mixture of PMMA (20w %) and 2-Ethoxyethyl acetate is applied on the paper substrate by an inking roller using capillary force and an IR heater is used for pre-heating and drying of polymer layers before it is fed into the imprinting module. Two 500-Watt cartridge heaters are installed on the roller and provide the heat to raise the PMMA film temperature during the imprinting.

  17. 75 FR 26716 - Carbazole Violet Pigment 23 from India: Extension of Time Limit for Final Results of...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-12

    ... DEPARTMENT OF COMMERCE International Trade Administration [C-533-839] Carbazole Violet Pigment 23 from India: Extension of Time Limit for Final Results of Countervailing Duty Administrative Review... the preliminary results of the administrative review of the countervailing duty order on carbazole...

  18. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  19. Enhanced tetrazolium violet reduction of Salmonella spp. by magnesium addition to the culture media.

    PubMed

    Junillon, Thomas; Morand, Lucie; Flandrois, Jean Pierre

    2014-09-01

    Tetrazolium salts (TTZ), such as tetrazolium violet (TV), have been widely used for microbiological studies. The formation of the colored formazan product due to bacterial reduction of the uncolored reagent is extensively exploited to stain cells or colonies in agar or on filters. But an important toxic effect of tetrazolium salts on bacteria exists that limits their use at high concentrations, impairing the efficient staining of the colonies. This is especially the case for Salmonella spp. where we observed, using a classic photometric approach and mathematical modeling of the growth, an important impact of tetrazolium violet on the apparent growth rate below the inhibitory concentration. In this study, we demonstrate that adding magnesium to the medium in the presence of TV leads to a significant increase in the apparent growth rate. Moreover, when higher TV concentrations are used which lead to total inhibition of Salmonella strains, magnesium addition to the culture media allows growth and TV reduction. This effect of magnesium may allow the use of higher TTZ concentrations in liquid growth media and enhance bacteria detection capabilities. Copyright © 2014 Elsevier Ltd. All rights reserved.

  20. Proximity Effect Correction by Pattern Modified Stencil Mask in Large-Field Projection Electron-Beam Lithography

    NASA Astrophysics Data System (ADS)

    Kobinata, Hideo; Yamashita, Hiroshi; Nomura, Eiichi; Nakajima, Ken; Kuroki, Yukinori

    1998-12-01

    A new method for proximity effect correction, suitable for large-field electron-beam (EB) projection lithography with high accelerating voltage, such as SCALPEL and PREVAIL in the case where a stencil mask is used, is discussed. In this lithography, a large-field is exposed by the same dose, and thus, the dose modification method, which is used in the variable-shaped beam and the cell projection methods, cannot be used in this case. In this study, we report on development of a new proximity effect correction method which uses a pattern modified stencil mask suitable for high accelerating voltage and large-field EB projection lithography. In order to obtain the mask bias value, we have investigated linewidth reduction, due to the proximity effect, in the peripheral memory cell area, and found that it could be expressed by a simple function and all the correction parameters were easily determined from only the mask pattern data. The proximity effect for the peripheral array pattern could also be corrected by considering the pattern density. Calculated linewidth deviation was 3% or less for a 0.07-µm-L/S memory cell pattern and 5% or less for a 0.14-µm-line and 0.42-µm-space peripheral array pattern, simultaneously.

  1. Layout compliance for triple patterning lithography: an iterative approach

    NASA Astrophysics Data System (ADS)

    Yu, Bei; Garreton, Gilda; Pan, David Z.

    2014-10-01

    As the semiconductor process further scales down, the industry encounters many lithography-related issues. In the 14nm logic node and beyond, triple patterning lithography (TPL) is one of the most promising techniques for Metal1 layer and possibly Via0 layer. As one of the most challenging problems in TPL, recently layout decomposition efforts have received more attention from both industry and academia. Ideally the decomposer should point out locations in the layout that are not triple patterning decomposable and therefore manual intervention by designers is required. A traditional decomposition flow would be an iterative process, where each iteration consists of an automatic layout decomposition step and manual layout modification task. However, due to the NP-hardness of triple patterning layout decomposition, automatic full chip level layout decomposition requires long computational time and therefore design closure issues continue to linger around in the traditional flow. Challenged by this issue, we present a novel incremental layout decomposition framework to facilitate accelerated iterative decomposition. In the first iteration, our decomposer not only points out all conflicts, but also provides the suggestions to fix them. After the layout modification, instead of solving the full chip problem from scratch, our decomposer can provide a quick solution for a selected portion of layout. We believe this framework is efficient, in terms of performance and designer friendly.

  2. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Irving, J. W.; Lu, Xiaoming; Zhang, Wei; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2013-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. In previous studies, we have focused on defects such as random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. In this work, we attempted to identify the critical imprint defect types using a mask with NAND Flash-like patterns at dimensions as small as 26nm. The two key defect types identified were line break defects induced by small particulates and airborne contaminants which result in local adhesion failure. After identification, the root cause of the defect was determined, and corrective measures were taken to either eliminate or reduce the defect source. As a result, we have been able to reduce defectivity levels by more than three orders of magnitude in only 12 months and are now achieving defectivity adders as small as 2 adders per lot of wafers.

  3. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  4. All-optical lithography process for contacting nanometer precision donor devices

    DOE PAGES

    Ward, Daniel Robert; Marshall, Michael Thomas; Campbell, DeAnna Marie; ...

    2017-11-06

    In this article, we describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  5. All-optical lithography process for contacting nanometer precision donor devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ward, Daniel Robert; Marshall, Michael Thomas; Campbell, DeAnna Marie

    In this article, we describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  6. Low-cost method for producing extreme ultraviolet lithography optics

    DOEpatents

    Folta, James A [Livermore, CA; Montcalm, Claude [Fort Collins, CO; Taylor, John S [Livermore, CA; Spiller, Eberhard A [Mt. Kisco, NY

    2003-11-21

    Spherical and non-spherical optical elements produced by standard optical figuring and polishing techniques are extremely expensive. Such surfaces can be cheaply produced by diamond turning; however, the roughness in the diamond turned surface prevent their use for EUV lithography. These ripples are smoothed with a coating of polyimide before applying a 60 period Mo/Si multilayer to reflect a wavelength of 134 .ANG. and have obtained peak reflectivities close to 63%. The savings in cost are about a factor of 100.

  7. Assembly of potassium niobate nanosheets/silver oxide composite films with good SERS performance towards crystal violet detection

    NASA Astrophysics Data System (ADS)

    Zhu, Kun; Hong, Zhen; Kang, Shi-Zhao; Qin, Lixia; Li, Guodong; Li, Xiangqing

    2018-04-01

    The orderly potassium niobate nanosheets/silver oxide (Ag2O) composite films with uniform morphology were achieved by layer-by-layer self-assembly combined with ultraviolet light irradiation. The composition, structure and morphology of the potassium niobate nanosheets/Ag2O composite films were studied by XPS, XRD and SEM. Furthermore, the films were used as a SERS probe to detect crystal violet molecules. The results showed that the potassium niobate nanosheets/Ag2O composite films were an active substrate for fast and sensitive detection of crystal violet with low concentration. The limit of detection by the films can reach 1 × 10-6 mol L-1. Both electromagnetic enhancement and chemical enhancement contributed to the enhanced SERS in the (potassium niobate nanosheets/Ag2O)4 films. Moreover, it was found that the films were relatively stable under light irradiation or heat treatment in a certain range.

  8. Stencil Nano Lithography Based on a Nanoscale Polymer Shadow Mask: Towards Organic Nanoelectronics

    PubMed Central

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E. B.; Hyoung Lee, Wi; Wook Lee, Sang

    2015-01-01

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices. PMID:25959389

  9. Stencil nano lithography based on a nanoscale polymer shadow mask: towards organic nanoelectronics.

    PubMed

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E B; Hyoung Lee, Wi; Wook Lee, Sang

    2015-05-11

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices.

  10. Improved Astronomical Instrumentation for the Far Ultra-Violet

    NASA Astrophysics Data System (ADS)

    Witt, Emily M.; Fleming, Brian; Egan, Arika; Tyler, Rachel; Wiley, James

    2018-06-01

    Recent technological advances have opened up new instrument capabilities in the ultraviolet. Of particular interest are advanced deposition processes that have made lithium fluoride (LiF) based mirrors more accessible, achieving greater than 80% broadband reflectivity down into the Lyman UV (100 nm). Traditional MgF2 protected aluminum mirrors cut off at 115 nm, missing crucial tracers of warm gas and molecules. The hygroscopic sensitivity of LiF, which adds mission risk and cost, has also been mitigated with a thin capping layer of a more durable substance, making LiF mirrors accessible without onerous environmental procedures. These advances open up a new paradigm in UV astronomy by enabling multi-reflection systems in the Lyman UV. We present recent progress in the testing of eLiF-based optics, and then discuss the potential scientific avenues this opens up in UV astronomy.

  11. Exploring Mercury's Surface in UltraViolet from Orbit

    NASA Astrophysics Data System (ADS)

    Izenberg, N.

    2017-12-01

    The MESSENGER Mission's Ultraviolet and Visible Spectrometer (UVVS) component of its Mercury Atmosphere and Surface Composition Spectrometer (MASCS) instrument obtained approximately 4600 point observations of Mercury's surface in middle ultraviolet (MUV; 210 nm - 300 nm) and far ultraviolet (FUV; 119.1 - 122.5 nm and 129.2 - 131.5 nm) wavelengths over the course of its orbital mission, mostly in Mercury's southern hemisphere. Given the very low (<1 to 2 wt %) average abundance of iron in the silicates of Mercury observed by multiple MESSENGER instruments, the near- to middle-ultraviolet wavelengths encompassing the oxygen metal charge transfer band (<400 nm), which is more sensitive to the presence of iron than the classic 1 micron absorption band, provides potentially useful additional compositional insight into the top layer of Mercury's regolith. The presence of nano- and microphase carbon also has potentially significant expression in the ultraviolet, and the interplay and variation between carbon and iron in mercury surface materials is an active area of investigation. Analysis of middle-UV surface reflectance and parameters appear to support the presence of varying amounts of carbon in different spectral or geologic units on Mercury. Far-UV reflectance data is currently under-utilized, but analysis of lunar surface by the Lunar Reconnaissance Orbiter (LRO) Lyman Alpha Mapping Project (LAMP) indicate that the data are sensitive to both composition and space weathering. The far-UV reflectance from MASCS may provide similar information for the Mercury surface, complementing results from longer wavelengths. MESSENGER data products for surface reflectance include middle-UV reflectance spectra, ultraviolet far-UV reflectance values, combined middle-UV through near-infrared spectra (210 nm - 1450 nm), a global `spectral cube' of near-UV to near-IR, and an upcoming UV spectral cube.

  12. Lithography-induced limits to scaling of design quality

    NASA Astrophysics Data System (ADS)

    Kahng, Andrew B.

    2014-03-01

    Quality and value of an IC product are functions of power, performance, area, cost and reliability. The forthcoming 2013 ITRS roadmap observes that while manufacturers continue to enable potential Moore's Law scaling of layout densities, the "realizable" scaling in competitive products has for some years been significantly less. In this paper, we consider aspects of the question, "To what extent should this scaling gap be blamed on lithography?" Non-ideal scaling of layout densities has been attributed to (i) layout restrictions associated with multi-patterning technologies (SADP, LELE, LELELE), as well as (ii) various ground rule and layout style choices that stem from misalignment, reliability, variability, device architecture, and electrical performance vs. power constraints. Certain impacts seem obvious, e.g., loss of 2D flexibility and new line-end placement constraints with SADP, or algorithmically intractable layout stitching and mask coloring formulations with LELELE. However, these impacts may well be outweighed by weaknesses in design methodology and tooling. Arguably, the industry has entered a new era in which many new factors - (i) standard-cell library architecture, and layout guardbanding for automated place-and-route: (ii) performance model guardbanding and signoff analyses: (iii) physical design and manufacturing handoff algorithms spanning detailed placement and routing, stitching and RET; and (iv) reliability guardbanding - all contribute, hand in hand with lithography, to a newly-identified "design capability gap". How specific aspects of process and design enablements limit the scaling of design quality is a fundamental question whose answer must guide future RandD investment at the design-manufacturing interface. terface.

  13. Resist development status for immersion lithography

    NASA Astrophysics Data System (ADS)

    Tsuji, Hiromitsu; Yoshida, Masaaki; Ishizuka, Keita; Hirano, Tomoyuki; Endo, Kotaro; Sato, Mitsuru

    2005-05-01

    Immersion lithography has already demonstrated superior performance for next generation semiconductor manufacturing, while some challenges with contact immersion fluids and resist still remain. There are many interactions to be considered with regards to the solid and liquid interface. Resist elusion in particular requires very careful attention since the impact on the lens and fluid supply system in exposure tool could pose a significant risk at the manufacturing stage. TOK developed a screening procedure to detect resist elution of ion species down to ppb levels during non and post exposure steps. It was found that the PAG cation elution is affected by molecular weight and structure while the PAG anion elution was dependent on the molecular structure and mobility. In this paper, lithographic performance is also discussed with the low elution type resist.

  14. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  15. Conventional and modified Schwarzschild objective for EUV lithography: design relations

    NASA Astrophysics Data System (ADS)

    Bollanti, S.; di Lazzaro, P.; Flora, F.; Mezi, L.; Murra, D.; Torre, A.

    2006-12-01

    The design criteria of a Schwarzschild-type optical system are reviewed in relation to its use as an imaging system in an extreme ultraviolet lithography setup. Both the conventional and the modified reductor imaging configurations are considered, and the respective performances, as far as the geometrical resolution in the image plane is concerned, are compared. In this connection, a formal relation defining the modified configuration is elaborated, refining a rather naïve definition presented in an earlier work. The dependence of the geometrical resolution on the image-space numerical aperture for a given magnification is investigated in detail for both configurations. So, the advantages of the modified configuration with respect to the conventional one are clearly evidenced. The results of a semi-analytical procedure are compared with those obtained from a numerical simulation performed by an optical design program. The Schwarzschild objective based system under implementation at the ENEA Frascati Center within the context of the Italian FIRB project for EUV lithography has been used as a model. Best-fit functions accounting for the behaviour of the system parameters vs. the numerical aperture are reported; they can be a useful guide for the design of Schwarzschild objective type optical systems.

  16. 75 FR 36630 - Carbazole Violet Pigment 23 from the People's Republic of China: Final Results of Antidumping...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-06-28

    ... from the People's Republic of China: Final Results of Antidumping Duty Administrative Review AGENCY... the People's Republic of China (PRC). See Carbazole Violet Pigment 23 From the People's Republic of... (December 29, 2009) (Preliminary Results). This administrative review covers one exporter of the subject...

  17. Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyoung Ryu, Yu; Garcia, Ricardo, E-mail: r.garcia@csic.es; Aitor Postigo, Pablo

    2014-06-02

    Silicon nanowires are key elements to fabricate very sensitive mechanical and electronic devices. We provide a method to fabricate sub-12 nm silicon nanowires in thickness by combining oxidation scanning probe lithography and anisotropic dry etching. Extremely thin oxide masks (0.3–1.1 nm) are transferred into nanowires of 2–12 nm in thickness. The width ratio between the mask and the silicon nanowire is close to one which implies that the nanowire width is controlled by the feature size of the nanolithography. This method enables the fabrication of very small single silicon nanowires with cross-sections below 100 nm{sup 2}. Those values are the smallest obtained withmore » a top-down lithography method.« less

  18. Photonic integrated circuits: new challenges for lithography

    NASA Astrophysics Data System (ADS)

    Bolten, Jens; Wahlbrink, Thorsten; Prinzen, Andreas; Porschatis, Caroline; Lerch, Holger; Giesecke, Anna Lena

    2016-10-01

    In this work routes towards the fabrication of photonic integrated circuits (PICs) and the challenges their fabrication poses on lithography, such as large differences in feature dimension of adjacent device features, non-Manhattan-type features, high aspect ratios and significant topographic steps as well as tight lithographic requirements with respect to critical dimension control, line edge roughness and other key figures of merit not only for very small but also for relatively large features, are highlighted. Several ways those challenges are faced in today's low-volume fabrication of PICs, including the concept multi project wafer runs and mix and match approaches, are presented and possible paths towards a real market uptake of PICs are discussed.

  19. Designable ultra-smooth ultra-thin solid-electrolyte interphases of three alkali metal anodes.

    PubMed

    Gu, Yu; Wang, Wei-Wei; Li, Yi-Juan; Wu, Qi-Hui; Tang, Shuai; Yan, Jia-Wei; Zheng, Ming-Sen; Wu, De-Yin; Fan, Chun-Hai; Hu, Wei-Qiang; Chen, Zhao-Bin; Fang, Yuan; Zhang, Qing-Hong; Dong, Quan-Feng; Mao, Bing-Wei

    2018-04-09

    Dendrite growth of alkali metal anodes limited their lifetime for charge/discharge cycling. Here, we report near-perfect anodes of lithium, sodium, and potassium metals achieved by electrochemical polishing, which removes microscopic defects and creates ultra-smooth ultra-thin solid-electrolyte interphase layers at metal surfaces for providing a homogeneous environment. Precise characterizations by AFM force probing with corroborative in-depth XPS profile analysis reveal that the ultra-smooth ultra-thin solid-electrolyte interphase can be designed to have alternating inorganic-rich and organic-rich/mixed multi-layered structure, which offers mechanical property of coupled rigidity and elasticity. The polished metal anodes exhibit significantly enhanced cycling stability, specifically the lithium anodes can cycle for over 200 times at a real current density of 2 mA cm -2 with 100% depth of discharge. Our work illustrates that an ultra-smooth ultra-thin solid-electrolyte interphase may be robust enough to suppress dendrite growth and thus serve as an initial layer for further improved protection of alkali metal anodes.

  20. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    PubMed

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  1. Aberration-Corrected Electron Beam Lithography at the One Nanometer Length Scale

    DOE PAGES

    Manfrinato, Vitor R.; Stein, Aaron; Zhang, Lihua; ...

    2017-04-18

    Patterning materials efficiently at the smallest length scales has been a longstanding challenge in nanotechnology. Electron-beam lithography (EBL) is the primary method for patterning arbitrary features, but EBL has not reliably provided sub-4 nm patterns. The few competing techniques that have achieved this resolution are orders of magnitude slower than EBL. In this work, we employed an aberration-corrected scanning transmission electron microscope for lithography to achieve unprecedented resolution. Here we show aberration-corrected EBL at the one nanometer length scale using poly(methyl methacrylate) (PMMA) and have produced both the smallest isolated feature in any conventional resist (1.7 ± 0.5 nm) andmore » the highest density patterns in PMMA (10.7 nm pitch for negative-tone and 17.5 nm pitch for positive-tone PMMA). We also demonstrate pattern transfer from the resist to semiconductor and metallic materials at the sub-5 nm scale. These results indicate that polymer-based nanofabrication can achieve feature sizes comparable to the Kuhn length of PMMA and ten times smaller than its radius of gyration. Use of aberration-corrected EBL will increase the resolution, speed, and complexity in nanomaterial fabrication.« less

  2. HPLC Determination and MS Confirmation of Malachite Green, Gentian Violet, and Their Leuco Metabolites in Catfish Muscle

    USDA-ARS?s Scientific Manuscript database

    Residues of malachite green (MG), gentian violet (GV), and their leuco metabolites in catfish muscle were individually determined by HPLC using visible and fluorescence detectors. This detection scheme obviated a PbO2 column that converts leuco forms to chromatic forms for visible detection, thus el...

  3. Wastewater Disinfectants: Many Called--Few Chosen

    ERIC Educational Resources Information Center

    Smith, James W.

    1978-01-01

    Gives a comparative study of disinfectants used to rid wastewater of pathogens. Concentrates on the effects of chlorine and ozone, with some mention of ultra-violet irradiation, bromine chloride, and chlorine dioxide. (MA)

  4. The range and intensity of backscattered electrons for use in the creation of high fidelity electron beam lithography patterns.

    PubMed

    Czaplewski, David A; Holt, Martin V; Ocola, Leonidas E

    2013-08-02

    We present a set of universal curves that predict the range and intensity of backscattered electrons which can be used in conjunction with electron beam lithography to create high fidelity nanoscale patterns. The experimental method combines direct write dose, backscattered dose, and a self-reinforcing pattern geometry to measure the dose provided by backscattered electrons to a nanoscale volume on the substrate surface at various distances from the electron source. Electron beam lithography is used to precisely control the number and position of incident electrons on the surface of the material. Atomic force microscopy is used to measure the height of the negative electron beam lithography resist. Our data shows that the range and the intensity of backscattered electrons can be predicted using the density and the atomic number of any solid material, respectively. The data agrees with two independent Monte Carlo simulations without any fitting parameters. These measurements are the most accurate electron range measurements to date.

  5. Development of new resist materials for 193-nm dry and immersion lithography

    NASA Astrophysics Data System (ADS)

    Sasaki, Takashi; Shirota, Naoko; Takebe, Yoko; Yokokoji, Osamu

    2006-03-01

    We earlier developed new monocyclic fluoropolymers (FUGU) for F II resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100 °C). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.

  6. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  7. Molecular dynamics modeling framework for overcoming nanoshape retention limits of imprint lithography

    NASA Astrophysics Data System (ADS)

    Cherala, Anshuman; Sreenivasan, S. V.

    2018-12-01

    Complex nanoshaped structures (nanoshape structures here are defined as shapes enabled by sharp corners with radius of curvature <5 nm) have been shown to enable emerging nanoscale applications in energy, electronics, optics, and medicine. This nanoshaped fabrication at high throughput is well beyond the capabilities of advanced optical lithography. While the highest-resolution e-beam processes (Gaussian beam tools with non-chemically amplified resists) can achieve <5 nm resolution, this is only available at very low throughputs. Large-area e-beam processes, needed for photomasks and imprint templates, are limited to 18 nm half-pitch lines and spaces and 20 nm half-pitch hole patterns. Using nanoimprint lithography, we have previously demonstrated the ability to fabricate precise diamond-like nanoshapes with 3 nm radius corners over large areas. An exemplary shaped silicon nanowire ultracapacitor device was fabricated with these nanoshaped structures, wherein the half-pitch was 100 nm. The device significantly exceeded standard nanowire capacitor performance (by 90%) due to relative increase in surface area per unit projected area, enabled by the nanoshape. Going beyond the previous work, in this paper we explore the scaling of these nanoshaped structures to 10 nm half-pitch and below. At these scales a new "shape retention" resolution limit is observed due to polymer relaxation in imprint resists, which cannot be predicted with a linear elastic continuum model. An all-atom molecular dynamics model of the nanoshape structure was developed here to study this shape retention phenomenon and accurately predict the polymer relaxation. The atomistic framework is an essential modeling and design tool to extend the capability of imprint lithography to sub-10 nm nanoshapes. This framework has been used here to propose process refinements that maximize shape retention, and design template assist features (design for nanoshape retention) to achieve targeted nanoshapes.

  8. Fabrication and Characterization of Three Dimensional Photonic Crystals Generated by Multibeam Interference Lithography

    ERIC Educational Resources Information Center

    Chen, Ying-Chieh

    2009-01-01

    Multibeam interference lithography is investigated as a manufacturing technique for three-dimensional photonic crystal templates. In this research, optimization of the optical setup and the photoresist initiation system leads to a significant improvement of the optical quality of the crystal, as characterized by normal incidence optical…

  9. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  10. Self-assembly and nanosphere lithography for large-area plasmonic patterns on graphene.

    PubMed

    Lotito, Valeria; Zambelli, Tomaso

    2015-06-01

    Plasmonic structures on graphene can tailor its optical properties, which is essential for sensing and optoelectronic applications, e.g. for the enhancement of photoresponsivity of graphene photodetectors. Control over their structural and, hence, spectral properties can be attained by using electron beam lithography, which is not a viable solution for the definition of patterns over large areas. For the fabrication of large-area plasmonic nanostructures, we propose to use self-assembled monolayers of nanospheres as a mask for metal evaporation and etching processes. An optimized approach based on self-assembly at air/water interface with a properly designed apparatus allows the attainment of monolayers of hexagonally closely packed patterns with high long-range order and large area coverage; special strategies are devised in order to protect graphene against damage resulting from surface treatment and further processing steps such as reactive ion etching, which could potentially impair graphene properties. Therefore we demonstrate that nanosphere lithography is a cost-effective solution to create plasmonic patterns on graphene. Copyright © 2014 Elsevier Inc. All rights reserved.

  11. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    NASA Astrophysics Data System (ADS)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  12. Dr.LiTHO: a development and research lithography simulator

    NASA Astrophysics Data System (ADS)

    Fühner, Tim; Schnattinger, Thomas; Ardelean, Gheorghe; Erdmann, Andreas

    2007-03-01

    This paper introduces Dr.LiTHO, a research and development oriented lithography simulation environment developed at Fraunhofer IISB to flexibly integrate our simulation models into one coherent platform. We propose a light-weight approach to a lithography simulation environment: The use of a scripting (batch) language as an integration platform. Out of the great variety of different scripting languages, Python proved superior in many ways: It exhibits a good-natured learning-curve, it is efficient, available on virtually any platform, and provides sophisticated integration mechanisms for existing programs. In this paper, we will describe the steps, required to provide Python bindings for existing programs and to finally generate an integrated simulation environment. In addition, we will give a short introduction into selected software design demands associated with the development of such a framework. We will especially focus on testing and (both technical and user-oriented) documentation issues. Dr.LiTHO Python files contain not only all simulation parameter settings but also the simulation flow, providing maximum flexibility. In addition to relatively simple batch jobs, repetitive tasks can be pooled in libraries. And as Python is a full-blown programming language, users can add virtually any functionality, which is especially useful in the scope of simulation studies or optimization tasks, that often require masses of evaluations. Furthermore, we will give a short overview of the numerous existing Python packages. Several examples demonstrate the feasibility and productiveness of integrating Python packages into custom Dr.LiTHO scripts.

  13. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  14. 75 FR 81643 - In the Matter of Certain Semiconductor Products Made by Advanced Lithography Techniques and...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-12-28

    ... Semiconductor Products Made by Advanced Lithography Techniques and Products Containing Same; Notice of... Mexico) (``STC''), alleging a violation of section 337 in the importation, sale for [[Page 81644

  15. Subwavelength optical lithography via classical light: A possible implementation

    NASA Astrophysics Data System (ADS)

    You, Jieyu; Liao, Zeyang; Hemmer, P. R.; Zubairy, M. Suhail

    2018-04-01

    The resolution of an interferometric optical lithography system is about the half wavelength of the illumination light. We proposed a method based on Doppleron resonance to achieve a resolution beyond half wavelength [Phys. Rev. Lett. 96, 163603 (2006), 10.1103/PhysRevLett.96.163603]. Here, we analyze a possible experimental demonstration of this method in the negatively charged silicon-vacancy (SiV-) system by considering realistic experimental parameters. Our results show that quarter wavelength resolution and beyond can be achieved in this system even in room temperature without using perturbation theory.

  16. Multichannel silicon WDM ring filters fabricated with DUV lithography

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  17. Optical and thermogravimetric analysis of Zn1-xCuxS/PVA nanocomposite films

    NASA Astrophysics Data System (ADS)

    Mohamed, Mohamed Bakr; Heiba, Zein K.; Imam, N. G.

    2018-07-01

    Cu doped ZnS nanoparticles with cubic blend structure had been prepared successfully through thermolysis route and then composited with poly vinyl alcohol using casting method. Zn1-xCuxS/PVA nanocomposites were characterized using different characterization techniques. The quantum dot nature of the ZnS:Cu phase was confirmed by transmission electron microscope technique. Thermal stability was studied by thermogravimetric analysis. The ultra violet measurements illustrated that addition of Zn1-xCuxS nanoparticles to PVA matrix increased the film absorbance. Furthermore, the energy gap and refractive index of the composites were obtained from ultra violet and photoluminescence spectrophotometers. The photoluminescence spectra of ZnS:Cu/PVA nanocomposite films demonstrated a quite broad emission peak at 435 nm with highest photoluminescence intensity in nanocomposite doped with 1% Cu.

  18. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  19. Conservation assessment for great-spurred violet in the Black Hills National Forest, South Dakota and Wyoming

    Treesearch

    J. Hope Hornbeck; Carolyn Hull Sieg; Deanna J. Reyher

    2003-01-01

    Great-spurred violet (Viola selkirkii Pursh ex Goldie; Violaceae) is an early spring flowering herb that occurs in the boreal and Rocky Mountain regions of North America, and cool temperate regions of Eurasia, eastern China and Japan. In the Black Hills, the species is restricted to spruce-dominated forests in cold, shady ravines from 5,400 to 7,000...

  20. Compliance of Ultra-Orthodox and secular pedestrians with traffic lights in Ultra-Orthodox and secular locations.

    PubMed

    Rosenbloom, Tova; Shahar, Amit; Perlman, Amotz

    2008-11-01

    Following a previous study that revealed the disobedience of Ultra-Orthodox citizens, as compared to secular citizens, of traffic lights at crosswalks, the present study examined the road habits of 995 Ultra-Orthodox and secular pedestrians in neighboring Ultra-Orthodox and secular cities. Using an observation grid designed specially for this study, the pedestrians were observed at two crosswalks--one in an Ultra-Orthodox city and one in a secular city--as far as similar traffic parameters, using a logistic regression. The tendency to cross on a red light was assessed as a function of estimated age, gender, religiosity, location (religious/secular), the duration of the red light, the number of vehicles crossing and the number of pedestrians waiting at the curb. Ultra-Orthodox pedestrians committed more violations than secular pedestrians did, and there were more road violations in the Ultra-Orthodox location than there were in the secular location. Fewer traffic violations were committed by "local" pedestrians (Ultra-Orthodox pedestrians in the Ultra-Orthodox location and secular pedestrians in the secular location) than by "foreigners" (Ultra-Orthodox pedestrians in the secular location and secular pedestrians in the Ultra-Orthodox location). The odds of crossing on a red light decreased as a function of both the number of people waiting at the curb and the number of vehicles. Consistent with previous research, males crossed on red much more than females did, regardless of religiosity and location. Our discussion focuses on theoretical and practical explanations of the findings.

  1. Imprint Characteristics by Photo-Induced Solidification of Liquid Polymer

    NASA Astrophysics Data System (ADS)

    Komuro, Masanori; Taniguchi, Jun; Inoue, Seiji; Kimura, Naoya; Tokano, Yuji; Hiroshima, Hiroshi; Matsui, Shinji

    2000-12-01

    Nanoimprint lithography is an attractive technology for LSIs era below 40-nm critical dimension from the viewpoints of high-throughput and low-cost equipment. In order to avoid a pattern placement error due to thermal expansion in the conventional thermal imprint process, we attempted to replicate the mold pattern onto a liquid polymer, which was solidified using ultra-violet (UV) light irradiation at room temperature. The liquid polymer used here was supplied by TEIJIN SEIKI Co., and termed TSR-820. It was spin coated on slide glass to produce approximately 1.5-μm-thick polymer film. The thickness remained after UV exposure and rinsing in acetone was observed at the dose of 10 J/cm2 and it saturated about a UV exposure dose of 100 J/cm2 with an increase in the exposure dose. The mold fabricated of quartz plate was first pressed onto the polymer film at about 100 kg/cm2 and then the UV light was irradiated using an imprint apparatus developed for this work. After releasing the mold from the film, the substrate was rinsed in acetone to remove the residual liquid polymer. Eventually the minimum feature size of 100-nm line and 300-nm space pattern was successfully replicated in the polymer with good fidelity.

  2. Enhanced infrared transmission through subwavelength hole arrays in a thin gold film mounted with dielectric micro-domes

    NASA Astrophysics Data System (ADS)

    Kumar, Raghwendra; Ramakrishna, S. Anantha

    2018-04-01

    Dielectric micro-domes were mounted on the subwavelength holes of a periodically perforated gold film such that a lens-like micro-dome covers each hole. In comparison to the extraordinary transmission through an array of bare holes in the gold film, this structure showed a further enhanced transmission over a larger range of incident angles with much larger bandwidth at mid-wave infrared wavelengths (3-4.5~μ m). The structure was fabricated using laser interference lithography, a novel back-exposure with an ultra-violet laser, and lift-off process that left behind the micro-domes of SU-8, covering each of the holes in the gold film. The measured transmittance of these perforated gold films, with and without the micro-domes, was verified by electromagnetic wave simulations. The enhanced transmittance arises from the scattered electromagnetic fields of the micro-domes, which couple the incident light efficiently via the scattered near-fields into the waveguide modes of holes in the plasmonic film. The increased transmittance and the highly enhanced and localized near-fields can be used to enhance the photo-response of infrared detectors over relevant bands, for example, the 3-4.5~μ m band that is used for thermal imaging applications.

  3. 75 FR 23239 - Carbazole Violet Pigment 23 From India: Extension of Time Limit for Final Results of Antidumping...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-03

    ... Register the preliminary results of the administrative review of the antidumping duty order on CVP 23 from... DEPARTMENT OF COMMERCE International Trade Administration [A-533-838] Carbazole Violet Pigment 23 From India: Extension of Time Limit for Final Results of Antidumping Duty Administrative Review AGENCY...

  4. Optimized filtration for reduced defectivity and improved dispense recipe in 193-nm BARC lithography

    NASA Astrophysics Data System (ADS)

    Do, Phong; Pender, Joe; Lehmann, Thomas; Mc Ardle, Leo P.; Gotlinsky, Barry; Mesawich, Michael

    2004-05-01

    The implementation of 193 nm lithography into production has been complicated by high defectivity issues. Many companies have been struggling with high defect densities, forcing process and lithography engineers to focus their efforts on chemical filtration instead of process development. After-etch defects have complicated the effort to reduce this problem. In particular it has been determined that chemical filtration at the 90 nm node and below is a crucial item which current industry standard pump recipes and material choices are not able to address. LSI Logic and Pall Corporation have been working together exploring alternative materials and resist pump process parameters to address these issues. These changes will free up process development time by reducing these high defect density issues. This paper provides a fundamental understanding of how 20nm filtration combined with optimized resist pump set-up and dispense can significantly reduce defects in 193nm lithography. The purpose of this study is to examine the effectiveness of 20 nanometer rated filters to reduce various defects observed in bottom anti reflective coating materials. Multiple filter types were installed on a Tokyo Electron Limited Clean Track ACT8 tool utilizing two-stage resist pumps. Lithographic performance of the filtered resist and defect analysis of patterned and non-patterned wafers were performed. Optimized pump start-up and dispense recipes also were evaluated to determine their effect on defect improvements. The track system used in this experiment was a standard production tool and was not modified from its original specifications.

  5. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  6. Age-related changes in ultra-triathlon performances

    PubMed Central

    2012-01-01

    Background The age-related decline in performance has been investigated in swimmers, runners and triathletes. No study has investigated the age-related performance decline in ultra-triathletes. The purpose of this study was to analyse the age-related declines in swimming, cycling, running and overall race time for both Triple Iron ultra-triathlon (11.4-km swimming, 540-km cycling and 126.6-km running) and Deca Iron ultra-triathlon (38-km swimming, 1,800-km cycling and 420-km running). Methods The age and performances of 423 male Triple Iron ultra-triathletes and 119 male Deca Iron ultra-triathletes were analysed from 1992 to 2010 using regression analyses and ANOVA. Results The mean age of the finishers was significantly higher for Deca Iron ultra-triathletes (41.3 ± 3.1 years) compared to a Triple Iron ultra-triathletes (38.5 ± 3.3 years) (P < 0.05). For both ultra-distances, the fastest overall race times were achieved between the ages of 25 and 44 years. Deca Iron ultra-triathletes achieved the same level of performance in swimming and cycling between 25 and 54 years of age. Conclusions The magnitudes of age-related declines in performance in the three disciplines of ultra-triathlon differ slightly between Triple and Deca Iron ultra-triathlon. Although the ages of Triple Iron ultra-triathletes were on average younger compared to Deca Iron ultra-triathletes, the fastest race times were achieved between 25 and 44 years for both distances. Further studies should investigate the motivation and training of ultra-triathletes to gain better insights in ultra-triathlon performance. PMID:23849327

  7. 75 FR 25840 - Carbazole Violet Pigment 23 from the People's Republic of China: Extension of Time Limit for the...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-10

    ... DEPARTMENT OF COMMERCE International Trade Administration [A-570-892] Carbazole Violet Pigment 23... Administrative Review AGENCY: Import Administration, International Trade Administration, Department of Commerce... Department) published the preliminary results of the administrative review of the antidumping duty order on...

  8. Up-conversion media on basis single crystals BaY2F8 for UV and VUV solid state lasers

    NASA Astrophysics Data System (ADS)

    Pushkar, A. A.; Ouvarova, T. V.; Molchanov, V. N.

    2007-04-01

    Crystal BaY IIF 8 represents the big interest as the perspective active media for lasers ultra-violet (UV) and vacuumultra- violet (VUV) regions. For the decision of problems with solarization this media and a choice of sources pump it is offered to use up-conversion mechanisms pump with activators from rare-earth elements (RE). We have developed technology of grown of oriented monocrystals BaY IIF 8, have defined influence of orientation on growth rate and quality ofthe received monocrystals.

  9. 75 FR 44015 - Certain Semiconductor Products Made by Advanced Lithography Techniques and Products Containing...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-07-27

    ... INTERNATIONAL TRADE COMMISSION [Inv. No. 337-TA-729] Certain Semiconductor Products Made by... the sale within the United States after importation of certain semiconductor products made by advanced lithography techniques and products containing same by reason of infringement of certain claims of U.S. Patent...

  10. Ultra-portable, wireless smartphone spectrometer for rapid, non-destructive testing of fruit ripeness.

    PubMed

    Das, Anshuman J; Wahi, Akshat; Kothari, Ishan; Raskar, Ramesh

    2016-09-08

    We demonstrate a smartphone based spectrometer design that is standalone and supported on a wireless platform. The device is inherently low-cost and the power consumption is minimal making it portable to carry out a range of studies in the field. All essential components of the device like the light source, spectrometer, filters, microcontroller and wireless circuits have been assembled in a housing of dimensions 88 mm × 37 mm × 22 mm and the entire device weighs 48 g. The resolution of the spectrometer is 15 nm, delivering accurate and repeatable measurements. The device has a dedicated app interface on the smartphone to communicate, receive, plot and analyze spectral data. The performance of the smartphone spectrometer is comparable to existing bench-top spectrometers in terms of stability and wavelength resolution. Validations of the device were carried out by demonstrating non-destructive ripeness testing in fruit samples. Ultra-Violet (UV) fluorescence from Chlorophyll present in the skin was measured across various apple varieties during the ripening process and correlated with destructive firmness tests. A satisfactory agreement was observed between ripeness and fluorescence signals. This demonstration is a step towards possible consumer, bio-sensing and diagnostic applications that can be carried out in a rapid manner.

  11. Ultra-portable, wireless smartphone spectrometer for rapid, non-destructive testing of fruit ripeness

    NASA Astrophysics Data System (ADS)

    Das, Anshuman J.; Wahi, Akshat; Kothari, Ishan; Raskar, Ramesh

    2016-09-01

    We demonstrate a smartphone based spectrometer design that is standalone and supported on a wireless platform. The device is inherently low-cost and the power consumption is minimal making it portable to carry out a range of studies in the field. All essential components of the device like the light source, spectrometer, filters, microcontroller and wireless circuits have been assembled in a housing of dimensions 88 mm × 37 mm × 22 mm and the entire device weighs 48 g. The resolution of the spectrometer is 15 nm, delivering accurate and repeatable measurements. The device has a dedicated app interface on the smartphone to communicate, receive, plot and analyze spectral data. The performance of the smartphone spectrometer is comparable to existing bench-top spectrometers in terms of stability and wavelength resolution. Validations of the device were carried out by demonstrating non-destructive ripeness testing in fruit samples. Ultra-Violet (UV) fluorescence from Chlorophyll present in the skin was measured across various apple varieties during the ripening process and correlated with destructive firmness tests. A satisfactory agreement was observed between ripeness and fluorescence signals. This demonstration is a step towards possible consumer, bio-sensing and diagnostic applications that can be carried out in a rapid manner.

  12. What predicts performance in ultra-triathlon races? – a comparison between Ironman distance triathlon and ultra-triathlon

    PubMed Central

    Knechtle, Beat; Zingg, Matthias Alexander; Rosemann, Thomas; Stiefel, Michael; Rüst, Christoph Alexander

    2015-01-01

    Objective This narrative review summarizes recent intentions to find potential predictor variables for ultra-triathlon race performance (ie, triathlon races longer than the Ironman distance covering 3.8 km swimming, 180 km cycling, and 42.195 km running). Results from studies on ultra-triathletes were compared to results on studies on Ironman triathletes. Methods A literature search was performed in PubMed using the terms “ultra”, “triathlon”, and “performance” for the aspects of “ultra-triathlon”, and “Ironman”, “triathlon”, and “performance” for the aspects of “Ironman triathlon”. All resulting papers were searched for related citations. Results for ultra-triathlons were compared to results for Ironman-distance triathlons to find potential differences. Results Athletes competing in Ironman and ultra-triathlon differed in anthropometric and training characteristics, where both Ironmen and ultra-triathletes profited from low body fat, but ultra-triathletes relied more on training volume, whereas speed during training was related to Ironman race time. The most important predictive variables for a fast race time in an ultra-triathlon from Double Iron (ie, 7.6 km swimming, 360 km cycling, and 84.4 km running) and longer were male sex, low body fat, age of 35–40 years, extensive previous experience, a fast time in cycling and running but not in swimming, and origins in Central Europe. Conclusion Any athlete intending to compete in an ultra-triathlon should be aware that low body fat and high training volumes are highly predictive for overall race time. Little is known about the physiological characteristics of these athletes and about female ultra-triathletes. Future studies need to investigate anthropometric and training characteristics of female ultra-triathletes and what motivates women to compete in these races. Future studies need to correlate physiological characteristics such as maximum oxygen uptake (VO2max) with ultra

  13. Novel organosilicone materials and patterning techniques for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Pina, Carlos Alberto

    Nanoimprint Lithography (NIL) is a high-throughput patterning technique that allows the fabrication of nanostructures with great precision. It has been listed on the International Technology Roadmap for Semiconductors (ITRS) as a candidate technology for future generation Si chip manufacturing. In nanoimprint Lithography a resist material, e.g. a thermoplastic polymer, is placed in contact with a mold and then mechanically deformed under an applied load to transfer the nano-features on the mold surface into the resist. The success of NIL relies heavily in the capability of fabricating nanostructures on different types of materials. Thus, a key factor for NIL implementation in industrial settings is the development of advanced materials suitable as the nanoimprint resist. This dissertation focuses on the engineering of new polymer materials suitable as NIL resist. A variety of silicone-based polymer precursors were synthesized and formulated for NIL applications. High throughput and high yield nanopatterning was successfully achieved. Furthermore, additional capabilities of the developed materials were explored for a range of NIL applications such as their use as flexible, UV-transparent stamps and silicon compatible etching layers. Finally, new strategies were investigated to expand the NIL potentiality. High throughput, non-residual layer imprinting was achieved with the newly developed resist materials. In addition, several strategies were designed for the precise control of nanoscale size patterned structures with multifunctional resist systems by post-imprinting modification of the pattern size. These developments provide NIL with a new set of tools for a variety of additional important applications.

  14. Physiology and Pathophysiology in Ultra-Marathon Running

    PubMed Central

    Knechtle, Beat; Nikolaidis, Pantelis T.

    2018-01-01

    In this overview, we summarize the findings of the literature with regards to physiology and pathophysiology of ultra-marathon running. The number of ultra-marathon races and the number of official finishers considerably increased in the last decades especially due to the increased number of female and age-group runners. A typical ultra-marathoner is male, married, well-educated, and ~45 years old. Female ultra-marathoners account for ~20% of the total number of finishers. Ultra-marathoners are older and have a larger weekly training volume, but run more slowly during training compared to marathoners. Previous experience (e.g., number of finishes in ultra-marathon races and personal best marathon time) is the most important predictor variable for a successful ultra-marathon performance followed by specific anthropometric (e.g., low body mass index, BMI, and low body fat) and training (e.g., high volume and running speed during training) characteristics. Women are slower than men, but the sex difference in performance decreased in recent years to ~10–20% depending upon the length of the ultra-marathon. The fastest ultra-marathon race times are generally achieved at the age of 35–45 years or older for both women and men, and the age of peak performance increases with increasing race distance or duration. An ultra-marathon leads to an energy deficit resulting in a reduction of both body fat and skeletal muscle mass. An ultra-marathon in combination with other risk factors, such as extreme weather conditions (either heat or cold) or the country where the race is held, can lead to exercise-associated hyponatremia. An ultra-marathon can also lead to changes in biomarkers indicating a pathological process in specific organs or organ systems such as skeletal muscles, heart, liver, kidney, immune and endocrine system. These changes are usually temporary, depending on intensity and duration of the performance, and usually normalize after the race. In longer ultra

  15. Physiology and Pathophysiology in Ultra-Marathon Running.

    PubMed

    Knechtle, Beat; Nikolaidis, Pantelis T

    2018-01-01

    In this overview, we summarize the findings of the literature with regards to physiology and pathophysiology of ultra-marathon running. The number of ultra-marathon races and the number of official finishers considerably increased in the last decades especially due to the increased number of female and age-group runners. A typical ultra-marathoner is male, married, well-educated, and ~45 years old. Female ultra-marathoners account for ~20% of the total number of finishers. Ultra-marathoners are older and have a larger weekly training volume, but run more slowly during training compared to marathoners. Previous experience (e.g., number of finishes in ultra-marathon races and personal best marathon time) is the most important predictor variable for a successful ultra-marathon performance followed by specific anthropometric (e.g., low body mass index, BMI, and low body fat) and training (e.g., high volume and running speed during training) characteristics. Women are slower than men, but the sex difference in performance decreased in recent years to ~10-20% depending upon the length of the ultra-marathon. The fastest ultra-marathon race times are generally achieved at the age of 35-45 years or older for both women and men, and the age of peak performance increases with increasing race distance or duration. An ultra-marathon leads to an energy deficit resulting in a reduction of both body fat and skeletal muscle mass. An ultra-marathon in combination with other risk factors, such as extreme weather conditions (either heat or cold) or the country where the race is held, can lead to exercise-associated hyponatremia. An ultra-marathon can also lead to changes in biomarkers indicating a pathological process in specific organs or organ systems such as skeletal muscles, heart, liver, kidney, immune and endocrine system. These changes are usually temporary, depending on intensity and duration of the performance, and usually normalize after the race. In longer ultra

  16. Automated scanning probe lithography with n-alkanethiol self assembled monolayers on Au(111): Application for teaching undergraduate laboratories

    PubMed Central

    Brown, Treva T.; LeJeune, Zorabel M.; Liu, Kai; Hardin, Sean; Li, Jie-Ren; Rupnik, Kresimir; Garno, Jayne C.

    2010-01-01

    Controllers for scanning probe instruments can be programmed for automated lithography to generate desired surface arrangements of nanopatterns of organic thin films, such as n-alkanethiol self-assembled monolayers (SAMs). In this report, atomic force microscopy (AFM) methods of lithography known as nanoshaving and nanografting are used to write nanopatterns within organic thin films. Commercial instruments provide software to control the length, direction, speed, and applied force of the scanning motion of the tip. For nanoshaving, higher forces are applied to an AFM tip to selectively remove regions of the matrix monolayer, exposing bare areas of the gold substrate. Nanografting is accomplished by force-induced displacement of molecules of a matrix SAM, followed immediately by the surface self-assembly of n-alkanethiol molecules from solution. Advancements in AFM automation enable rapid protocols for nanolithography, which can be accomplished within the tight time restraints of undergraduate laboratories. Example experiments with scanning probe lithography (SPL) will be described in this report that were accomplished by undergraduate students during laboratory course activities and research internships in the chemistry department of Louisiana State University. Students were introduced to principles of surface analysis and gained “hands-on” experience with nanoscale chemistry. PMID:21483651

  17. Scanning probes for lithography: Manipulation and devices

    NASA Astrophysics Data System (ADS)

    Rolandi, Marco

    2005-11-01

    Scanning probes are relatively low cost equipment that can push the limit of lithography in the nanometer range, with the advantages of high resolution, accuracy in the positioning of the overlayers and no proximity aberrations. We have developed three novel scanning probe lithography (SPL) resists based on thin films of Titanium, Molybdenum and Tungsten and we have manipulated single walled carbon nanotubes using the sharp tip of an atomic force microscope (AFM) for the fabrication of nanostructures. A dendrimer-passivated Ti film was imaged in the positive and the negative tone using SPL. This is the first example of SPL imaging in both tones using a unique resist. Positive tone patterning was obtained by locally scribing the dendrimer molecules and subsequent acid etch of the deprotected Ti film. Local anodic oxidation transforms Ti into TiO2 and deposits a thin layer of amorphous carbon on the patterned areas. This is very resistive to base etch and affords negative tone imaging of the Ti surface. Molybdenum and Tungsten were patterned using local anodic oxidation. This scheme is particularly flexible thanks to the solubility in water of the fully oxidized states of the two metals. We will present the facile fabrication of several nanostructures such as of trenches, dots wires and nanoelectrodes and show the potential of this scheme for competing with conventional lithographic techniques based on radiation. Quasi one dimensional electrodes for molecular electronics applications were also fabricated by creating nanogaps in single walled carbon nanotubes. The tubes, connected to microscopic contacts, were controllably cut via local anodic oxidation using the tip of the AFM. This technique leads to nanoscopic carboxyl terminated wires to which organic molecules can be linked using covalent chemistry. This geometry is particularly useful for the high gate efficiency without the need of a thin gate dielectric and the stability of the junction. Room temperature and

  18. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  19. The response characteristics of tetrazolium violet solutions to gamma irradiation

    NASA Astrophysics Data System (ADS)

    Emi-Reynolds, G.; Kovács, András; Fletcher, J. J.

    2007-08-01

    The dosimetry characteristics of various solutions of tetrazolium violet, TV, (2,5-diphenyl-3-(1-naphthyl)-2H-tetrazolium chloride) to gamma irradiation are reported. The optical absorption spectra of these solutions show peaks between 400 and 600 nm with a shoulder at around 550 nm. The dose response of the optical absorbance values of aqueous and aqueous-alcoholic solutions containing different concentrations of TV was measured in the 250 Gy up to 75 kGy dose range. The formation of formazan product was observed due to radiolytic reduction in both solutions. Its formation was found more pronounced in N 2-saturated as well as in alkaline solutions. The results indicate that the 1 mM TV solution can be used for food irradiation and medical sterilization dosimetry at gamma irradiation facilities.

  20. Effect of QW thickness and numbers on performance characteristics of deep violet InGaN MQW lasers

    NASA Astrophysics Data System (ADS)

    Alahyarizadeh, Gh.; Amirhoseiny, M.; Hassan, Z.

    2015-03-01

    The performance characteristics of deep violet indium gallium nitride (InGaN) multiquantum well (MQW) laser diodes (LDs) with an emission wavelength of around 390 nm have been investigated using the integrated system engineering technical computer aided design (ISE-TCAD) software. A comparative study on the effect of quantum well (QW) thickness and number on electrical and optical performance of deep violet In0.082Ga0.918N/GaN MQW LDs have been carried out. The simulation results showed that the highest slope efficiency and external differential quantum efficiency (DQE), as well as the lowest threshold current are obtained when the number of wells is two. The different QW thickness values of 2.2, 2.5, 2.8, 3 and 3.2 nm were compared and the best results were achieved for 2.5 nm QW thickness. The radiative recombination rate decreases with increasing QW thickness because of decreasing electron and hole carrier densities in wells. By increasing QW thickness, output power decreases and threshold current increases.