Sample records for ultra-thin gate oxide

  1. Experimental evidence of mobility enhancement in short-channel ultra-thin body double-gate MOSFETs by magnetoresistance technique

    NASA Astrophysics Data System (ADS)

    Chaisantikulwat, W.; Mouis, M.; Ghibaudo, G.; Cristoloveanu, S.; Widiez, J.; Vinet, M.; Deleonibus, S.

    2007-11-01

    Double-gate transistor with ultra-thin body (UTB) has proved to offer advantages over bulk device for high-speed, low-power applications. There is thus a strong need to obtain an accurate understanding of carrier transport and mobility in such device. In this work, we report for the first time an experimental evidence of mobility enhancement in UTB double-gate (DG) MOSFETs using magnetoresistance mobility extraction technique. Mobility in planar DG transistor operating in single- and double-gate mode is compared. The influence of different scattering mechanisms in the channel is also investigated by obtaining mobility values at low temperatures. The results show a clear mobility improvement in double-gate mode compared to single-gate mode mobility at the same inversion charge density. This is explained by the role of volume inversion in ultra-thin body transistor operating in DG mode. Volume inversion is found to be especially beneficial in terms of mobility gain at low-inversion densities.

  2. Planarized thick copper gate polycrystalline silicon thin film transistors for ultra-large AMOLED displays

    NASA Astrophysics Data System (ADS)

    Yun, Seung Jae; Lee, Yong Woo; Son, Se Wan; Byun, Chang Woo; Reddy, A. Mallikarjuna; Joo, Seung Ki

    2012-08-01

    A planarized thick copper (Cu) gate low temperature polycrystalline silicon (LTPS) thin film transistors (TFTs) is fabricated for ultra-large active-matrix organic light-emitting diode (AMOLED) displays. We introduce a damascene and chemical mechanical polishing process to embed a planarized Cu gate of 500 nm thickness into a trench and Si3N4/SiO2 multilayer gate insulator, to prevent the Cu gate from diffusing into the silicon (Si) layer at 550°C, and metal-induced lateral crystallization (MILC) technology to crystallize the amorphous Si layer. A poly-Si TFT with planarized thick Cu gate exhibits a field effect mobility of 5 cm2/Vs and a threshold voltage of -9 V, and a subthreshold swing (S) of 1.4 V/dec.

  3. Flexible suspended gate organic thin-film transistors for ultra-sensitive pressure detection

    NASA Astrophysics Data System (ADS)

    Zang, Yaping; Zhang, Fengjiao; Huang, Dazhen; Gao, Xike; di, Chong-An; Zhu, Daoben

    2015-03-01

    The utilization of organic devices as pressure-sensing elements in artificial intelligence and healthcare applications represents a fascinating opportunity for the next-generation electronic products. To satisfy the critical requirements of these promising applications, the low-cost construction of large-area ultra-sensitive organic pressure devices with outstanding flexibility is highly desired. Here we present flexible suspended gate organic thin-film transistors (SGOTFTs) as a model platform that enables ultra-sensitive pressure detection. More importantly, the unique device geometry of SGOTFTs allows the fine-tuning of their sensitivity by the suspended gate. An unprecedented sensitivity of 192 kPa-1, a low limit-of-detection pressure of <0.5 Pa and a short response time of 10 ms were successfully realized, allowing the real-time detection of acoustic waves. These excellent sensing properties of SGOTFTs, together with their advantages of facile large-area fabrication and versatility in detecting various pressure signals, make SGOTFTs a powerful strategy for spatial pressure mapping in practical applications.

  4. Flexible suspended gate organic thin-film transistors for ultra-sensitive pressure detection

    PubMed Central

    Zang, Yaping; Zhang, Fengjiao; Huang, Dazhen; Gao, Xike; Di, Chong-an; Zhu, Daoben

    2015-01-01

    The utilization of organic devices as pressure-sensing elements in artificial intelligence and healthcare applications represents a fascinating opportunity for the next-generation electronic products. To satisfy the critical requirements of these promising applications, the low-cost construction of large-area ultra-sensitive organic pressure devices with outstanding flexibility is highly desired. Here we present flexible suspended gate organic thin-film transistors (SGOTFTs) as a model platform that enables ultra-sensitive pressure detection. More importantly, the unique device geometry of SGOTFTs allows the fine-tuning of their sensitivity by the suspended gate. An unprecedented sensitivity of 192 kPa−1, a low limit-of-detection pressure of <0.5 Pa and a short response time of 10 ms were successfully realized, allowing the real-time detection of acoustic waves. These excellent sensing properties of SGOTFTs, together with their advantages of facile large-area fabrication and versatility in detecting various pressure signals, make SGOTFTs a powerful strategy for spatial pressure mapping in practical applications. PMID:25872157

  5. Instability analysis of charges trapped in the oxide of metal-ultra thin oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Aziz, A.; Kassmi, K.; Maimouni, R.; Olivié, F.; Sarrabayrouse, G.; Martinez, A.

    2005-09-01

    In this paper, we present the theoretical and experimental results of the influence of a charge trapped in ultra-thin oxide of metal/ultra-thin oxide/semiconductor structures (MOS) on the I(Vg) current-voltage characteristics when the conduction is of the Fowler-Nordheim (FN) tunneling type. The charge, which is negative, is trapped near the cathode (metal/oxide interface) after constant current injection by the metal (Vg<0). Of particular interest is the influence on the Δ Vg(Vg) shift over the whole I(Vg) characteristic at high field (greater than the injection field (>12.5 MV/cm)). It is shown that the charge centroid varies linearly with respect to the voltage Vg. The behavior at low field (<12.5 MV/cm) is analyzed in référence A. Aziz, K. Kassmi, Ka. Kassmi, F. Olivié, Semicond. Sci. Technol. 19, 877 (2004) and considers that the trapped charge centroid is fixed. The results obtained make it possible to analyze the influence of the injected charge and the applied field on the centroid position of the trapped charge, and to highlight the charge instability in the ultra-thin oxide of MOS structures.

  6. Purely electronic mechanism of electrolyte gating of indium tin oxide thin films

    DOE PAGES

    Leng, X.; Bozovic, I.; Bollinger, A. T.

    2016-08-10

    Epitaxial indium tin oxide films have been grown on both LaAlO 3 and yttria-stabilized zirconia substrates using RF magnetron sputtering. Electrolyte gating causes a large change in the film resistance that occurs immediately after the gate voltage is applied, and shows no hysteresis during the charging/discharging processes. When two devices are patterned next to one another and the first one gated through an electrolyte, the second one shows no changes in conductance, in contrast to what happens in materials (like tungsten oxide) susceptible to ionic electromigration and intercalation. These findings indicate that electrolyte gating in indium tin oxide triggers amore » pure electronic process (electron depletion or accumulation, depending on the polarity of the gate voltage), with no electrochemical reactions involved. Electron accumulation occurs in a very thin layer near the film surface, which becomes highly conductive. These results contribute to our understanding of the electrolyte gating mechanism in complex oxides and may be relevant for applications of electric double layer transistor devices.« less

  7. A uniform doping ultra-thin SOI LDMOS with accumulation-mode extended gate and back-side etching technology

    NASA Astrophysics Data System (ADS)

    Yan-Hui, Zhang; Jie, Wei; Chao, Yin; Qiao, Tan; Jian-Ping, Liu; Peng-Cheng, Li; Xiao-Rong, Luo

    2016-02-01

    A uniform doping ultra-thin silicon-on-insulator (SOI) lateral-double-diffused metal-oxide-semiconductor (LDMOS) with low specific on-resistance (Ron,sp) and high breakdown voltage (BV) is proposed and its mechanism is investigated. The proposed LDMOS features an accumulation-mode extended gate (AG) and back-side etching (BE). The extended gate consists of a P- region and two diodes in series. In the on-state with VGD > 0, an electron accumulation layer is formed along the drift region surface under the AG. It provides an ultra-low resistance current path along the whole drift region surface and thus the novel device obtains a low temperature distribution. The Ron,sp is nearly independent of the doping concentration of the drift region. In the off-state, the AG not only modulates the surface electric field distribution and improves the BV, but also brings in a charge compensation effect to further reduce the Ron,sp. Moreover, the BE avoids vertical premature breakdown to obtain high BV and allows a uniform doping in the drift region, which avoids the variable lateral doping (VLD) and the “hot-spot” caused by the VLD. Compared with the VLD SOI LDMOS, the proposed device simultaneously reduces the Ron,sp by 70.2% and increases the BV from 776 V to 818 V. Project supported by the National Natural Science Foundation of China (Grant Nos. 61176069 and 61376079).

  8. Electron transporting water-gated thin film transistors

    NASA Astrophysics Data System (ADS)

    Al Naim, Abdullah; Grell, Martin

    2012-10-01

    We demonstrate an electron-transporting water-gated thin film transistor, using thermally converted precursor-route zinc-oxide (ZnO) intrinsic semiconductors with hexamethyldisilazene (HMDS) hydrophobic surface modification. Water gated HMDS-ZnO thin film transistors (TFT) display low threshold and high electron mobility. ZnO films constitute an attractive alternative to organic semiconductors for TFT transducers in sensor applications for waterborne analytes. Despite the use of an electrolyte as gate medium, the gate geometry (shape of gate electrode and distance between gate electrode and TFT channel) is relevant for optimum performance of water-gated TFTs.

  9. Ultra-thin Oxide Membranes: Synthesis and Carrier Transport

    NASA Astrophysics Data System (ADS)

    Sim, Jai Sung

    Self-supported freestanding membranes are films that are devoid of any underlying supporting layers. The key advantage of such structures is that, due to the lack of substrate effects - both mechanical and chemical, the true native properties of the material can be probed. This is crucial since many of the studies done on materials that are used as freestanding membranes are done as films clamped to substrates or in the bulk form. This thesis focuses on the synthesis and fabrication as well as electrical studies of free standing ultrathin < 40nm oxide membranes. It also is one of the first demonstrations for electrically probing nanoscale freestanding oxide membranes. Fabrication of such membranes is non-trivial as oxide materials are often brittle and difficult to handle. Therefore, it requires an understanding of thin plate mechanics coupled with controllable thin film deposition process. Taking things a step further, to electrically probe these membranes required design of complex device architecture and extensive optimization of nano-fabrication processes. The challenges and optimized fabrication method of such membranes are demonstrated. Three materials are probed in this study, VO2, TiO2, and CeO2. VO2 for understanding structural considerations for electronic phase change and nature of ionic liquid gating, TiO2 and CeO2 for understanding surface conduction properties and surface chemistry. The VO2 study shows shift in metal-insulator transition (MIT) temperature arising from stress relaxation and opening of the hysteresis. The ionic liquid gating studies showed reversible modulation of channel resistance and allowed distinguishing bulk process from the surface effects. Comparing the ionic liquid gating experiments to hydrogen doping experiments illustrated that ionic liquid gating can be a surface limited electrostatic effect, if the critical voltage threshold is not exceeded. TiO2 study shows creation of non-stoichiometric forms under ion milling. Utilizing

  10. Effect of top gate potential on bias-stress for dual gate amorphous indium-gallium-zinc-oxide thin film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chun, Minkyu; Um, Jae Gwang; Park, Min Sang

    We report the abnormal behavior of the threshold voltage (V{sub TH}) shift under positive bias Temperature stress (PBTS) and negative bias temperature stress (NBTS) at top/bottom gate in dual gate amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). It is found that the PBTS at top gate shows negative transfer shift and NBTS shows positive transfer shift for both top and bottom gate sweep. The shift of bottom/top gate sweep is dominated by top gate bias (V{sub TG}), while bottom gate bias (V{sub BG}) is less effect than V{sub TG}. The X-ray photoelectron spectroscopy (XPS) depth profile provides the evidence of Inmore » metal diffusion to the top SiO{sub 2}/a-IGZO and also the existence of large amount of In{sup +} under positive top gate bias around top interfaces, thus negative transfer shift is observed. On the other hand, the formation of OH{sup −} at top interfaces under the stress of negative top gate bias shows negative transfer shift. The domination of V{sub TG} both on bottom/top gate sweep after PBTS/NBTS is obviously occurred due to thin active layer.« less

  11. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  12. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  13. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    NASA Astrophysics Data System (ADS)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  14. Gate bias stress stability under light irradiation for indium zinc oxide thin-film transistors based on anodic aluminium oxide gate dielectrics

    NASA Astrophysics Data System (ADS)

    Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao

    2011-11-01

    Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.

  15. Positive Bias Instability of Bottom-Gate Zinc Oxide Thin-Film Transistors with a SiOx/SiNx-Stacked Gate Insulator

    NASA Astrophysics Data System (ADS)

    Furuta, Mamoru; Kamada, Yudai; Hiramatsu, Takahiro; Li, Chaoyang; Kimura, Mutsumi; Fujita, Shizuo; Hirao, Takashi

    2011-03-01

    The positive bias instabilities of the zinc oxide thin-film transistors (ZnO TFTs) with a SiOx/SiNx-stacked gate insulator have been investigated. The film quality of a gate insulator of SiOx, which forms an interface with the ZnO channel, was varied by changing the gas mixture ratio of SiH4/N2O/N2 during plasma-enhanced chemical vapor deposition. The positive bias stress endurance of ZnO TFT strongly depended on the deposition condition of the SiOx gate insulator. From the relaxations of the transfer curve shift after imposition of positive bias stress, transfer curves could not be recovered completely without any thermal annealing. A charge trapping in a gate insulator rather than that in bulk ZnO and its interface with a gate insulator is a dominant instability mechanism of ZnO TFTs under positive bias stress.

  16. Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure

    NASA Astrophysics Data System (ADS)

    Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He

    2017-12-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.

  17. Charge transfer from an adsorbed ruthenium-based photosensitizer through an ultra-thin aluminium oxide layer and into a metallic substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gibson, Andrew J.; Temperton, Robert H.; Handrup, Karsten

    2014-06-21

    The interaction of the dye molecule N3 (cis-bis(isothiocyanato)bis(2,2-bipyridyl-4,4′-dicarbo-xylato) -ruthenium(II)) with the ultra-thin oxide layer on a AlNi(110) substrate, has been studied using synchrotron radiation based photoelectron spectroscopy, resonant photoemission spectroscopy, and near edge X-ray absorption fine structure spectroscopy. Calibrated X-ray absorption and valence band spectra of the monolayer and multilayer coverages reveal that charge transfer is possible from the molecule to the AlNi(110) substrate via tunnelling through the ultra-thin oxide layer and into the conduction band edge of the substrate. This charge transfer mechanism is possible from the LUMO+2 and 3 in the excited state but not from the LUMO,more » therefore enabling core-hole clock analysis, which gives an upper limit of 6.0 ± 2.5 fs for the transfer time. This indicates that ultra-thin oxide layers are a viable material for use in dye-sensitized solar cells, which may lead to reduced recombination effects and improved efficiencies of future devices.« less

  18. Frequency-Stable Ionic-Type Hybrid Gate Dielectrics for High Mobility Solution-Processed Metal-Oxide Thin-Film Transistors

    PubMed Central

    Heo, Jae Sang; Choi, Seungbeom; Jo, Jeong-Wan; Kang, Jingu; Park, Ho-Hyun; Kim, Yong-Hoon; Park, Sung Kyu

    2017-01-01

    In this paper, we demonstrate high mobility solution-processed metal-oxide thin-film transistors (TFTs) by using a high-frequency-stable ionic-type hybrid gate dielectric (HGD). The HGD gate dielectric, a blend of sol-gel aluminum oxide (AlOx) and poly(4-vinylphenol) (PVP), exhibited high dielectric constant (ε~8.15) and high-frequency-stable characteristics (1 MHz). Using the ionic-type HGD as a gate dielectric layer, an minimal electron-double-layer (EDL) can be formed at the gate dielectric/InOx interface, enhancing the field-effect mobility of the TFTs. Particularly, using the ionic-type HGD gate dielectrics annealed at 350 °C, InOx TFTs having an average field-effect mobility of 16.1 cm2/Vs were achieved (maximum mobility of 24 cm2/Vs). Furthermore, the ionic-type HGD gate dielectrics can be processed at a low temperature of 150 °C, which may enable their applications in low-thermal-budget plastic and elastomeric substrates. In addition, we systematically studied the operational stability of the InOx TFTs using the HGD gate dielectric, and it was observed that the HGD gate dielectric effectively suppressed the negative threshold voltage shift during the negative-illumination-bias stress possibly owing to the recombination of hole carriers injected in the gate dielectric with the negatively charged ionic species in the HGD gate dielectric. PMID:28772972

  19. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  20. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  1. Surface modification of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors.

    PubMed

    Jang, Kwang-Suk; Wee, Duyoung; Kim, Yun Ho; Kim, Jinsoo; Ahn, Taek; Ka, Jae-Won; Yi, Mi Hye

    2013-06-11

    We report a simple approach to modify the surface of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors. It is expected that the yttrium oxide interlayer will provide a surface that is more chemically compatible with the ZnO semiconductor than is bare polyimde. The field-effect mobility and the on/off current ratio of the ZnO TFT with the YOx/polyimide gate insulator were 0.456 cm(2)/V·s and 2.12 × 10(6), respectively, whereas the ZnO TFT with the polyimide gate insulator was inactive.

  2. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  3. Remarkably high mobility ultra-thin-film metal-oxide transistor with strongly overlapped orbitals

    NASA Astrophysics Data System (ADS)

    Wei Shih, Chen; Chin, Albert; Fu Lu, Chun; Fang Su, Wei

    2016-01-01

    High mobility channel thin-film-transistor (TFT) is crucial for both display and future generation integrated circuit. We report a new metal-oxide TFT that has an ultra-thin 4.5 nm SnO2 thickness for both active channel and source-drain regions, very high 147 cm2/Vs field-effect mobility, high ION/IOFF of 2.3 × 107, small 110 mV/dec sub-threshold slope, and a low VD of 2.5 V for low power operation. This mobility is already better than chemical-vapor-deposition grown multi-layers MoS2 TFT. From first principle quantum-mechanical calculation, the high mobility TFT is due to strongly overlapped orbitals.

  4. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    PubMed Central

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-01-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V−1 sec−1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process. PMID:27184121

  5. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    NASA Astrophysics Data System (ADS)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  6. TOPICAL REVIEW: Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems

    NASA Astrophysics Data System (ADS)

    Stoldt, Conrad R.; Bright, Victor M.

    2006-05-01

    A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film.

  7. Fabrication of ultra thin anodic aluminium oxide membranes by low anodization voltages

    NASA Astrophysics Data System (ADS)

    Pastore, I.; Poplausks, R.; Apsite, I.; Pastare, I.; Lombardi, F.; Erts, D.

    2011-06-01

    Formation of ultrathin anodised aluminium oxide (AAO) membranes with high aspect ratio by Al anodization in sulphuric and oxalic acids at low potentials was investigated. Low anodization potentials ensure slow electrochemical reaction speeds and formation of AAO membranes with pore diameter and thickness below 20 nm and 70 nm respectively. Minimum time necessary for formation of continuous AAO membranes was determined. AAO membrane pore surface was covered with polymer Paraloid B72TM to transport it to the selected substrate. The fabricated ultra thin AAO membranes could be used to fabricate nanodot arrays on different surfaces.

  8. Remarkably high mobility ultra-thin-film metal-oxide transistor with strongly overlapped orbitals

    PubMed Central

    Wei Shih, Chen; Chin, Albert; Fu Lu, Chun; Fang Su, Wei

    2016-01-01

    High mobility channel thin-film-transistor (TFT) is crucial for both display and future generation integrated circuit. We report a new metal-oxide TFT that has an ultra-thin 4.5 nm SnO2 thickness for both active channel and source-drain regions, very high 147 cm2/Vs field-effect mobility, high ION/IOFF of 2.3 × 107, small 110 mV/dec sub-threshold slope, and a low VD of 2.5 V for low power operation. This mobility is already better than chemical-vapor-deposition grown multi-layers MoS2 TFT. From first principle quantum-mechanical calculation, the high mobility TFT is due to strongly overlapped orbitals. PMID:26744240

  9. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO2/Si interfaces with low defect densities

    NASA Astrophysics Data System (ADS)

    Stegemann, Bert; Gad, Karim M.; Balamou, Patrice; Sixtensson, Daniel; Vössing, Daniel; Kasemann, Martin; Angermann, Heike

    2017-02-01

    Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO2/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO2/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO2/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO2/Si interfaces have been shown to generate less interface defect states.

  10. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    PubMed

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  11. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  12. Structural, electronic and chemical properties of metal/oxide and oxide/oxide interfaces and thin film structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lad, Robert J.

    1999-12-14

    This project focused on three different aspects of oxide thin film systems: (1) Model metal/oxide and oxide/oxide interface studies were carried out by depositing ultra-thin metal (Al, K, Mg) and oxide (MgO, AlO{sub x}) films on TiO{sub 2}, NiO and {alpha}-Al{sub 2}O{sub 3} single crystal oxide substrates. (2) Electron cyclotron resonance (ECR) oxygen plasma deposition was used to fabricate AlO{sub 3} and ZrO{sub 2} films on sapphire substrates, and film growth mechanisms and structural characteristics were investigated. (3) The friction and wear characteristics of ZrO{sub 2} films on sapphire substrates in unlubricated sliding contact were studied and correlated with filmmore » microstructure. In these studies, thin film and interfacial regions were characterized using diffraction (RHEED, LEED, XRD), electron spectroscopies (XPS, UPS, AES), microscopy (AFM) and tribology instruments (pin-on-disk, friction microprobe, and scratch tester). By precise control of thin film microstructure, an increased understanding of the structural and chemical stability of interface regions and tribological performance of ultra-thin oxide films was achieved in these important ceramic systems.« less

  13. Analysis of switching characteristics for negative capacitance ultra-thin-body germanium-on-insulator MOSFETs

    NASA Astrophysics Data System (ADS)

    Pi-Ho Hu, Vita; Chiu, Pin-Chieh

    2018-04-01

    The impact of device parameters on the switching characteristics of negative capacitance ultra-thin-body (UTB) germanium-on-insulator (NC-GeOI) MOSFETs is analyzed. NC-GeOI MOSFETs with smaller gate length (L g), EOT, and buried oxide thickness (T box) and thicker ferroelectric layer thickness (T FE) exhibit larger subthreshold swing improvements over GeOI MOSFETs due to better capacitance matching. Compared with GeOI MOSFETs, NC-GeOI MOSFETs exhibit better switching time due to improvements in effective drive current (I eff) and subthreshold swing. NC-GeOI MOSFET exhibits larger ST improvements at V dd = 0.3 V (-82.9%) than at V dd = 0.86 V (-9.7%), because NC-GeOI MOSFET shows 18.2 times higher I eff than the GeOI MOSFET at V dd = 0.3 V, while 2.5 times higher I eff at V dd = 0.86 V. This work provides the device design guideline of NC-GeOI MOSFETs for ultra-low power applications.

  14. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric

    PubMed Central

    Fujii, Mami N.; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-01-01

    The use of indium–gallium–zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic–inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic–inorganic hybrid devices. PMID:26677773

  15. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    PubMed

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  16. Structure of a zinc oxide ultra-thin film on Rh(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yuhara, J.; Kato, D.; Matsui, T.

    The structural parameters of ultra-thin zinc oxide films on Rh(100) are investigated using low-energy electron diffraction intensity (LEED I–V) curves, scanning tunneling microscopy (STM), and first-principles density functional theory (DFT) calculations. From the analysis of LEED I–V curves and DFT calculations, two optimized models A and B are determined. Their structures are basically similar to the planer h-BN ZnO(0001) structure, although some oxygen atoms protrude from the surface, associated with an in-plane shift of Zn atoms. From a comparison of experimental STM images and simulated STM images, majority and minority structures observed in the STM images represent the two optimizedmore » models A and B, respectively.« less

  17. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    PubMed

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  18. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    NASA Astrophysics Data System (ADS)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  19. Photo-Patterned Ion Gel Electrolyte-Gated Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jae-Hong; Gu, Yuanyan; Hong, Kihyun; Frisbie, C. Daniel; Lodge, Timothy P.

    2014-03-01

    We have developed a novel fabrication route to pattern electrolyte thin films in electrolyte-gated transistors (EGTs) using a chemically crosslinkable ABA-triblock copolymer ion gel. In the self-assembly of poly[(styrene-r-vinylbenzylazide)-b-ethylene oxide-b-(styrene-r-vinylbenzylazide)] (SOS-N3) triblock copolymer and the ionic liquid, 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ([EMI][TFSI]), the azide groups of poly(styrene-r-vinylbenzylazide) (PS-N3) end-blocks in the cores can be chemically cross-linked via UV irradiation (λ = 254 nm). Impedance spectroscopy and small-angle X-ray scattering confirmed that ion transport and microstructure of the ion gel are not affected by UV cross-linking. Using this chemical cross-linking strategy, we demonstrate a photo-patterning of ion gels through a patterned mask and the fabricated electrolyte-gated thin film transistors with photo-patterned ion gels as high-capacitance gate insulators exhibited high device performance (low operation voltages and high on/off current ratios).

  20. Charge injection from gate electrode by simultaneous stress of optical and electrical biases in HfInZnO amorphous oxide thin film transistor

    NASA Astrophysics Data System (ADS)

    Kwon, Dae Woong; Kim, Jang Hyun; Chang, Ji Soo; Kim, Sang Wan; Sun, Min-Chul; Kim, Garam; Kim, Hyun Woo; Park, Jae Chul; Song, Ihun; Kim, Chang Jung; Jung, U. In; Park, Byung-Gook

    2010-11-01

    A comprehensive study is done regarding stabilities under simultaneous stress of light and dc-bias in amorphous hafnium-indium-zinc-oxide thin film transistors. The positive threshold voltage (Vth) shift is observed after negative gate bias and light stress, and it is completely different from widely accepted phenomenon which explains that negative-bias stress results in Vth shift in the left direction by bias-induced hole-trapping. Gate current measurement is performed to explain the unusual positive Vth shift under simultaneous application of light and negative gate bias. As a result, it is clearly found that the positive Vth shift is derived from electron injection from gate electrode to gate insulator.

  1. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  2. High-performance SEGISFET pH Sensor using the structure of double-gate a-IGZO TFTs with engineered gate oxides

    NASA Astrophysics Data System (ADS)

    Pyo, Ju-Young; Cho, Won-Ju

    2017-03-01

    In this paper, we propose a high-performance separative extended gate ion-sensitive field-effect transistor (SEGISFET) that consists of a tin dioxide (SnO2) SEG sensing part and a double-gate structure amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with tantalum pentoxide/silicon dioxide (Ta2O5/SiO2)-engineered top-gate oxide. To increase sensitivity, we maximized the capacitive coupling ratio by applying high-k dielectric at the top-gate oxide layer. As an engineered top-gate oxide, a stack of 25 nm-thick Ta2O5 and 10 nm-thick SiO2 layers was found to simultaneously satisfy a small equivalent oxide thickness (˜17.14 nm), a low leakage current, and a stable interfacial property. The threshold-voltage instability, which is a fundamental issue in a-IGZO TFTs, was improved by low-temperature post-deposition annealing (˜87 °C) using microwave irradiation. The double-gate structure a-IGZO TFTs with engineered top-gate oxide exhibited high mobility, small subthreshold swing, high drive current, and larger on/off current ratio. The a-IGZO SEGISFETs with a dual-gate sensing mode showed a pH sensitivity of 649.04 mV pH-1, which is far beyond the Nernst limit. The non-ideal behavior of ISFETs, hysteresis, and drift effect also improved. These results show that the double-gate structure a-IGZO TFTs with engineered top-gate oxide can be a good candidate for cheap and disposable SEGISFET sensors.

  3. Looking for Speed!! Go Optical Ultra-Fast Photonic Logic Gates for the Future Optical Communication and Computing

    NASA Technical Reports Server (NTRS)

    Abdeldayem, Hossin; Frazier, Donald O.; Penn, Benjamin; Paley, Mark S.

    2003-01-01

    Recently, we developed two ultra-fast all-optical switches in the nanosecond and picosecond regimes. The picosecond switch is made of a polydiacetylene thin film coated on the interior wall of a hollow capillary of approximately 50 micron diameter by a photo-polymerization process. In the setup a picosecond Nd:YAG laser at 10 Hz and at 532 nm with a pulse duration of approximately 40 ps was sent collinearly along a cw He-Ne laser beam and both were waveguided through the hollow capillary. The setup functioned as an Exclusive OR gate. On the other hand, the material used in the nanosecond switch is a phthalocyanine thin film, deposited on a glass substrate by a vapor deposition technique. In the setup a nanosecond, 10 Hz, Nd:YAG laser of 8 ns pulse duration was sent collinearly along a cw He-Ne laser beam and both were wave-guided through the phthalocyanine thin film. The setup in this case functioned as an all-optical AND logic gate. The characteristic table of the ExOR gate in polydiacetylene film was attributed to an excited state absorption process, while that of the AND gate was attributed to a saturation process of the first excited state. Both mechanisms were thoroughly investigated theoretically and found to agree remarkably well with the experimental results. An all-optical inverter gate has been designed but has not yet been demonstrated. The combination of all these three gates form the foundation for building all the necessary gates needed to build a prototype of an all-optical system.

  4. Junctionless Thin-Film Transistors Gated by an H₃PO₄-Incorporated Chitosan Proton Conductor.

    PubMed

    Liu, Huixuan; Xun, Damao

    2018-04-01

    We fabricated an H3PO4-incorporated chitosan proton conductor film that exhibited the electric double layer effect and showed a high specific capacitance of 4.42 μF/cm2. Transparent indium tin oxide thin-film transistors gated by H3PO4-incorporated chitosan films were fabricated by sputtering through a shadow mask. The operating voltage was as low as 1.2 V because of the high specific capacitance of the H3PO4-incorporated chitosan dielectrics. The junctionless transparent indium tin oxide thin film transistors exhibited good performance, including an estimated current on/off ratio and field-effect mobility of 1.2 × 106 and 6.63 cm2V-1s-1, respectively. These low-voltage thin-film electric-double-layer transistors gated by H3PO4-incorporated chitosan are promising for next generation battery-powered "see-through" portable sensors.

  5. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  6. Electronic structure evolution in doping of fullerene (C{sub 60}) by ultra-thin layer molybdenum trioxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Chenggong; Wang, Congcong; Kauppi, John

    2015-08-28

    Ultra-thin layer molybdenum oxide doping of fullerene has been investigated using ultraviolet photoemission spectroscopy (UPS) and X-ray photoemission spectroscopy (XPS). The highest occupied molecular orbital (HOMO) can be observed directly with UPS. It is observed that the Fermi level position in fullerene is modified by ultra-thin-layer molybdenum oxide doping, and the HOMO onset is shifted to less than 1.3 eV below the Fermi level. The XPS results indicate that charge transfer was observed from the C{sub 60} to MoO{sub x} and Mo{sup 6+} oxides is the basis as hole dopants.

  7. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  8. Bio-sorbable, liquid electrolyte gated thin-film transistor based on a solution-processed zinc oxide layer.

    PubMed

    Singh, Mandeep; Palazzo, Gerardo; Romanazzi, Giuseppe; Suranna, Gian Paolo; Ditaranto, Nicoletta; Di Franco, Cinzia; Santacroce, Maria Vittoria; Mulla, Mohammad Yusuf; Magliulo, Maria; Manoli, Kyriaki; Torsi, Luisa

    2014-01-01

    Among the metal oxide semiconductors, ZnO has been widely investigated as a channel material in thin-film transistors (TFTs) due to its excellent electrical properties, optical transparency and simple fabrication via solution-processed techniques. Herein, we report a solution-processable ZnO-based thin-film transistor gated through a liquid electrolyte with an ionic strength comparable to that of a physiological fluid. The surface morphology and chemical composition of the ZnO films upon exposure to water and phosphate-buffered saline (PBS) are discussed in terms of the operation stability and electrical performance of the ZnO TFT devices. The improved device characteristics upon exposure to PBS are associated with the enhancement of the oxygen vacancies in the ZnO lattice due to Na(+) doping. Moreover, the dissolution kinetics of the ZnO thin film in a liquid electrolyte opens the possible applicability of these devices as an active element in "transient" implantable systems.

  9. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr

    We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasingmore » temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.« less

  10. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  11. Thermal oxidation of silicon in a residual oxygen atmosphere—the RESOX process—for self-limiting growth of thin silicon dioxide films

    NASA Astrophysics Data System (ADS)

    Wright, Jason T.; Carbaugh, Daniel J.; Haggerty, Morgan E.; Richard, Andrea L.; Ingram, David C.; Kaya, Savas; Jadwisienczak, Wojciech M.; Rahman, Faiz

    2016-10-01

    We describe in detail the growth procedures and properties of thermal silicon dioxide grown in a limited and dilute oxygen atmosphere. Thin thermal oxide films have become increasingly important in recent years due to the continuing down-scaling of ultra large scale integration metal oxide silicon field effect transistors. Such films are also of importance for organic transistors where back-gating is needed. The technique described here is novel and allows self-limited formation of high quality thin oxide films on silicon surfaces. This technique is easy to implement in both research laboratory and industrial settings. Growth conditions and their effects on film growth have been described. Properties of the resulting oxide films, relevant for microelectronic device applications, have also been investigated and reported here. Overall, our findings are that thin, high quality, dense silicon dioxide films of thicknesses up to 100 nm can be easily grown in a depleted oxygen environment at temperatures similar to that used for usual silicon dioxide thermal growth in flowing dry oxygen.

  12. Facile design of ultra-thin anodic aluminum oxide membranes for the fabrication of plasmonic nanoarrays.

    PubMed

    Hao, Qi; Huang, Hao; Fan, Xingce; Hou, Xiangyu; Yin, Yin; Li, Wan; Si, Lifang; Nan, Haiyan; Wang, Huaiyu; Mei, Yongfeng; Qiu, Teng; Chu, Paul K

    2017-03-10

    Ultra-thin anodic aluminum oxide (AAO) membranes are efficient templates for the fabrication of patterned nanostructures. Herein, a three-step etching method to control the morphology of AAO is described. The morphological evolution of the AAO during phosphoric acid etching is systematically investigated and a nonlinear growth mechanism during unsteady-state anodization is revealed. The thickness of the AAO can be quantitatively controlled from ∼100 nm to several micrometers while maintaining the tunablity of the pore diameter. The AAO membranes are robust and readily transferable to different types of substrates to prepare patterned plasmonic nanoarrays such as nanoislands, nanoclusters, ultra-small nanodots, and core-satellite superstructures. The localized surface plasmon resonance from these nanostructures can be easily tuned by adjusting the morphology of the AAO template. The custom AAO template provides a platform for the fabrication of low-cost and large-scale functional nanoarrays suitable for fundamental studies as well as applications including biochemical sensing, imaging, photocatalysis, and photovoltaics.

  13. Facile design of ultra-thin anodic aluminum oxide membranes for the fabrication of plasmonic nanoarrays

    NASA Astrophysics Data System (ADS)

    Hao, Qi; Huang, Hao; Fan, Xingce; Hou, Xiangyu; Yin, Yin; Li, Wan; Si, Lifang; Nan, Haiyan; Wang, Huaiyu; Mei, Yongfeng; Qiu, Teng; Chu, Paul K.

    2017-03-01

    Ultra-thin anodic aluminum oxide (AAO) membranes are efficient templates for the fabrication of patterned nanostructures. Herein, a three-step etching method to control the morphology of AAO is described. The morphological evolution of the AAO during phosphoric acid etching is systematically investigated and a nonlinear growth mechanism during unsteady-state anodization is revealed. The thickness of the AAO can be quantitatively controlled from ˜100 nm to several micrometers while maintaining the tunablity of the pore diameter. The AAO membranes are robust and readily transferable to different types of substrates to prepare patterned plasmonic nanoarrays such as nanoislands, nanoclusters, ultra-small nanodots, and core-satellite superstructures. The localized surface plasmon resonance from these nanostructures can be easily tuned by adjusting the morphology of the AAO template. The custom AAO template provides a platform for the fabrication of low-cost and large-scale functional nanoarrays suitable for fundamental studies as well as applications including biochemical sensing, imaging, photocatalysis, and photovoltaics.

  14. III-V Ultra-Thin-Body InGaAs/InAs MOSFETs for Low Standby Power Logic Applications

    NASA Astrophysics Data System (ADS)

    Huang, Cheng-Ying

    As device scaling continues to sub-10-nm regime, III-V InGaAs/InAs metal- oxide-semiconductor ?eld-e?ect transistors (MOSFETs) are promising candidates for replacing Si-based MOSFETs for future very-large-scale integration (VLSI) logic applications. III-V InGaAs materials have low electron effective mass and high electron velocity, allowing higher on-state current at lower VDD and reducing the switching power consumption. However, III-V InGaAs materials have a narrower band gap and higher permittivity, leading to large band-to-band tunneling (BTBT) leakage or gate-induced drain leakage (GIDL) at the drain end of the channel, and large subthreshold leakage due to worse electrostatic integrity. To utilize III-V MOSFETs in future logic circuits, III-V MOSFETs must have high on-state performance over Si MOSFETs as well as very low leakage current and low standby power consumption. In this dissertation, we will report InGaAs/InAs ultra-thin-body MOSFETs. Three techniques for reducing the leakage currents in InGaAs/InAs MOSFETs are reported as described below. 1) Wide band-gap barriers: We developed AlAs0.44Sb0.56 barriers lattice-match to InP by molecular beam epitaxy (MBE), and studied the electron transport in In0.53Ga0.47As/AlAs 0.44Sb0.56 heterostructures. The InGaAs channel MOSFETs using AlAs0.44Sb0.56 bottom barriers or p-doped In0.52 Al0.48As barriers were demonstrated, showing significant suppression on the back barrier leakage. 2) Ultra-thin channels: We investigated the electron transport in InGaAs and InAs ultra-thin quantum wells and ultra-thin body MOSFETs (t ch ~ 2-4 nm). For high performance logic, InAs channels enable higher on-state current, while for low power logic, InGaAs channels allow lower BTBT leakage current. 3) Source/Drain engineering: We developed raised InGaAs and recessed InP source/drain spacers. The raised InGaAs source/drain spacers improve electrostatics, reducing subthreshold leakage, and smooth the electric field near drain, reducing

  15. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  16. Understanding Metal-Insulator transitions in ultra-thin films of LaNiO3

    NASA Astrophysics Data System (ADS)

    Ravichandran, Jayakanth; King, Philip D. C.; Schlom, Darrell G.; Shen, Kyle M.; Kim, Philip

    2014-03-01

    LaNiO3 (LNO) is a bulk paramagnetic metal and a member of the family of RENiO3 Nickelates (RE = Rare Earth Metals), which is on the verge of the metal-insulator transition. Ultra-thin films of LNO has been studied extensively in the past and due to its sensitivity to disorder, the true nature of the metal-insulator transition in these films have been hard to decipher. We grow high quality ultra-thin films of LNO using reactive molecular beam epitaxy (MBE) and use a combination of ionic liquid gating and magneto-transport measurements to understand the nature and tunability of metal-insulator transition as a function of thickness for LNO. The underlying mechanisms for the transition are discussed in the framework of standard transport models. These results are discussed in the light of other Mott insulators such as Sr2IrO4, where we have performed similar measurements around the insulating state.

  17. Electrochemical Corrosion Properties of Commercial Ultra-Thin Copper Foils

    NASA Astrophysics Data System (ADS)

    Yen, Ming-Hsuan; Liu, Jen-Hsiang; Song, Jenn-Ming; Lin, Shih-Ching

    2017-08-01

    Ultra-thin electrodeposited Cu foils have been developed for substrate thinning for mobile devices. Considering the corrosion by residual etchants from the lithography process for high-density circuit wiring, this study investigates the microstructural features of ultra-thin electrodeposited Cu foils with a thickness of 3 μm and their electrochemical corrosion performance in CuCl2-based etching solution. X-ray diffraction and electron backscatter diffraction analyses verify that ultra-thin Cu foils exhibit a random texture and equi-axed grains. Polarization curves show that ultra-thin foils exhibit a higher corrosion potential and a lower corrosion current density compared with conventional (220)-oriented foils with fan-like distributed fine-elongated columnar grains. Chronoamperometric results also suggest that ultra-thin foils possess superior corrosion resistance. The passive layer, mainly composed of CuCl and Cu2O, forms and dissolves in sequence during polarization.

  18. Temperature dependency of double material gate oxide (DMGO) symmetric dual-k spacer (SDS) wavy FinFET

    NASA Astrophysics Data System (ADS)

    Pradhan, K. P.; Priyanka; Sahu, P. K.

    2016-01-01

    Symmetric Dual-k Spacer (SDS) Trigate Wavy FinFET is a novel hybrid device that combines three significant and advanced technologies i.e., ultra-thin-body (UTB), FinFET, and symmetric spacer engineering on a single silicon on insulator (SOI) platform. This innovative architecture promises to enhance the device performance as compared to conventional FinFET without increasing the chip area. For the first time, we have incorporated two different dielectric materials (SiO2, and HfO2) as gate oxide to analyze the effect on various performance metrics of SDS wavy FinFET. This work evaluates the response of double material gate oxide (DMGO) on parameters like mobility, on current (Ion), transconductance (gm), transconductance generation factor (TGF), total gate capacitance (Cgg), and cutoff frequency (fT) in SDS wavy FinFET. This work also reveals the presence of biasing point i.e., zero temperature coefficient (ZTC) bias point. The ZTC bias point is that point where the device parameters become independent of temperature. The impact of operating temperature (T) on above said various performances are also subjected to extensive analysis. This further validates the reliability of DMGO-SDS FinFET and its application opportunities involved in modeling analog/RF circuits for a broad range of temperature applications. From extensive 3-D device simulation, we have determined that the inclusion of DMGO in SDS wavy FinFET is superior in performance.

  19. Transparent photostable ZnO nonvolatile memory transistor with ferroelectric polymer and sputter-deposited oxide gate

    NASA Astrophysics Data System (ADS)

    Park, C. H.; Im, Seongil; Yun, Jungheum; Lee, Gun Hwan; Lee, Byoung H.; Sung, Myung M.

    2009-11-01

    We report on the fabrication of transparent top-gate ZnO nonvolatile memory thin-film transistors (NVM-TFTs) with 200 nm thick poly(vinylidene fluoride/trifluoroethylene) ferroelectric layer; semitransparent 10 nm thin AgOx and transparent 130 nm thick indium-zinc oxide (IZO) were deposited on the ferroelectric polymer as gate electrode by rf sputtering. Our semitransparent NVM-TFT with AgOx gate operates under low voltage write-erase (WR-ER) pulse of ±20 V, but shows some degradation in retention property. In contrast, our transparent IZO-gated device displays very good retention properties but requires anomalously higher pulse of ±70 V for WR and ER states. Both devices stably operated under visible illuminations.

  20. Designable ultra-smooth ultra-thin solid-electrolyte interphases of three alkali metal anodes.

    PubMed

    Gu, Yu; Wang, Wei-Wei; Li, Yi-Juan; Wu, Qi-Hui; Tang, Shuai; Yan, Jia-Wei; Zheng, Ming-Sen; Wu, De-Yin; Fan, Chun-Hai; Hu, Wei-Qiang; Chen, Zhao-Bin; Fang, Yuan; Zhang, Qing-Hong; Dong, Quan-Feng; Mao, Bing-Wei

    2018-04-09

    Dendrite growth of alkali metal anodes limited their lifetime for charge/discharge cycling. Here, we report near-perfect anodes of lithium, sodium, and potassium metals achieved by electrochemical polishing, which removes microscopic defects and creates ultra-smooth ultra-thin solid-electrolyte interphase layers at metal surfaces for providing a homogeneous environment. Precise characterizations by AFM force probing with corroborative in-depth XPS profile analysis reveal that the ultra-smooth ultra-thin solid-electrolyte interphase can be designed to have alternating inorganic-rich and organic-rich/mixed multi-layered structure, which offers mechanical property of coupled rigidity and elasticity. The polished metal anodes exhibit significantly enhanced cycling stability, specifically the lithium anodes can cycle for over 200 times at a real current density of 2 mA cm -2 with 100% depth of discharge. Our work illustrates that an ultra-smooth ultra-thin solid-electrolyte interphase may be robust enough to suppress dendrite growth and thus serve as an initial layer for further improved protection of alkali metal anodes.

  1. Complex oxide thin films for microelectronics

    NASA Astrophysics Data System (ADS)

    Suvorova, Natalya

    The rapid scaling of the device dimensions, namely in metal oxide semiconductor field effect transistor (MOSFET), is reaching its fundamental limit which includes the increase in allowable leakage current due to direct tunneling with decrease of physical thickness of SiO2 gate dielectric. The significantly higher relative dielectric constant (in the range 9--25) of the gate dielectric beyond the 3.9 value of silicon dioxide will allow increasing the physical thickness. Among the choices for the high dielectric constant (K) materials for future generation MOSFET application, barium strontium titanate (BST) and strontium titanate (STO) possess one of the highest attainable K values making them the promising candidates for alternative gate oxide. However, the gate stack engineering does not imply the simple replacement of the SiO2 with the new dielectric. Several requirements should be met for successful integration of a new material. The major one is a production of high level of interface states (Dit) compared to that of SiO 2 on Si. An insertion of a thin SiO2 layer prior the growth of high-K thin film is a simple solution that helps to limit reaction with Si substrate and attains a high quality interface. However, the combination of two thin films reduces the overall K of the dielectric stack. An optimization of the SiO2 underlayer in order to maintain the interface quality yet minimize the effect on K is the focus of this work. The results from our study are presented with emphasis on the key process parameters that improve the dielectric film stack. For in-situ growth characterization of BST and STO films sputter deposited on thermally oxidized Si substrates spectroscopic ellipsometry in combination with time of flight ion scattering and recoil spectrometry have been employed. Studies of material properties have been complemented with analytical electron microscopy. To evaluate the interface quality the electrical characterization has been employed using

  2. Ultra-thin plasma panel radiation detector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Friedman, Peter S.

    An ultra-thin radiation detector includes a radiation detector gas chamber having at least one ultra-thin chamber window and an ultra-thin first substrate contained within the gas chamber. The detector further includes a second substrate generally parallel to and coupled to the first substrate and defining a gas gap between the first substrate and the second substrate. The detector further includes a discharge gas between the substrates and contained within the gas chamber, where the discharge gas is free to circulate within the gas chamber and between the first and second substrates at a given gas pressure. The detector further includesmore » a first electrode coupled to one of the substrates and a second electrode electrically coupled to the first electrode. The detector further includes a first discharge event detector coupled to at least one of the electrodes for detecting a gas discharge counting event in the electrode.« less

  3. On the role of ultra-thin oxide cathode synthesis on the functionality of micro-solid oxide fuel cells: Structure, stress engineering and in situ observation of fuel cell membranes during operation

    NASA Astrophysics Data System (ADS)

    Lai, Bo-Kuai; Kerman, Kian; Ramanathan, Shriram

    Microstructure and stresses in dense La 0.6Sr 0.4Co 0.8Fe 0.2O 3 (LSCF) ultra-thin films have been investigated to increase the physical thickness of crack-free cathodes and active area of thermo-mechanically robust micro-solid oxide fuel cell (μSOFC) membranes. Processing protocols employ low deposition rates to create a highly granular nanocrystalline microstructure in LSCF thin films and high substrate temperatures to produce linear temperature-dependent stress evolution that is dominated by compressive stresses in μSOFC membranes. Insight and trade-off on the synthesis are revealed by probing microstructure evolution and electrical conductivity in LSCF thin films, in addition to in situ monitoring of membrane deformation while measuring μSOFC performance at varying temperatures. From these studies, we were able to successfully fabricate failure-resistant square μSOFC (LSCF/YSZ/Pt) membranes with width of 250 μm and crack-free cathodes with thickness of ∼70 nm. Peak power density of ∼120 mW cm -2 and open circuit voltage of ∼0.6 V at 560 °C were achieved on a μSOFC array chip containing ten such membranes. Mechanisms affecting fuel cell performance are discussed. Our results provide fundamental insight to pathways of microstructure and stress engineering of ultra-thin, dense oxide cathodes and μSOFC membranes.

  4. Improvement in gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors using microwave irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jo, Kwang-Won; Cho, Won-Ju, E-mail: chowj@kw.ac.kr

    In this study, we evaluated the effects of microwave irradiation (MWI) post-deposition-annealing (PDA) treatment on the gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) and compared the results with a conventional thermal annealing PDA treatment. The MWI-PDA-treated a-IGZO TFTs exhibited enhanced electrical performance as well as improved long-term stability with increasing microwave power. The positive turn-on voltage shift (ΔV{sub ON}) as a function of stress time with positive bias and varying temperature was precisely modeled on a stretched-exponential equation, suggesting that charge trapping is a dominant mechanism in the instability of MWI-PDA-treated a-IGZO TFTs. The characteristicmore » trapping time and average effective barrier height for electron transport indicate that the MWI-PDA treatment effectively reduces the defects in a-IGZO TFTs, resulting in a superior resistance against gate bias stress.« less

  5. Development of an ultra-thin film comprised of a graphene membrane and carbon nanotube vein support.

    PubMed

    Lin, Xiaoyang; Liu, Peng; Wei, Yang; Li, Qunqing; Wang, Jiaping; Wu, Yang; Feng, Chen; Zhang, Lina; Fan, Shoushan; Jiang, Kaili

    2013-01-01

    Graphene, exhibiting superior mechanical, thermal, optical and electronic properties, has attracted great interest. Considering it being one-atom-thick, and the reduced mechanical strength at grain boundaries, the fabrication of large-area suspended chemical vapour deposition graphene remains a challenge. Here we report the fabrication of an ultra-thin free-standing carbon nanotube/graphene hybrid film, inspired by the vein-membrane structure found in nature. Such a square-centimetre-sized hybrid film can realize the overlaying of large-area single-layer chemical vapour deposition graphene on to a porous vein-like carbon nanotube network. The vein-membrane-like hybrid film, with graphene suspended on the carbon nanotube meshes, possesses excellent mechanical performance, optical transparency and good electrical conductivity. The ultra-thin hybrid film features an electron transparency close to 90%, which makes it an ideal gate electrode in vacuum electronics and a high-performance sample support in transmission electron microscopy.

  6. Low-cost ultra-thin broadband terahertz beam-splitter.

    PubMed

    Ung, Benjamin S-Y; Fumeaux, Christophe; Lin, Hungyen; Fischer, Bernd M; Ng, Brian W-H; Abbott, Derek

    2012-02-27

    A low-cost terahertz beam-splitter is fabricated using ultra-thin LDPE plastic sheeting coated with a conducting silver layer. The beam splitting ratio is determined as a function of the thickness of the silver layer--thus any required splitting ratio can be printed on demand with a suitable rapid prototyping technology. The low-cost aspect is a consequence of the fact that ultra-thin LDPE sheeting is readily obtainable, known more commonly as domestic plastic wrap or cling wrap. The proposed beam-splitter has numerous advantages over float zone silicon wafers commonly used within the terahertz frequency range. These advantages include low-cost, ease of handling, ultra-thin thickness, and any required beam splitting ratio can be readily fabricated. Furthermore, as the beam-splitter is ultra-thin, it presents low loss and does not suffer from Fabry-Pérot effects. Measurements performed on manufactured prototypes with different splitting ratios demonstrate a good agreement with our theoretical model in both P and S polarizations, exhibiting nearly frequency-independent splitting ratios in the terahertz frequency range.

  7. Growth and characterization of ultra thin vanadium oxide films

    NASA Astrophysics Data System (ADS)

    Song, Fangfang

    This dissertation focuses on the growth and characterization of ultra thin VO2 films on technologically relevant Si/SiO2 substrate. The samples were prepared by magnetron sputtering with varying deposition and post annealing conditions. VO2(M1) films prepared under optimal condition with thickness around 42nm shows a continuous micro-structure and a metal insulator transition with resistivity change of two orders of magnitude. The transition temperature is determined to be 345K with a hysteresis width of approximately 8°C. The activation energy of the low temperature semiconducting VO2 monoclinic phase is determined to be 0.16+/-0.03ev. These properties are found to be fairly stable over time under ambient atmosphere. Temperature dependent hall measurements suggest that the decrease of the resistivity with increasing temperature is mainly caused by the increase of the number density of charge carriers, the energy gap of VO2 film in the semiconducting phase is 0.4ev and phonon scattering is the dominant scattering mechanism in the temperature range from 195K to 340K. Analysis based on composite model suggested that the sample has some untransitional phases with a length that is 1/4 of the grain size. Stress measurements using X-ray diffraction indicate that the ultra thin VO2 film has a large tensile stress of 2.0+/-0.2GPa. This value agrees well with that calculated thermal stress assuming the stress is due to differential thermal expansion between VO2 film and substrate. The stress is expected to lead to a shift of the transition temperature in the film, as observed. Using magnetron sputtering, VO2(B) film was able to obtained on Si substrate. The temperature dependent current voltage measurement on VO2(B) film did not show any abrupt change in the electrical resistivity. W - VO2(B) thin film - W metal semiconductor-metal I-V properties were found to be determined by reverse biased Schottky barrier at the W/VO 2(b) interface. And the Schottky height between VO2(B

  8. System and Method for Fabricating Super Conducting Circuitry on Both Sides of an Ultra-Thin Layer

    NASA Technical Reports Server (NTRS)

    Brown, Ari D. (Inventor); Mikula, Vilem (Inventor)

    2017-01-01

    A method of fabricating circuitry in a wafer includes depositing a superconducting metal on a silicon on insulator wafer having a handle wafer, coating the wafer with a sacrificial layer and bonding the wafer to a thermally oxide silicon wafer with a first epoxy. The method includes flipping the wafer, thinning the flipped wafer by removing a handle wafer, etching a buried oxide layer, depositing a superconducting layer, bonding the wafer to a thermally oxidized silicon wafer having a handle wafer using an epoxy, flipping the wafer again, thinning the flipped wafer, etching a buried oxide layer from the wafer and etching the sacrificial layer from the wafer. The result is a wafer having superconductive circuitry on both sides of an ultra-thin silicon layer.

  9. Stable indium oxide thin-film transistors with fast threshold voltage recovery

    NASA Astrophysics Data System (ADS)

    Vygranenko, Yuriy; Wang, Kai; Nathan, Arokia

    2007-12-01

    Stable thin-film transistors (TFTs) with semiconducting indium oxide channel and silicon dioxide gate dielectric were fabricated by reactive ion beam assisted evaporation and plasma-enhanced chemical vapor deposition. The field-effect mobility is 3.3cm2/Vs, along with an on/off current ratio of 106, and subthreshold slope of 0.5V/decade. When subject to long-term gate bias stress, the TFTs show fast recovery of the threshold voltage (VT) when relaxed without annealing, suggesting that charge trapping at the interface and/or in the bulk gate dielectric to be the dominant mechanism underlying VT instability. Device performance and stability make indium oxide TFTs promising for display applications.

  10. Multifunctional Hybrid Multilayer Gate Dielectrics with Tunable Surface Energy for Ultralow-Power Organic and Amorphous Oxide Thin-Film Transistors.

    PubMed

    Byun, Hye-Ran; You, Eun-Ah; Ha, Young-Geun

    2017-03-01

    For large-area, printable, and flexible electronic applications using advanced semiconductors, novel dielectric materials with excellent capacitance, insulating property, thermal stability, and mechanical flexibility need to be developed to achieve high-performance, ultralow-voltage operation of thin-film transistors (TFTs). In this work, we first report on the facile fabrication of multifunctional hybrid multilayer gate dielectrics with tunable surface energy via a low-temperature solution-process to produce ultralow-voltage organic and amorphous oxide TFTs. The hybrid multilayer dielectric materials are constructed by iteratively stacking bifunctional phosphonic acid-based self-assembled monolayers combined with ultrathin high-k oxide layers. The nanoscopic thickness-controllable hybrid dielectrics exhibit the superior capacitance (up to 970 nF/cm 2 ), insulating property (leakage current densities <10 -7 A/cm 2 ), and thermal stability (up to 300 °C) as well as smooth surfaces (root-mean-square roughness <0.35 nm). In addition, the surface energy of the hybrid multilayer dielectrics are easily changed by switching between mono- and bifunctional phosphonic acid-based self-assembled monolayers for compatible fabrication with both organic and amorphous oxide semiconductors. Consequently, the hybrid multilayer dielectrics integrated into TFTs reveal their excellent dielectric functions to achieve high-performance, ultralow-voltage operation (< ± 2 V) for both organic and amorphous oxide TFTs. Because of the easily tunable surface energy, the multifunctional hybrid multilayer dielectrics can also be adapted for various organic and inorganic semiconductors, and metal gates in other device configurations, thus allowing diverse advanced electronic applications including ultralow-power and large-area electronic devices.

  11. Characteristics of high-k gate dielectric formed by the oxidation of sputtered Hf/Zr/Hf thin films on the Si substrate

    NASA Astrophysics Data System (ADS)

    Kim, H. D.; Roh, Y.; Lee, J. E.; Kang, H.-B.; Yang, C.-W.; Lee, N.-E.

    2004-07-01

    We have investigated the effects of high temperature annealing on the physical and electrical properties of multilayered high-k gate oxide [HfSixOy/HfO2/intermixed-layer(IL)/ZrO2/intermixed-layer(IL)/HfO2] in metal-oxide-semiconductor device. The multilayered high-k films were formed after oxidizing the Hf/Zr/Hf films deposited directly on the Si substrate. The subsequent N2 annealing at high temperature (>= 700 °C) not only results in the polycrystallization of the multilayered high-k films, but also causes the diffusion of Zr. The latter transforms the HfSixOy/HfO2/IL/ZrO2/IL/HfO2 film into the Zr-doped HfO2 film, and improves electrical properties in general. However, the thin SiOx interfacial layer starts to form if annealing temperature increases over 700 °C, deteriorating the equivalent oxide thickness. .

  12. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    NASA Astrophysics Data System (ADS)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  13. Effects of negative gate-bias stress on the performance of solution-processed zinc-oxide transistors

    NASA Astrophysics Data System (ADS)

    Kim, Dongwook; Lee, Woo-Sub; Shin, Hyunji; Choi, Jong Sun; Zhang, Xue; Park, Jaehoon; Hwang, Jaeeun; Kim, Hongdoo; Bae, Jin-Hyuk

    2014-08-01

    We studied the effects of negative gate-bias stress on the electrical characteristics of top-contact zinc-oxide (ZnO) thin-film transistors (TFTs), which were fabricated by spin coating a ZnO solution onto a silicon-nitride gate dielectric layer. The negative gate-bias stress caused characteristic degradations in the on-state currents and the field-effect mobility of the fabricated ZnO TFTs. Additionally, a decrease in the off-state currents and a positive shift in the threshold voltage occurred with increasing stress time. These results indicate that the negative gate-bias stress caused an injection of electrons into the gate dielectric, thereby deteriorating the TFT's performance.

  14. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2

    PubMed Central

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.; Arena, Dario A.; Schlom, Darrell G.; Piper, Louis F. J.

    2015-01-01

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions. PMID:28793516

  15. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2.

    PubMed

    Quackenbush, Nicholas F; Paik, Hanjong; Woicik, Joseph C; Arena, Dario A; Schlom, Darrell G; Piper, Louis F J

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.

  16. X-ray Spectroscopy of Ultra-thin Oxide/oxide Heteroepitaxial Films: A Case Study of Single-nanometer VO2/TiO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe amore » low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. Generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.« less

  17. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  18. Enhancement of emission efficiency of colloidal CdSe quantum dots on silicon substrate via an ultra-thin layer of aluminum oxide.

    PubMed

    Patty, K; Sadeghi, S M; Nejat, A; Mao, C-B

    2014-04-18

    We demonstrate that an ultra-thin layer of aluminum oxide can significantly enhance the emission efficiency of colloidal quantum dots on a Si substrate. For an ensemble of single quantum dots, our results show that this super brightening process can increase the fluorescence of CdSe quantum dots, forming well-resolved spectra, while in the absence of this layer the emission remains mostly at the noise level. We demonstrate that this process can be further enhanced with irradiation of the quantum dots, suggesting a significant photo-induced fluorescence enhancement via considerable suppression of non-radiative decay channels of the quantum dots. We study the impact of the Al oxide thickness on Si and interdot interactions, and discuss the results in terms of photo-induced catalytic properties of the Al oxide and the effects of such an oxide on the Coulomb blockade responsible for suppression of photo-ionization of the quantum dots.

  19. Nanocrystal floating gate memory with solution-processed indium-zinc-tin-oxide channel and colloidal silver nanocrystals

    NASA Astrophysics Data System (ADS)

    Hu, Quanli; Ha, Sang-Hyub; Lee, Hyun Ho; Yoon, Tae-Sik

    2011-12-01

    A nanocrystal (NC) floating gate memory with solution-processed indium-zinc-tin-oxide (IZTO) channel and silver (Ag) NCs embedded in thin gate dielectric layer (SiO2(30 nm)/Al2O3(3 nm)) was fabricated. Both the IZTO channel and colloidal Ag NC layers were prepared by spin-coating and subsequent annealing, and dip-coating process, respectively. A threshold voltage shift up to ~0.9 V, corresponding to the electron density of 6.5 × 1011 cm-2, at gate pulsing <=10 V was achieved by the charging of high density NCs. These results present the successful non-volatile memory characteristics of an oxide-semiconductor transistor fabricated through solution processes.

  20. Lithium ion intercalation in thin crystals of hexagonal TaSe2 gated by a polymer electrolyte

    NASA Astrophysics Data System (ADS)

    Wu, Yueshen; Lian, Hailong; He, Jiaming; Liu, Jinyu; Wang, Shun; Xing, Hui; Mao, Zhiqiang; Liu, Ying

    2018-01-01

    Ionic liquid gating has been used to modify the properties of layered transition metal dichalcogenides (TMDCs), including two-dimensional (2D) crystals of TMDCs used extensively recently in the device work, which has led to observations of properties not seen in the bulk. The main effect comes from the electrostatic gating due to the strong electric field at the interface. In addition, ionic liquid gating also leads to ion intercalation when the ion size of the gate electrolyte is small compared to the interlayer spacing of TMDCs. However, the microscopic processes of ion intercalation have rarely been explored in layered TMDCs. Here, we employed a technique combining photolithography device fabrication and electrical transport measurements on the thin crystals of hexagonal TaSe2 using multiple channel devices gated by a polymer electrolyte LiClO4/Polyethylene oxide (PEO). The gate voltage and time dependent source-drain resistances of these thin crystals were used to obtain information on the intercalation process, the effect of ion intercalation, and the correlation between the ion occupation of allowed interstitial sites and the device characteristics. We found a gate voltage controlled modulation of the charge density waves and a scattering rate of charge carriers. Our work suggests that ion intercalation can be a useful tool for layered materials engineering and 2D crystal device design.

  1. Ultra-thin plasma radiation detector

    DOEpatents

    Friedman, Peter S.

    2017-01-24

    A position-sensitive ionizing-radiation counting detector includes a radiation detector gas chamber having at least one ultra-thin chamber window and an ultra-thin first substrate contained within the gas chamber. The detector further includes a second substrate generally parallel to and coupled to the first substrate and defining a gas gap between the first substrate and the second substrate. The detector further includes a discharge gas between the substrates and contained within the gas chamber, where the discharge gas is free to circulate within the gas chamber and between the first and second substrates at a given gas pressure. The detector further includes a first electrode coupled to one of the substrates and a second electrode electrically coupled to the first electrode. The detector further includes a first discharge event detector coupled to at least one of the electrodes for detecting a gas discharge counting event in the electrode.

  2. Advanced germanium layer transfer for ultra thin body on insulator structure

    NASA Astrophysics Data System (ADS)

    Maeda, Tatsuro; Chang, Wen-Hsin; Irisawa, Toshifumi; Ishii, Hiroyuki; Hattori, Hiroyuki; Poborchii, Vladimir; Kurashima, Yuuichi; Takagi, Hideki; Uchida, Noriyuki

    2016-12-01

    We present the HEtero-Layer Lift-Off (HELLO) technique to obtain ultra thin body (UTB) Ge on insulator (GeOI) substrates. The transferred ultra thin Ge layers are characterized by the Raman spectroscopy measurements down to the thickness of ˜1 nm, observing a strong Raman intensity enhancement for high quality GeOI structure in ultra thin regime due to quantum size effect. This advanced Ge layer transfer technique enabled us to demonstrate UTB-GeOI nMOSFETs with the body thickness of only 4 nm.

  3. Ultra-thin, light-trapping silicon solar cells

    NASA Technical Reports Server (NTRS)

    Landis, Geoffrey A.

    1989-01-01

    Design concepts for ultra-thin (2 to 10 microns) high efficiency single-crystal silicon cells are discussed. Light trapping allows more light to be absorbed at a given thickness, or allows thinner cells of a given Jsc. Extremely thin cells require low surface recombination velocity at both surfaces, including the ohmic contacts. Reduction of surface recombination by growth of heterojunctions of ZnS and GaP on Si has been demonstrated. The effects of these improvements on AM0 efficiency is shown. The peak efficiency increases, and the optimum thickness decreases. Cells under 10 microns thickness can retain almost optimum power. The increase of absorptance due to light trapping is considered. This is not a problem if the light-trapping cells are sufficiently thin. Ultra-thin cells have high radiation tolerance. A 2 microns thick light-trapping cell remains over 18 percent efficient after the equivalent of 20 years in geosynchronous orbit. Including a 50 microns thick coverglass, the thin cells had specific power after irradiation over ten times higher than the baseline design.

  4. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO₂ Gate Dielectrics by CF₄ Plasma Treatment.

    PubMed

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-05-17

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.

  5. Trap States of the Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Yu, Kyeong Min; Yuh, Jin Tae; Park, Sang Hee Ko; Ryu, Min Ki; Yun, Eui Jung; Bae, Byung Seong

    2013-10-01

    We investigated the temperature dependent recovery of the threshold voltage shift observed in both ZnO and indium gallium zinc oxide (IGZO) thin film transistors (TFTs) after application of gate bias and light illumination. Two types of recovery were observed for both the ZnO and IGZO TFTs; low temperature recovery (below 110 °C) which is attributed to the trapped charge and high temperature recovery (over 110 °C) which is related to the annihilation of trap states generated during stresses. From a comparison study of the recovery rate with the analysis of hydrogen diffusion isochronal annealing, a similar behavior was observed for both TFT recovery and hydrogen diffusion. This result suggests that hydrogen plays an important role in the generation and annihilation of trap states in oxide TFTs under gate bias or light illumination stresses.

  6. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    PubMed

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  7. Structural phase diagram for ultra-thin epitaxial Fe 3O 4 / MgO(0 01) films: thickness and oxygen pressure dependence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alraddadi, S.; Hines, W.; Yilmaz, T.

    2016-02-19

    A systematic investigation of the thickness and oxygen pressure dependence for the structural properties of ultra-thin epitaxial magnetite (Fe 3O 4) films has been carried out; for such films, the structural properties generally differ from those for the bulk when the thickness ≤10 nm. Iron oxide ultra-thin films with thicknesses varying from 3 nm to 20 nm were grown on MgO (001) substrates using molecular beam epitaxy under different oxygen pressures ranging from 1 × 10 -7 torr to 1 × 10 -5 torr. The crystallographic and electronic structures of the films were characterized using low energy electron diffraction (LEED)more » and x-ray photoemission spectroscopy (XPS), respectively. Moreover, the quality of the epitaxial Fe 3O 4 ultra-thin films was judged by magnetic measurements of the Verwey transition, along with complementary XPS spectra. We observed that under the same growth conditions the stoichiometry of ultra-thin films under 10 nm transforms from the Fe 3O 4 phase to the FeO phase. In this work, a phase diagram based on thickness and oxygen pressure has been constructed to explain the structural phase transformation. It was found that high-quality magnetite films with thicknesses ≤20 nm formed within a narrow range of oxygen pressure. An optimal and controlled growth process is a crucial requirement for the accurate study of the magnetic and electronic properties for ultra-thin Fe 3O 4 films. Furthermore, these results are significant because they may indicate a general trend in the growth of other oxide films, which has not been previously observed or considered.« less

  8. Aerosol-assisted chemical vapor deposition of ultra-thin CuOx films as hole transport material for planar perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Zhang, Zhixin; Chen, Shuqun; Li, Pingping; Li, Hongyi; Wu, Junshu; Hu, Peng; Wang, Jinshu

    This paper reports on the fabrication of CuOx films to be used as hole transporting layer (HTL) in CH3NH3PbI3 perovskite solar cells (PSCs). Ultra-thin CuOx coatings were grown onto FTO substrates for the first time via aerosol-assisted chemical vapor deposition (AACVD) of copper acetylacetonate in methanol. After incorporating into the PSCs prepared at ambient air, a highest power conversion efficiency (PCE) of 8.26% with HTL and of 3.34% without HTL were achieved. Our work represents an important step in the development of low-cost CVD technique for fabricating ultra-thin metal oxide functional layers in thin film photovoltaics.

  9. Ultra-Low-Cost Room Temperature SiC Thin Films

    NASA Technical Reports Server (NTRS)

    Faur, Maria

    1997-01-01

    The research group at CSU has conducted theoretical and experimental research on 'Ultra-Low-Cost Room Temperature SiC Thin Films. The effectiveness of a ultra-low-cost room temperature thin film SiC growth technique on Silicon and Germanium substrates and structures with applications to space solar sells, ThermoPhotoVoltaic (TPV) cells and microelectronic and optoelectronic devices was investigated and the main result of this effort are summarized.

  10. Analytical drain current model for symmetric dual-gate amorphous indium gallium zinc oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Qin, Ting; Liao, Congwei; Huang, Shengxiang; Yu, Tianbao; Deng, Lianwen

    2018-01-01

    An analytical drain current model based on the surface potential is proposed for amorphous indium gallium zinc oxide (a-InGaZnO) thin-film transistors (TFTs) with a synchronized symmetric dual-gate (DG) structure. Solving the electric field, surface potential (φS), and central potential (φ0) of the InGaZnO film using the Poisson equation with the Gaussian method and Lambert function is demonstrated in detail. The compact analytical model of current-voltage behavior, which consists of drift and diffusion components, is investigated by regional integration, and voltage-dependent effective mobility is taken into account. Comparison results demonstrate that the calculation results obtained using the derived models match well with the simulation results obtained using a technology computer-aided design (TCAD) tool. Furthermore, the proposed model is incorporated into SPICE simulations using Verilog-A to verify the feasibility of using DG InGaZnO TFTs for high-performance circuit designs.

  11. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  12. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  13. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2015-08-12

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  14. Ultra-thin solid oxide fuel cells: Materials and devices

    NASA Astrophysics Data System (ADS)

    Kerman, Kian

    Solid oxide fuel cells are electrochemical energy conversion devices utilizing solid electrolytes transporting O2- that typically operate in the 800 -- 1000 °C temperature range due to the large activation barrier for ionic transport. Reducing electrolyte thickness or increasing ionic conductivity can enable lower temperature operation for both stationary and portable applications. This thesis is focused on the fabrication of free standing ultrathin (<100 nm) oxide membranes of prototypical O 2- conducting electrolytes, namely Y2O3-doped ZrO2 and Gd2O3-doped CeO2. Fabrication of such membranes requires an understanding of thin plate mechanics coupled with controllable thin film deposition processes. Integration of free standing membranes into proof-of-concept fuel cell devices necessitates ideal electrode assemblies as well as creative processing schemes to experimentally test devices in a high temperature dual environment chamber. We present a simple elastic model to determine stable buckling configurations for free standing oxide membranes. This guides the experimental methodology for Y 2O3-doped ZrO2 film processing, which enables tunable internal stress in the films. Using these criteria, we fabricate robust Y2O3-doped ZrO2 membranes on Si and composite polymeric substrates by semiconductor and micro-machining processes, respectively. Fuel cell devices integrating these membranes with metallic electrodes are demonstrated to operate in the 300 -- 500 °C range, exhibiting record performance at such temperatures. A model combining physical transport of electronic carriers in an insulating film and electrochemical aspects of transport is developed to determine the limits of performance enhancement expected via electrolyte thickness reduction. Free standing oxide heterostructures, i.e. electrolyte membrane and oxide electrodes, are demonstrated. Lastly, using Y2O3-doped ZrO2 and Gd2O 3-doped CeO2, novel electrolyte fabrication schemes are explored to develop oxide

  15. Method for laser welding ultra-thin metal foils

    DOEpatents

    Pernicka, J.C.; Benson, D.K.; Tracy, C.E.

    1996-03-26

    A method for simultaneously cutting and welding ultra-thin foils having a thickness of less than 0.002 inches wherein two ultra-thin films are stacked and clamped together. A pulsed laser such as of the Neodymium: YAG type is provided and the beam of the laser is directed onto the stacked films to cut a channel through the films. The laser is moved relative to the stacked foils to cut the stacked foils at successive locations and to form a plurality of connected weld beads to form a continuous weld. 5 figs.

  16. Method for laser welding ultra-thin metal foils

    DOEpatents

    Pernicka, John C.; Benson, David K.; Tracy, C. Edwin

    1996-01-01

    A method for simultaneously cutting and welding ultra-thin foils having a thickness of less than 0.002 inches wherein two ultra-thin films are stacked and clamped together. A pulsed laser such as of the Neodymium: YAG type is provided and the beam of the laser is directed onto the stacked films to cut a channel through the films. The laser is moved relative to the stacked foils to cut the stacked foils at successive locations and to form a plurality of connected weld beads to form a continuous weld.

  17. A unified physical model of Seebeck coefficient in amorphous oxide semiconductor thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lu, Nianduan; Li, Ling; Sun, Pengxiao; Banerjee, Writam; Liu, Ming

    2014-09-01

    A unified physical model for Seebeck coefficient was presented based on the multiple-trapping and release theory for amorphous oxide semiconductor thin-film transistors. According to the proposed model, the Seebeck coefficient is attributed to the Fermi-Dirac statistics combined with the energy dependent trap density of states and the gate-voltage dependence of the quasi-Fermi level. The simulation results show that the gate voltage, energy disorder, and temperature dependent Seebeck coefficient can be well described. The calculation also shows a good agreement with the experimental data in amorphous In-Ga-Zn-O thin-film transistor.

  18. An Ultra-Precise Method for the Nano Thin-Film Removal

    NASA Astrophysics Data System (ADS)

    Pa, P. S.

    In this research an electrode-set is used to investigate via an ultra-precise method for the removal of Indium Tin Oxide (ITO) thin-film microstructure from defective display panels to conquer the low yield rate in display panel production as to from imperfect Indium Tin Oxide layer deposition is well known. This process, which involves the removal of ITO layer substructure by means of an electrochemical removal (ECMR), is of major interest to the optoelectronics semiconductor industry. In this electro machining process a high current flow and high feed rate of the display (color filter) achieves complete and efficient removal of the ITO layer. The ITO thin-film can be removed completely by a proper combination of feed rate and electric power. A small gap between the diameter cathode virtual rotation circle and the diameter virtual rotation circle also corresponds to a higher removal rate. A small anode edge radius with a small cathode edge radius effectively improves dregs discharge and is an advantage when associated with a high workpiece feed rate. This precision method for the recycling of defective display screen color filters is presented as an effective tool for use in the screen manufacturing process. The defective Indium Tin Oxide thin-film can be removed easily and cleanly in a short time. The complete removal of the ITO layer makes it possible to put these panels back into the production line for reuse with a considerable reduction of both waste and production cost.

  19. A thermalization energy analysis of the threshold voltage shift in amorphous indium gallium zinc oxide thin film transistors under positive gate bias stress

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Niang, K. M.; Flewitt, A. J., E-mail: ajf@eng.cam.ac.uk; Barquinha, P. M. C.

    Thin film transistors (TFTs) employing an amorphous indium gallium zinc oxide (a-IGZO) channel layer exhibit a positive shift in the threshold voltage under the application of positive gate bias stress (PBS). The time and temperature dependence of the threshold voltage shift was measured and analysed using the thermalization energy concept. The peak energy barrier to defect conversion is extracted to be 0.75 eV and the attempt-to-escape frequency is extracted to be 10{sup 7} s{sup −1}. These values are in remarkable agreement with measurements in a-IGZO TFTs under negative gate bias illumination stress (NBIS) reported recently (Flewitt and Powell, J. Appl. Phys.more » 115, 134501 (2014)). This suggests that the same physical process is responsible for both PBS and NBIS, and supports the oxygen vacancy defect migration model that the authors have previously proposed.« less

  20. Impact of SiNx capping on the formation of source/drain contact for In-Ga-Zn-O thin film transistor with self-aligned gate

    NASA Astrophysics Data System (ADS)

    Oh, Himchan; Pi, Jae-Eun; Hwang, Chi-Sun; Kwon, Oh-Sang

    2017-12-01

    Self-aligned gate structures are preferred for faster operation and scaling down of thin film transistors by reducing the overlapped region between source/drain and gate electrodes. Doping on source/drain regions is essential to fabricate such a self-aligned gate thin film transistor. For oxide semiconductors such as In-Ga-Zn-O, SiNx capping readily increases their carrier concentration. We report that the SiNx deposition temperature and thickness significantly affect the device properties, including threshold voltage, field effect mobility, and contact resistance. The reason for these variations in device characteristics mainly comes from the extension of the doped region to the gated area after the SiNx capping step. Analyses on capacitance-voltage and transfer length characteristics support this idea.

  1. Direct current performance and current collapse in AlGaN/GaN insulated gate high-electron mobility transistors on Si (1 1 1) substrate with very thin SiO2 gate dielectric

    NASA Astrophysics Data System (ADS)

    Lachab, M.; Sultana, M.; Fatima, H.; Adivarahan, V.; Fareed, Q.; Khan, M. A.

    2012-12-01

    This work reports on the dc performance of AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) grown on Si (1 1 1) substrate and the study of current dispersion in these devices using various widely adopted methods. The MOSHEMTs were fabricated using a very thin (4.2 nm) SiO2 film as the gate insulator and were subsequently passivated with about 30 nm thick Si3N4 layer. For devices with 2.5 µm long gates and a 4 µm drain-to-source spacing, the maximum saturation drain current density was 822 mA mm-1 at + 4 V gate bias and the peak external transconductance was ˜100 mS mm-1. Furthermore, the oxide layer successfully suppressed the drain and gate leakage currents with the subthreshold current and the gate diode current levels exceeding by more than three orders of magnitude the levels found in their Schottky gate counterparts. Capacitance-voltage and dynamic current-voltage measurements were carried out to assess the oxide quality as well as the devices’ surface properties after passivation. The efficacy of each of these characterization techniques to probe the presence of interface traps and oxide charge in the nitride-based transistors is also discussed.

  2. Interface effects in ultra-thin films: Magnetic and chemical properties

    NASA Astrophysics Data System (ADS)

    Park, Sungkyun

    When the thickness of a magnetic layer is comparable to (or smaller than) the electron mean free path, the interface between magnetic and non-magnetic layers becomes very important factor to determine magnetic properties of the ultra-thin films. The quality of interface can enhance (or reduce) the desired properties. Several interesting physical phenomena were studied using these interface effects. The magnetic anisotropy of ultra-thin Co films is studied as function of non-magnetic underlayer thickness and non- magnetic overlayer materials using ex situ Brillouin light scattering (BLS). I observed that perpendicular magnetic anisotropy (PMA) increases with underlayer thickness and saturates after 5 ML. This saturation can be understood as a relaxation of the in-plane lattice parameter of Au(111) on top of Cu(111) to its bulk value. For the overlayer study, Cu, Al, and Au are used. An Au overlayer gives the largest PMA due to the largest in-plane lattice mismatch between Co and Au. An unusual effect was found by adding an additional layer on top of the Au overlayer. An additional Al capping layer on top of the Au overlayer reduces the PMA significantly. The possible explanation is that the misfit strain at the interface between the Al and the Au can be propagated through the Au layer to affect the magnetic properties of Co even though the in- plane lattice mismatch is less than 1%. Another interesting problem in interface interdiffusion and thermal stability in magnetic tunnel junction (MTJ) structures is studied using X-ray photoelectron spectroscopy (XPS). Since XPS is a very chemically sensitive technique, it allows us to monitor interface interdiffusion of the MTJ structures as-deposited and during post-deposition processing. For the plasma- oxidized samples, Fe only participates in the oxidation reduction process. In contrast to plasma-oxidized samples, there were no noticeable chemical shifts as- deposited and during post-deposition processing in air

  3. Ultra-thin distributed Bragg reflectors via stacked single-crystal silicon nanomembranes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Minkyu; Seo, Jung-Hun; Lee, Jaeseong

    2015-05-04

    In this paper, we report ultra-thin distributed Bragg reflectors (DBRs) via stacked single-crystal silicon (Si) nanomembranes (NMs). Mesh hole-free single-crystal Si NMs were released from a Si-on-insulator substrate and transferred to quartz and Si substrates. Thermal oxidation was applied to the transferred Si NM to form high-quality SiO{sub 2} and thus a Si/SiO{sub 2} pair with uniform and precisely controlled thicknesses. The Si/SiO{sub 2} layers, as smooth as epitaxial grown layers, minimize scattering loss at the interface and in between the layers. As a result, a reflection of 99.8% at the wavelength range from 1350 nm to 1650 nm can be measuredmore » from a 2.5-pair DBR on a quartz substrate and 3-pair DBR on a Si substrate with thickness of 0.87 μm and 1.14 μm, respectively. The high reflection, ultra-thin DBRs developed here, which can be applied to almost any devices and materials, holds potential for application in high performance optoelectronic devices and photonics applications.« less

  4. Polarity compensation in ultra-thin films of complex oxides: The case of a perovskite nickelate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Middey, S.; Rivero, P.; Meyers, D.

    2014-10-29

    In this study, we address the fundamental issue of growth of perovskite ultra-thin films under the condition of a strong polar mismatch at the heterointerface exemplified by the growth of a correlated metal LaNiO 3 on the band insulator SrTiO 3 along the pseudo cubic [111] direction. While in general the metallic LaNiO 3 film can effectively screen this polarity mismatch, we establish that in the ultra-thin limit, films are insulating in nature and require additional chemical and structural reconstruction to compensate for such mismatch. A combination of in-situ reflection high-energy electron diffraction recorded during the growth, X-ray diffraction, andmore » synchrotron based resonant X-ray spectroscopy reveal the formation of a chemical phase La 2Ni 2O 5 (Ni 2+) for a few unit-cell thick films. First-principles layer-resolved calculations of the potential energy across the nominal LaNiO 3/SrTiO 3 interface confirm that the oxygen vacancies can efficiently reduce the electric field at the interface.« less

  5. A room temperature process for the fabrication of amorphous indium gallium zinc oxide thin-film transistors with co-sputtered Zr x Si1- x O2 Gate dielectric and improved electrical and hysteresis performance

    NASA Astrophysics Data System (ADS)

    Hung, Chien-Hsiung; Wang, Shui-Jinn; Liu, Pang-Yi; Wu, Chien-Hung; Wu, Nai-Sheng; Yan, Hao-Ping; Lin, Tseng-Hsing

    2017-04-01

    The use of co-sputtered zirconium silicon oxide (Zr x Si1- x O2) gate dielectrics to improve the gate controllability of amorphous indium gallium zinc oxide (α-IGZO) thin-film transistors (TFTs) through a room-temperature fabrication process is proposed and demonstrated. With the sputtering power of the SiO2 target in the range of 0-150 W and with that of the ZrO2 target kept at 100 W, a dielectric constant ranging from approximately 28.1 to 7.8 is obtained. The poly-structure formation immunity of the Zr x Si1- x O2 dielectrics, reduction of the interface trap density suppression, and gate leakage current are examined. Our experimental results reveal that the Zr0.85Si0.15O2 gate dielectric can lead to significantly improved TFT subthreshold swing performance (103 mV/dec) and field effect mobility (33.76 cm2 V-1 s-1).

  6. Coaxially gated in-wire thin-film transistors made by template assembly.

    PubMed

    Kovtyukhova, Nina I; Kelley, Brian K; Mallouk, Thomas E

    2004-10-13

    Nanowire field effect transistors were prepared by a wet chemical template replication method using anodic aluminum oxide membranes. The membrane pores were first lined with a thin SiO2 layer by the surface sol-gel method. Au, CdS (or CdSe), and Au wire segments were then sequentially electrodeposited within the pores, and the resulting nanowires were released by dissolution of the membrane. Electrofluidic alignment of these nanowires between source and drain leads and evaporation of gold over the central CdS (CdSe) stripe affords a "wrap-around gate" structure. At VDS = -2 V, the Au/CdS/Au devices had an ON/OFF current ratio of 103, a threshold voltage of 2.4 V, and a subthreshold slope of 2.2 V/decade. A 3-fold decrease in the subthreshold slope relative to that of planar nanocrystalline CdSe devices can be attributed to coaxial gating. The control of dimensions afforded by template synthesis should make it possible to reduce the gate dielectric thickness, channel length, and diameter of the semiconductor segment to sublithographic dimensions while retaining the simplicity of the wet chemical synthetic method.

  7. Electron-beam-evaporated thin films of hafnium dioxide for fabricating electronic devices

    DOE PAGES

    Xiao, Zhigang; Kisslinger, Kim

    2015-06-17

    Thin films of hafnium dioxide (HfO 2) are widely used as the gate oxide in fabricating integrated circuits because of their high dielectric constants. In this paper, the authors report the growth of thin films of HfO 2 using e-beam evaporation, and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using this HfO 2 thin film as the gate oxide. The authors analyzed the thin films using high-resolution transmission electron microscopy and electron diffraction, thereby demonstrating that the e-beam-evaporation-grown HfO 2 film has a polycrystalline structure and forms an excellent interface with silicon. Accordingly, we fabricated 31-stage CMOS ringmore » oscillator to test the quality of the HfO 2 thin film as the gate oxide, and obtained excellent rail-to-rail oscillation waveforms from it, denoting that the HfO 2 thin film functioned very well as the gate oxide.« less

  8. Oxidative Modulation of Voltage-Gated Potassium Channels

    PubMed Central

    Sahoo, Nirakar; Hoshi, Toshinori

    2014-01-01

    Abstract Significance: Voltage-gated K+ channels are a large family of K+-selective ion channel protein complexes that open on membrane depolarization. These K+ channels are expressed in diverse tissues and their function is vital for numerous physiological processes, in particular of neurons and muscle cells. Potentially reversible oxidative regulation of voltage-gated K+ channels by reactive species such as reactive oxygen species (ROS) represents a contributing mechanism of normal cellular plasticity and may play important roles in diverse pathologies including neurodegenerative diseases. Recent Advances: Studies using various protocols of oxidative modification, site-directed mutagenesis, and structural and kinetic modeling provide a broader phenomenology and emerging mechanistic insights. Critical Issues: Physicochemical mechanisms of the functional consequences of oxidative modifications of voltage-gated K+ channels are only beginning to be revealed. In vivo documentation of oxidative modifications of specific amino-acid residues of various voltage-gated K+ channel proteins, including the target specificity issue, is largely absent. Future Directions: High-resolution chemical and proteomic analysis of ion channel proteins with respect to oxidative modification combined with ongoing studies on channel structure and function will provide a better understanding of how the function of voltage-gated K+ channels is tuned by ROS and the corresponding reducing enzymes to meet cellular needs. Antioxid. Redox Signal. 21, 933–952. PMID:24040918

  9. Effect of top gate bias on photocurrent and negative bias illumination stress instability in dual gate amorphous indium-gallium-zinc oxide thin-film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Eunji; Chowdhury, Md Delwar Hossain; Park, Min Sang

    We have studied the effect of top gate bias (V{sub TG}) on the generation of photocurrent and the decay of photocurrent for back channel etched inverted staggered dual gate structure amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film-transistors. Upon 5 min of exposure of 365 nm wavelength and 0.7 mW/cm{sup 2} intensity light with negative bottom gate bias, the maximum photocurrent increases from 3.29 to 322 pA with increasing the V{sub TG} from −15 to +15 V. By changing V{sub TG} from negative to positive, the Fermi level (E{sub F}) shifts toward conduction band edge (E{sub C}), which substantially controls the conversion of neutral vacancy to charged one (V{submore » O} → V{sub O}{sup +}/V{sub O}{sup 2+} + e{sup −}/2e{sup −}), peroxide (O{sub 2}{sup 2−}) formation or conversion of ionized interstitial (O{sub i}{sup 2−}) to neutral interstitial (O{sub i}), thus electron concentration at conduction band. With increasing the exposure time, more carriers are generated, and thus, maximum photocurrent increases until being saturated. After negative bias illumination stress, the transfer curve shows −2.7 V shift at V{sub TG} = −15 V, which gradually decreases to −0.42 V shift at V{sub TG} = +15 V. It clearly reveals that the position of electron quasi-Fermi level controls the formation of donor defects (V{sub O}{sup +}/V{sub O}{sup 2+}/O{sub 2}{sup 2−}/O{sub i}) and/or hole trapping in the a-IGZO /interfaces.« less

  10. Ionic liquid gating reveals trap-filled limit mobility in low temperature amorphous zinc oxide

    NASA Astrophysics Data System (ADS)

    Bubel, S.; Meyer, S.; Kunze, F.; Chabinyc, M. L.

    2013-10-01

    In low-temperature solution processed amorphous zinc oxide (a-ZnO) thin films, we show the thin film transistor (TFT) characteristics for the trap-filled limit (TFL), when the quasi Fermi energy exceeds the conduction band edge and all tail-states are filled. In order to apply gate fields that are high enough to reach the TFL, we use an ionic liquid tape gate. Performing capacitance voltage measurements to determine the accumulated charge during TFT operation, we find the TFL at biases higher than predicted by the electronic structure of crystalline ZnO. We conclude that the density of states in the conduction band of a-ZnO is higher than in its crystalline state. Furthermore, we find no indication of percolative transport in the conduction band but trap assisted transport in the tail-states of the band.

  11. Ultra thin metallic coatings to control near field radiative heat transfer

    NASA Astrophysics Data System (ADS)

    Esquivel-Sirvent, R.

    2016-09-01

    We present a theoretical calculation of the changes in the near field radiative heat transfer between two surfaces due to the presence of ultra thin metallic coatings on semiconductors. Depending on the substrates, the radiative heat transfer is modulated by the thickness of the ultra thin film. In particular we consider gold thin films with thicknesses varying from 4 to 20 nm. The ultra-thin film has an insulator-conductor transition close to a critical thickness of dc = 6.4 nm and there is an increase in the near field spectral heat transfer just before the percolation transition. Depending on the substrates (Si or SiC) and the thickness of the metallic coatings we show how the near field heat transfer can be increased or decreased as a function of the metallic coating thickness. The calculations are based on available experimental data for the optical properties of ultrathin coatings.

  12. Performance regeneration of InGaZnO transistors with ultra-thin channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Binglei; Li, He; Zhang, Xijian, E-mail: zhangxijian@sdu.edu.cn, E-mail: songam@sdu.edu.cn

    2015-03-02

    Thin-film transistors (TFTs) based on ultra-thin amorphous indium gallium zinc oxide (a-IGZO) semiconductors down to 4 nm were studied motivated by the increasing cost of indium. At and below 5 nm, it was found that the field-effect mobility was severely degraded, the threshold voltage increased, and the output characteristics became abnormal showing no saturated current. By encapsulating a layer of polymethyl methacrylate on the IGZO TFTs, the performance of the 5-nm-thick device was effectively recovered. The devices also showed much higher on/off ratios, improved hysteresis, and normal output characteristic curves as compared with devices not encapsulated. The stability of the encapsulated devicesmore » was also studied over a four month period.« less

  13. High-frequency electromechanical resonators based on thin GaTe

    NASA Astrophysics Data System (ADS)

    Chitara, Basant; Ya'akobovitz, Assaf

    2017-10-01

    Gallium telluride (GaTe) is a layered material, which exhibits a direct bandgap (˜1.65 eV) regardless of its thickness and therefore holds great potential for integration as a core element in stretchable optomechanical and optoelectronic devices. Here, we characterize and demonstrate the elastic properties and electromechanical resonators of suspended thin GaTe nanodrums. We used atomic force microscopy to extract the Young’s modulus of GaTe (average value ˜39 GPa) and to predict the resonance frequencies of suspended GaTe nanodrums of various geometries. Electromechanical resonators fabricated from suspended GaTe revealed fundamental resonance frequencies in the range of 10-25 MHz, which closely match predicted values. Therefore, this study paves the way for creating a new generation of GaTe based nanoelectromechanical devices with a direct bandgap vibrating element, which can serve as optomechanical sensors and actuators.

  14. Stress analysis of ultra-thin silicon chip-on-foil electronic assembly under bending

    NASA Astrophysics Data System (ADS)

    Wacker, Nicoleta; Richter, Harald; Hoang, Tu; Gazdzicki, Pawel; Schulze, Mathias; Angelopoulos, Evangelos A.; Hassan, Mahadi-Ul; Burghartz, Joachim N.

    2014-09-01

    In this paper we investigate the bending-induced uniaxial stress at the top of ultra-thin (thickness \\leqslant 20 μm) single-crystal silicon (Si) chips adhesively attached with the aid of an epoxy glue to soft polymeric substrate through combined theoretical and experimental methods. Stress is first determined analytically and numerically using dedicated models. The theoretical results are validated experimentally through piezoresistive measurements performed on complementary metal-oxide-semiconductor (CMOS) transistors built on specially designed chips, and through micro-Raman spectroscopy investigation. Stress analysis of strained ultra-thin chips with CMOS circuitry is crucial, not only for the accurate evaluation of the piezoresistive behavior of the built-in devices and circuits, but also for reliability and deformability analysis. The results reveal an uneven bending-induced stress distribution at the top of the Si-chip that decreases from the central area towards the chip's edges along the bending direction, and increases towards the other edges. Near these edges, stress can reach very high values, facilitating the emergence of cracks causing ultimate chip failure.

  15. Thin-film transistor fabricated in single-crystalline transparent oxide semiconductor.

    PubMed

    Nomura, Kenji; Ohta, Hiromichi; Ueda, Kazushige; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2003-05-23

    We report the fabrication of transparent field-effect transistors using a single-crystalline thin-film transparent oxide semiconductor, InGaO3(ZnO)5, as an electron channel and amorphous hafnium oxide as a gate insulator. The device exhibits an on-to-off current ratio of approximately 106 and a field-effect mobility of approximately 80 square centimeters per volt per second at room temperature, with operation insensitive to visible light irradiation. The result provides a step toward the realization of transparent electronics for next-generation optoelectronics.

  16. High-performance a-IGZO thin-film transistor with conductive indium-tin-oxide buried layer

    NASA Astrophysics Data System (ADS)

    Ahn, Min-Ju; Cho, Won-Ju

    2017-10-01

    In this study, we fabricated top-contact top-gate (TCTG) structure of amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs) with a thin buried conductive indium-tin oxide (ITO) layer. The electrical performance of a-IGZO TFTs was improved by inserting an ITO buried layer under the IGZO channel. Also, the effect of the buried layer's length on the electrical characteristics of a-IGZO TFTs was investigated. The electrical performance of the transistors improved with increasing the buried layer's length: a large on/off current ratio of 1.1×107, a high field-effect mobility of 35.6 cm2/Vs, a small subthreshold slope of 116.1 mV/dec, and a low interface trap density of 4.2×1011 cm-2eV-1 were obtained. The buried layer a-IGZO TFTs exhibited enhanced transistor performance and excellent stability against the gate bias stress.

  17. Nonvolatile memory thin-film transistors using biodegradable chicken albumen gate insulator and oxide semiconductor channel on eco-friendly paper substrate.

    PubMed

    Kim, So-Jung; Jeon, Da-Bin; Park, Jung-Ho; Ryu, Min-Ki; Yang, Jong-Heon; Hwang, Chi-Sun; Kim, Gi-Heon; Yoon, Sung-Min

    2015-03-04

    Nonvolatile memory thin-film transistors (TFTs) fabricated on paper substrates were proposed as one of the eco-friendly electronic devices. The gate stack was composed of chicken albumen gate insulator and In-Ga-Zn-O semiconducting channel layers. All the fabrication processes were performed below 120 °C. To improve the process compatibility of the synthethic paper substrate, an Al2O3 thin film was introduced as adhesion and barrier layers by atomic layer deposition. The dielectric properties of biomaterial albumen gate insulator were also enhanced by the preparation of Al2O3 capping layer. The nonvolatile bistabilities were realized by the switching phenomena of residual polarization within the albumen thin film. The fabricated device exhibited a counterclockwise hysteresis with a memory window of 11.8 V, high on/off ratio of approximately 1.1 × 10(6), and high saturation mobility (μsat) of 11.5 cm(2)/(V s). Furthermore, these device characteristics were not markedly degraded even after the delamination and under the bending situration. When the curvature radius was set as 5.3 cm, the ION/IOFF ratio and μsat were obtained to be 5.9 × 10(6) and 7.9 cm(2)/(V s), respectively.

  18. Development and ultra-structure of an ultra-thin silicone epidermis of bioengineered alternative tissue.

    PubMed

    Wessels, Quenton; Pretorius, Etheresia

    2015-08-01

    Burn wound care today has a primary objective of temporary or permanent wound closure. Commercially available engineered alternative tissues have become a valuable adjunct to the treatment of burn injuries. Their constituents can be biological, alloplastic or a combination of both. Here the authors describe the aspects of the development of a siloxane epidermis for a collagen-glycosaminoglycan and for nylon-based artificial skin replacement products. A method to fabricate an ultra-thin epidermal equivalent is described. Pores, to allow the escape of wound exudate, were punched and a tri-filament nylon mesh or collagen scaffold was imbedded and silicone polymerisation followed at 120°C for 5 minutes. The ultra-structure of these bilaminates was assessed through scanning electron microscopy. An ultra-thin biomedical grade siloxane film was reliably created through precision coating on a pre-treated polyethylene terephthalate carrier. © 2013 The Authors. International Wound Journal © 2013 Medicalhelplines.com Inc and John Wiley & Sons Ltd.

  19. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  20. Ultra-thin whitetopping for general aviation airports in New Mexico.

    DOT National Transportation Integrated Search

    2002-06-01

    Whitetopping is a pavement rehabilitation construction practice where portland cement concrete (PCC) is placed over an existing asphalt concrete pavement as an overlay. Ultra-thin whitetopping (UTW) is generally a thin overlay with a thickness betwee...

  1. Electron transport in ultra-thin films and ballistic electron emission microscopy

    NASA Astrophysics Data System (ADS)

    Claveau, Y.; Di Matteo, S.; de Andres, P. L.; Flores, F.

    2017-03-01

    We have developed a calculation scheme for the elastic electron current in ultra-thin epitaxial heterostructures. Our model uses a Keldysh’s non-equilibrium Green’s function formalism and a layer-by-layer construction of the epitaxial film. Such an approach is appropriate to describe the current in a ballistic electron emission microscope (BEEM) where the metal base layer is ultra-thin and generalizes a previous one based on a decimation technique appropriated for thick slabs. This formalism allows a full quantum mechanical description of the transmission across the epitaxial heterostructure interface, including multiple scattering via the Dyson equation, which is deemed a crucial ingredient to describe interfaces of ultra-thin layers properly in the future. We introduce a theoretical formulation needed for ultra-thin layers and we compare with results obtained for thick Au(1 1 1) metal layers. An interesting effect takes place for a width of about ten layers: a BEEM current can propagate via the center of the reciprocal space (\\overlineΓ ) along the Au(1 1 1) direction. We associate this current to a coherent interference finite-width effect that cannot be found using a decimation technique. Finally, we have tested the validity of the handy semiclassical formalism to describe the BEEM current.

  2. Transport Properties of Anatase-TiO2 Polycrystalline-Thin-Film Field-Effect Transistors with Electrolyte Gate Layers

    NASA Astrophysics Data System (ADS)

    Horita, Ryohei; Ohtani, Kyosuke; Kai, Takahiro; Murao, Yusuke; Nishida, Hiroya; Toya, Taku; Seo, Kentaro; Sakai, Mio; Okuda, Tetsuji

    2013-11-01

    We have fabricated anatase-TiO2 polycrystalline-thin-film field-effect transistors (FETs) with poly(vinyl alcohol) (PVA), ion-liquid (IL), and ion-gel (IG) gate layers, and have tried to improve the response to gate voltage by varying the concentration of mobile ions in these electrolyte gate layers. The increase in the concentration of mobile ions by doping NaOH into the PVA gate layer or reducing the gelator in the IG gate layer markedly increases the drain-source current and reduces the driving gate voltage, which show that the mobile ions in the PVA, IL, and IG gate layers cause the formation of electric double layers (EDLs), which act as nanogap capacitors. In these TiO2-EDL-FETs, the slow formation of EDLs and the oxidation reaction at the interface between the surface of the TiO2 film and the electrolytes cause unideal FET properties. In the optimized IL and IG TiO2-EDL-FETs, the driving gate voltage is less than 1 V and the ON/OFF ratios of the transfer characteristics are about 1×104 at RT, and the nearly metallic state is realized at the interface purely by applying a gate voltage.

  3. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  4. Ultra-Flexible, Invisible Thin-Film Transistors Enabled by Amorphous Metal Oxide/Polymer Channel Layer Blends

    DTIC Science & Technology

    2015-02-25

    all the In 2 O 3 : x %PVP blends, where the polymer chains disrupt oxide lattice forma - tion at the nanoscale grain level rather than at the atomic...oxidative stability. [ 51,52 ] This result can be qualitatively ascribed to the endothermic M–O–M lattice forma - tion acting as heat absorber and the ultra... Irie , M. Komiyama , H. Yui , Supramol. Sci. 1998 , 5 , 411 . [40] D. B. Buchholz , J. Liu , T. J. Marks , M. Zhang , R. P. Chang

  5. Electron-beam irradiation-induced gate oxide degradation

    NASA Astrophysics Data System (ADS)

    Cho, Byung Jin; Chong, Pei Fen; Chor, Eng Fong; Joo, Moon Sig; Yeo, In Seok

    2000-12-01

    Gate oxide degradation induced by electron-beam irradiation has been studied. A large increase in the low-field excess leakage current was observed on irradiated oxides and this was very similar to electrical stress-induced leakage currents. Unlike conventional electrical stress-induced leakage currents, however, electron-beam induced leakage currents exhibit a power law relationship with fluency without any signs of saturation. It has also been found that the electron-beam neither accelerates nor initiates quasibreakdown of the ultrathin gate oxide. Therefore, the traps generated by electron-beam irradiation do not contribute to quasibreakdown, only to the leakage current.

  6. Drying Temperature Dependence of Sol-gel Spin Coated Bilayer Composite ZnO/TiO2 Thin Films for Extended Gate Field Effect Transistor pH Sensor

    NASA Astrophysics Data System (ADS)

    Rahman, R. A.; Zulkefle, M. A.; Yusoff, K. A.; Abdullah, W. F. H.; Rusop, M.; Herman, S. H.

    2018-03-01

    This study presents an investigation on zinc oxide (ZnO) and titanium dioxide (TiO2) bilayer film applied as the sensing membrane for extended-gate field effect transistor (EGFET) for pH sensing application. The influences of the drying temperatures on the pH sensing capability of ZnO/TiO2 were investigated. The sensing performance of the thin films were measured by connecting the thin film to a commercial MOSFET to form the extended gates. By varying the drying temperature, we found that the ZnO/TiO2 thin film dried at 150°C gave the highest sensitivity compared to other drying conditions, with the sensitivity value of 48.80 mV/pH.

  7. Solution-processed flexible fluorine-doped indium zinc oxide thin-film transistors fabricated on plastic film at low temperature.

    PubMed

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm(2)/V·s and stable characteristics under the various gate bias and temperature stresses.

  8. A sextuple-band ultra-thin metamaterial absorber with perfect absorption

    NASA Astrophysics Data System (ADS)

    Yu, Dingwang; Liu, Peiguo; Dong, Yanfei; Zhou, Dongming; Zhou, Qihui

    2017-08-01

    This paper presents the design, simulation and measurement of a sextuple-band ultra-thin metamaterial absorber (MA). The unit cell of this proposed structure is composed of triangular spiral-shaped complementary structures imprinted on the dielectric substrate backed by a metal ground. The measured results are in good agreement with simulations with high absorptivities of more than 90% at all six absorption frequencies. In addition, this proposed absorber has good performances of ultra-thin, polarization insensitivity and a wide-angle oblique incidence, which can easily be used in many potential applications such as detection, imaging and sensing.

  9. Bulk and Thin Film Synthesis of Compositionally Variant Entropy-stabilized Oxides.

    PubMed

    Sivakumar, Sai; Zwier, Elizabeth; Meisenheimer, Peter Benjamin; Heron, John T

    2018-05-29

    Here, we present a procedure for the synthesis of bulk and thin film multicomponent (Mg0.25(1-x)CoxNi0.25(1-x)Cu0.25(1-x)Zn0.25(1-x))O (Co variant) and (Mg0.25(1-x)Co0.25(1-x)Ni0.25(1-x)CuxZn0.25(1-x))O (Cu variant) entropy-stabilized oxides. Phase pure and chemically homogeneous (Mg0.25(1-x)CoxNi0.25(1-x)Cu0.25(1-x)Zn0.25(1-x))O (x = 0.20, 0.27, 0.33) and (Mg0.25(1-x)Co0.25(1-x)Ni0.25(1-x)CuxZn0.25(1-x))O (x = 0.11, 0.27) ceramic pellets are synthesized and used in the deposition of ultra-high quality, phase pure, single crystalline thin films of the target stoichiometry. A detailed methodology for the deposition of smooth, chemically homogeneous, entropy-stabilized oxide thin films by pulsed laser deposition on (001)-oriented MgO substrates is described. The phase and crystallinity of bulk and thin film materials are confirmed using X-ray diffraction. Composition and chemical homogeneity are confirmed by X-ray photoelectron spectroscopy and energy dispersive X-ray spectroscopy. The surface topography of thin films is measured with scanning probe microscopy. The synthesis of high quality, single crystalline, entropy-stabilized oxide thin films enables the study of interface, size, strain, and disorder effects on the properties in this new class of highly disordered oxide materials.

  10. Ultra-thin smart acoustic metasurface for low-frequency sound insulation

    NASA Astrophysics Data System (ADS)

    Zhang, Hao; Xiao, Yong; Wen, Jihong; Yu, Dianlong; Wen, Xisen

    2016-04-01

    Insulating low-frequency sound is a conventional challenge due to the high areal mass required by mass law. In this letter, we propose a smart acoustic metasurface consisting of an ultra-thin aluminum foil bonded with piezoelectric resonators. Numerical and experimental results show that the metasurface can break the conventional mass law of sound insulation by 30 dB in the low frequency regime (<1000 Hz), with an ultra-light areal mass density (<1.6 kg/m2) and an ultra-thin thickness (1000 times smaller than the operating wavelength). The underlying physical mechanism of such extraordinary sound insulation performance is attributed to the infinite effective dynamic mass density produced by the smart resonators. It is also demonstrated that the excellent sound insulation property can be conveniently tuned by simply adjusting the external circuits instead of modifying the structure of the metasurface.

  11. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    NASA Astrophysics Data System (ADS)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  12. Laser cutting of ultra-thin glasses based on a nonlinear laser interaction effect

    NASA Astrophysics Data System (ADS)

    Chen, Jian; Wu, Zhouling

    2013-07-01

    Glass panel substrates have been widely used in consumer electronics such as in flat panel TVs, laptops, and cell phones. With the advancement in the industry, the glass substrates are becoming thinner and stronger for reduced weight and volume, which brings great challenges for traditional mechanical processes in terms of cut quality, yield, and throughput. Laser glass cutting provides a non-contact process with minimum impact and superior quality compared to the mechanical counterparts. In this paper, we presented recent progresses in advanced laser processing of ultra-thin glass substrates, especially laser-cutting of ultra-thin glasses by a high power laser through a nonlinear interaction effect. Our results indicate that this technique has great potential of application for mass production of ultra-thin glass substrates.

  13. Improving pH sensitivity by field-induced charge regulation in flexible biopolymer electrolyte gated oxide transistors

    NASA Astrophysics Data System (ADS)

    Liu, Ning; Gan, Lu; Liu, Yu; Gui, Weijun; Li, Wei; Zhang, Xiaohang

    2017-10-01

    Electrical manipulation of charged ions in electrolyte-gated transistors is crucial for enhancing the electric-double-layer (EDL) gating effect, thereby improving their sensing abilities. Here, indium-zinc-oxide (IZO) based thin-film-transistors (TFTs) are fabricated on flexible plastic substrate. Acid doped chitosan-based biopolymer electrolyte is used as the gate dielectric, exhibiting an extremely high EDL capacitance. By regulating the dynamic EDL charging process with special gate potential profiles, the EDL gating effect of the chitosan-gated TFT is enhanced, and then resulting in higher pH sensitivities. An extremely high sensitivity of ∼57.8 mV/pH close to Nernst limit is achieved when the gate bias of the TFT sensor sweeps at a rate of 10 mV/s. Additionally, an enhanced sensitivity of 2630% in terms of current variation with pH range from 11 to 3 is realized when the device is operated in the ion depletion mode with a negative gate bias of -0.7 V. Robust ionic modulation is demonstrated in such chitosan-gated sensors. Efficiently driving the charged ions in the chitosan-gated IZO-TFT provides a new route for ultrasensitive, low voltage, and low-cost biochemical sensing technologies.

  14. Flexible Proton-Gated Oxide Synaptic Transistors on Si Membrane.

    PubMed

    Zhu, Li Qiang; Wan, Chang Jin; Gao, Ping Qi; Liu, Yang Hui; Xiao, Hui; Ye, Ji Chun; Wan, Qing

    2016-08-24

    Ion-conducting materials have received considerable attention for their applications in fuel cells, electrochemical devices, and sensors. Here, flexible indium zinc oxide (InZnO) synaptic transistors with multiple presynaptic inputs gated by proton-conducting phosphorosilicate glass-based electrolyte films are fabricated on ultrathin Si membranes. Transient characteristics of the proton gated InZnO synaptic transistors are investigated, indicating stable proton-gating behaviors. Short-term synaptic plasticities are mimicked on the proposed proton-gated synaptic transistors. Furthermore, synaptic integration regulations are mimicked on the proposed synaptic transistor networks. Spiking logic modulations are realized based on the transition between superlinear and sublinear synaptic integration. The multigates coupled flexible proton-gated oxide synaptic transistors may be interesting for neuroinspired platforms with sophisticated spatiotemporal information processing.

  15. Analysis of stability improvement in ZnO thin film transistor with dual-gate structure under negative bias stress

    NASA Astrophysics Data System (ADS)

    Yun, Ho-Jin; Kim, Young-Su; Jeong, Kwang-Seok; Kim, Yu-Mi; Yang, Seung-dong; Lee, Hi-Deok; Lee, Ga-Won

    2014-01-01

    In this study, we fabricated dual-gate zinc oxide thin film transistors (ZnO TFTs) without additional processes and analyzed their stability characteristics under a negative gate bias stress (NBS) by comparison with conventional bottom-gate structures. The dual-gate device shows superior electrical parameters, such as subthreshold swing (SS) and on/off current ratio. NBS of VGS = -20 V with VDS = 0 was applied, resulting in a negative threshold voltage (Vth) shift. After applying stress for 1000 s, the Vth shift is 0.60 V in a dual-gate ZnO TFT, while the Vth shift is 2.52 V in a bottom-gate ZnO TFT. The stress immunity of the dual-gate device is caused by the change in field distribution in the ZnO channel by adding another gate as the technology computer aided design (TCAD) simulation shows. Additionally, in flicker noise analysis, a lower noise level with a different mechanism is observed in the dual-gate structure. This can be explained by the top side of the ZnO film having a larger crystal and fewer grain boundaries than the bottom side, which is revealed by the enhanced SS and XRD results. Therefore, the improved stability of the dual-gate ZnO TFT is greatly related to the E-field cancellation effect and crystal quality of the ZnO film.

  16. Thermoelectric properties of an ultra-thin topological insulator.

    PubMed

    Islam, S K Firoz; Ghosh, T K

    2014-04-23

    Thermoelectric coefficients of an ultra-thin topological insulator are presented here. The hybridization between top and bottom surface states of a topological insulator plays a significant role. In the absence of a magnetic field, the thermopower increases and thermal conductivity decreases with an increase in the hybridization energy. In the presence of a magnetic field perpendicular to the ultra-thin topological insulator, thermoelectric coefficients exhibit quantum oscillations with inverse magnetic field, whose frequency is strongly modified by the Zeeman energy and whose phase factor is governed by the product of the Landé g-factor and the hybridization energy. In addition to the numerical results, the low-temperature approximate analytical results for the thermoelectric coefficients are also provided. It is also observed that for a given magnetic field these transport coefficients oscillate with hybridization energy, at a frequency that depends on the Landé g-factor.

  17. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  18. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells

    PubMed Central

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-01

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping. PMID:28336851

  19. Nano-photonic structures for light trapping in ultra-thin crystalline silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a densemore » mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. Furthermore, this architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.« less

  20. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells.

    PubMed

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-13

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%-2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm² photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.

  1. Nano-photonic structures for light trapping in ultra-thin crystalline silicon solar cells

    DOE PAGES

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-13

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a densemore » mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. Furthermore, this architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.« less

  2. Variations of Contact Resistance in Dual-Gated Monolayer Molybdenum Disulfide Transistors Depending on Gate Bias Selection

    NASA Astrophysics Data System (ADS)

    Tran, P. X.

    2017-06-01

    Monolayer molybdenum disulfide (MoS2) is considered an alternative two-dimensional material for high performance ultra-thin field-effect transistors. MoS2 is a triple atomic layer with a direct 1.8 eV bandgap. Bulk MoS2 has an additional indirect bandgap of 1.2 eV, which leads to high current on/off ratio around 108. Flakes of MoS2 can be obtained by mechanical exfoliation or grown by chemical vapor deposition. Intrinsic cut-off frequency of multilayer MoS2 transistor has reached 42 GHz. Chemical doping of MoS2 is challenging and results in reduction of contact resistance. This paper focuses on modeling of dual-gated monolayer MoS2 transistors with effective mobility of carriers varying from 0.6 cm2/V s to 750 cm2/V s. In agreement with experimental data, the model demonstrates that in back-gate bias devices, the contact resistance decreases almost exponentially with increasing gate bias, whereas in top-gate bias devices, the contact resistance stays invariant when varying gate bias.

  3. Solution-Processed Flexible Fluorine-doped Indium Zinc Oxide Thin-Film Transistors Fabricated on Plastic Film at Low Temperature

    PubMed Central

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm2/V·s and stable characteristics under the various gate bias and temperature stresses. PMID:23803977

  4. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  5. Field effect transistor with HfO2/Parylene-C bilayer hybrid gate insulator

    NASA Astrophysics Data System (ADS)

    Kumar, Neeraj; Kito, Ai; Inoue, Isao

    2015-03-01

    We have investigated the electric field control of the carrier density and the mobility at the surface of SrTiO3, a well known transition-metal oxide, in a field effect transistor (FET) geometry. We have used a Parylene-C (8 nm)/HfO2 (20 nm) double-layer gate insulator (GI), which can be a potential candidate for a solid state GI for the future Mott FETs. So far, only examples of the Mott FET used liquid electrolyte or ferroelectric oxides for the GI. However, possible electrochemical reaction at the interface causes damage to the surface of the Mott insulator. Thus, an alternative GI has been highly desired. We observed that even an ultra thin Parylene-C layer is effective for keeping the channel surface clean and free from oxygen vacancies. The 8 nm Parylene-C film has a relatively low resistance and consequentially its capacitance does not dominate the total capacitance of the Parylene-C/HfO2 GI. The breakdown gate voltage at 300 K is usually more than 10 V (~ 3.4 MV/cm). At gate voltage of 3 V the carrier density measured by the Hall effect is about 3 ×1013 cm-2, competent to cause the Mott transition. Moreover, the field effect mobility reaches in the range of 10 cm2/Vs indicating the Parylene-C passivated surface is actually very clean.

  6. A method to monitor the quality of ultra-thin nitride for trench DRAM with a buried strap structure

    NASA Astrophysics Data System (ADS)

    Wu, Yung-Hsien; Wang, Chun-Yao; Chang, Ian; Kao, Chien-Kang; Kuo, Chia-Ming; Ku, Alex

    2007-02-01

    A new approach to monitor the quality of an ultra-thin nitride film has been proposed. The nitride quality is monitored by observing the oxide thickness for the nitride film after wet oxidation since the resistance to oxidation strongly depends on its quality. To obtain a stable oxide thickness without interference from extrinsic factors for process monitoring, monitor wafers without dilute HF solution clean are suggested because the native-oxide containing surface is less sensitive to oxygen and therefore forms the nitride film with stable quality. In addition, the correlation between variable retention time (VRT) performance of a real dynamic random access memory (DRAM) product and oxide thickness from different nitride process temperatures can be successfully explained and this correlation can also be used to establish the appropriate oxide thickness range for process monitoring.

  7. Modulating Thin Film Transistor Characteristics by Texturing the Gate Metal.

    PubMed

    Nair, Aswathi; Bhattacharya, Prasenjit; Sambandan, Sanjiv

    2017-12-20

    The development of reliable, high performance integrated circuits based on thin film transistors (TFTs) is of interest for the development of flexible electronic circuits. In this work we illustrate the modulation of TFT transconductance via the texturing of the gate metal created by the addition of a conductive pattern on top of a planar gate. Texturing results in the semiconductor-insulator interface acquiring a non-planar geometry with local variations in the radius of curvature. This influences various TFT parameters such as the subthreshold slope, gate voltage at the onset of conduction, contact resistance and gate capacitance. Specific studies are performed on textures based on periodic striations oriented along different directions. Textured TFTs showed upto ±40% variation in transconductance depending on the texture orientation as compared to conventional planar gate TFTs. Analytical models are developed and compared with experiments. Gain boosting in common source amplifiers based on textured TFTs as compared to conventional TFTs is demonstrated.

  8. Unusual instability mode of transparent all oxide thin film transistor under dynamic bias condition

    NASA Astrophysics Data System (ADS)

    Oh, Himchan; Hwang, Chi-Sun; Pi, Jae-Eun; Ki Ryu, Min; Ko Park, Sang-Hee; Yong Chu, Hye

    2013-09-01

    We report a degradation behavior of fully transparent oxide thin film transistor under dynamic bias stress which is the condition similar to actual pixel switching operation in active matrix display. After the stress test, drain current increased while the threshold voltage was almost unchanged. We found that shortening of effective channel length is leading cause of increase in drain current. Electrons activate the neutral donor defects by colliding with them during short gate-on period. These ionized donors are stabilized during the subsequent gate-off period due to electron depletion. This local increase in doping density reduces the channel length.

  9. Low-Power and High-Speed Technique for logic Gates in 20nm Double-Gate FinFET Technology

    NASA Astrophysics Data System (ADS)

    Priydarshi, A.; Chattopadhyay, M. K.

    2016-10-01

    The FinFET is the leading example of multigate MOSFETS to substitute conventional single gate MOSFETs for ultimate scaling [1], The FinFET structure is a combination of a thin channel region and a double gate to suppress the short channel effects (SCEs) and Vthvariation [2], By using FinFET,figure of merits viz, ION, IOFF, output resistance, propagation delay, noise margin and leakage power, can be improved for ultra low power and high performance applications[3]. In this paper, a new high speed low power dynamic circuit design technique has been proposed using 20nm FinFETs. By applying the appropriate clock and sleep signal to the back gates of the FinFETs, the proposed circuit can efficiently control the dynamic power, During the pre-charging period, Vth of PMOS is controlled low so that a fast precharging can occur;

  10. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    PubMed Central

    Noviyana, Imas; Lestari, Annisa Dwi; Putri, Maryane; Won, Mi-Sook; Bae, Jong-Seong; Heo, Young-Woo; Lee, Hee Young

    2017-01-01

    Top-contact bottom-gate thin film transistors (TFTs) with zinc-rich indium zinc tin oxide (IZTO) active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C. PMID:28773058

  11. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  12. Static and low frequency noise characterization of ultra-thin body InAs MOSFETs

    NASA Astrophysics Data System (ADS)

    Karatsori, T. A.; Pastorek, M.; Theodorou, C. G.; Fadjie, A.; Wichmann, N.; Desplanque, L.; Wallart, X.; Bollaert, S.; Dimitriadis, C. A.; Ghibaudo, G.

    2018-05-01

    A complete static and low frequency noise characterization of ultra-thin body InAs MOSFETs is presented. Characterization techniques, such as the well-known Y-function method established for Si MOSFETs, are applied in order to extract the electrical parameters and study the behavior of these research grade devices. Additionally, the Lambert-W function parameter extraction methodology valid from weak to strong inversion is also used in order to verify its applicability in these experimental level devices. Moreover, a low-frequency noise characterization of the UTB InAs MOSFETs is presented, revealing carrier trapping/detrapping in slow oxide traps and remote Coulomb scattering as origin of 1/f noise, which allowed for the extraction of the oxide trap areal density. Finally, Lorentzian-like noise is also observed in the sub-micron area devices and attributed to both Random Telegraph Noise from oxide individual traps and g-r noise from the semiconductor interface.

  13. Chemical surface deposition of ultra-thin semiconductors

    DOEpatents

    McCandless, Brian E.; Shafarman, William N.

    2003-03-25

    A chemical surface deposition process for forming an ultra-thin semiconducting film of Group IIB-VIA compounds onto a substrate. This process eliminates particulates formed by homogeneous reactions in bath, dramatically increases the utilization of Group IIB species, and results in the formation of a dense, adherent film for thin film solar cells. The process involves applying a pre-mixed liquid coating composition containing Group IIB and Group VIA ionic species onto a preheated substrate. Heat from the substrate causes a heterogeneous reaction between the Group IIB and VIA ionic species of the liquid coating composition, thus forming a solid reaction product film on the substrate surface.

  14. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  15. Ultra-high cooling rate utilizing thin film evaporation

    NASA Astrophysics Data System (ADS)

    Su, Fengmin; Ma, Hongbin; Han, Xu; Chen, Hsiu-hung; Tian, Bohan

    2012-09-01

    This research introduces a cell cryopreservation method, which utilizes thin film evaporation and provides an ultra-high cooling rate. The microstructured surface forming the thin film evaporation was fabricated from copper microparticles with an average diameter of 50 μm. Experimental results showed that a cooling rate of approximately 5×104 °C/min was achieved in a temperature range from 10 °C to -187 °C. The current investigation will give birth to a cell cryopreservation method through vitrification with relatively low concentrations of cryoprotectants.

  16. Self-assembled Co-BaZrO 3 nanocomposite thin films with ultra-fine vertically aligned Co nanopillars

    DOE PAGES

    Huang, Jijie; Li, Leigang; Lu, Ping; ...

    2017-05-11

    A simple one-step pulsed laser deposition (PLD) method has been applied to grow self-assembled metal-oxide nanocomposite thin films. The as-deposited Co-BaZrO 3 films show high epitaxial quality with ultra-fine vertically aligned Co nanopillars (diameter <5 nm) embeded in BZO matrix. The diameter of the nanopillars can be further tuned by varying the deposition frequency. The metal and oxide phases grow separately without inter-diffusion or mixing. Taking advantage of this unique structure, a high saturation magnetization of ~1375 emu/cm 3 in the Co- BaZrO 3 nanocomposites has been achieved and further confirmed by Lorentz microscopy imaging in TEM. Furthermore, the coercivitymore » values of this nanocomposite thin films range from 600 Oe (20 Hz) to 1020 Oe (2 Hz), which makes the nanocomposite an ideal candidate for high-density perpendicular recording media.« less

  17. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    NASA Astrophysics Data System (ADS)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-02-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  18. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    NASA Astrophysics Data System (ADS)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-05-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  19. Determining thickness and refractive index from free-standing ultra-thin polymer films with spectroscopic ellipsometry

    DOE PAGES

    Hilfiker, James N.; Stadermann, Michael; Sun, Jianing; ...

    2016-08-27

    It is a well-known challenge to determine refractive index (n) from ultra-thin films where the thickness is less than about 10 nm. In this paper, we discovered an interesting exception to this issue while characterizing spectroscopic ellipsometry (SE) data from isotropic, free-standing polymer films. Ellipsometry analysis shows that both thickness and refractive index can be independently determined for free-standing films as thin as 5 nm. Simulations further confirm an orthogonal separation between thickness and index effects on the experimental SE data. Effects of angle of incidence and wavelength on the data and sensitivity are discussed. Finally, while others have demonstratedmore » methods to determine refractive index from ultra-thin films, our analysis provides the first results to demonstrate high-sensitivity to the refractive index from ultra-thin layers.« less

  20. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  1. Ultra-thin enhanced-absorption long-wave infrared detectors

    NASA Astrophysics Data System (ADS)

    Wang, Shaohua; Yoon, Narae; Kamboj, Abhilasha; Petluru, Priyanka; Zheng, Wanhua; Wasserman, Daniel

    2018-02-01

    We propose an architecture for enhanced absorption in ultra-thin strained layer superlattice detectors utilizing a hybrid optical cavity design. Our detector architecture utilizes a designer-metal doped semiconductor ground plane beneath the ultra-subwavelength thickness long-wavelength infrared absorber material, upon which we pattern metallic antenna structures. We demonstrate the potential for near 50% detector absorption in absorber layers with thicknesses of approximately λ0/50, using realistic material parameters. We investigate detector absorption as a function of wavelength and incidence angle, as well as detector geometry. The proposed device architecture offers the potential for high efficiency detectors with minimal growth costs and relaxed design parameters.

  2. Ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory

    NASA Astrophysics Data System (ADS)

    Han, Jinhua; Wang, Wei; Ying, Jun; Xie, Wenfa

    2014-01-01

    An ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory was demonstrated, with discrete distributed gold nanoparticles, tetratetracontane (TTC), pentacene as the floating-gate layer, tunneling layer, and active layer, respectively. The electron traps at the TTC/pentacene interface were significantly suppressed, which resulted in an ambipolar operation in present memory. As both electrons and holes were supplied in the channel and trapped in the floating-gate by programming/erasing operations, respectively, i.e., one type of charge carriers was used to overwrite the other, trapped, one, a large memory window, extending on both sides of the initial threshold voltage, was realized.

  3. Polysilicon Gate Enhancement of the Random Dopant Induced Threshold Voltage Fluctuations in Sub-100 nm MOSFET's with Ultrathin Gate Oxide

    NASA Technical Reports Server (NTRS)

    Asenov, Asen; Saini, Subhash

    2000-01-01

    In this paper, we investigate various aspects of the polysilicon gate influence on the random dopant induced threshold voltage fluctuations in sub-100 nm MOSFET's with ultrathin gate oxides. The study is done by using an efficient statistical three-dimensional (3-D) "atomistic" simulation technique described else-where. MOSFET's with uniform channel doping and with low doped epitaxial channels have been investigated. The simulations reveal that even in devices with a single crystal gate the gate depletion and the random dopants in it are responsible for a substantial fraction of the threshold voltage fluctuations when the gate oxide is scaled-in the range of 1-2 nm. Simulation experiments have been used in order to separate the enhancement in the threshold voltage fluctuations due to an effective increase in the oxide thickness associated with the gate depletion from the direct influence of the random dopants in the gate depletion layer. The results of the experiments show that the both factors contribute to the enhancement of the threshold voltage fluctuations, but the effective increase in the oxide-thickness has a dominant effect in the investigated range of devices. Simulations illustrating the effect or the polysilicon grain boundaries on the threshold voltage variation are also presented.

  4. Suspended sub-50 nm vanadium dioxide membrane transistors: fabrication and ionic liquid gating studies

    NASA Astrophysics Data System (ADS)

    Sim, Jai S.; Zhou, You; Ramanathan, Shriram

    2012-10-01

    We demonstrate a robust lithographic patterning method to fabricate self-supported sub-50 nm VO2 membranes that undergo a phase transition. Utilizing such self-supported membranes, we directly observed a shift in the metal-insulator transition temperature arising from stress relaxation and consistent opening of the hysteresis. Electric double layer transistors were then fabricated with the membranes and compared to thin film devices. The ionic liquid allowed reversible modulation of channel resistance and distinguishing bulk processes from the surface effects. From the shift in the metal-insulator transition temperature, the carrier density doped through electrolyte gating is estimated to be 1 × 1020 cm-3. Hydrogen annealing studies showed little difference in resistivity between the film and the membrane indicating rapid diffusion of hydrogen in the vanadium oxide rutile lattice consistent with previous observations. The ability to fabricate electrically-wired, suspended VO2 ultra-thin membranes creates new opportunities to study mesoscopic size effects on phase transitions and may also be of interest in sensor devices.

  5. Ion Sensitive Transparent-Gate Transistor for Visible Cell Sensing.

    PubMed

    Sakata, Toshiya; Nishimura, Kotaro; Miyazawa, Yuuya; Saito, Akiko; Abe, Hiroyuki; Kajisa, Taira

    2017-04-04

    In this study, we developed an ion-sensitive transparent-gate transistor (IS-TGT) for visible cell sensing. The gate sensing surface of the IS-TGT is transparent in a solution because a transparent amorphous oxide semiconductor composed of amorphous In-Ga-Zn-oxide (a-IGZO) with a thin SiO 2 film gate that includes an indium tin oxide (ITO) film as the source and drain electrodes is utilized. The pH response of the IS-TGT was found to be about 56 mV/pH, indicating approximately Nernstian response. Moreover, the potential signals of the IS-TGT for sodium and potassium ions, which are usually included in biological environments, were evaluated. The optical and electrical properties of the IS-TGT enable cell functions to be monitored simultaneously with microscopic observation and electrical measurement. A platform based on the IS-TGT can be used as a simple and cost-effective plate-cell-sensing system based on thin-film fabrication technology in the research field of life science.

  6. Influence of Surface Passivation on AlN Barrier Stress and Scattering Mechanism in Ultra-thin AlN/GaN Heterostructure Field-Effect Transistors.

    PubMed

    Lv, Y J; Song, X B; Wang, Y G; Fang, Y L; Feng, Z H

    2016-12-01

    Ultra-thin AlN/GaN heterostructure field-effect transistors (HFETs) with, and without, SiN passivation were fabricated by the same growth and device processes. Based on the measured DC characteristics, including the capacitance-voltage (C-V) and output current-voltage (I-V) curves, the variation of electron mobility with gate bias was found to be quite different for devices with, and without, SiN passivation. Although the AlN barrier layer is ultra thin (c. 3 nm), it was proved that SiN passivation induces no additional tensile stress and has no significant influence on the piezoelectric polarization of the AlN layer using Hall and Raman measurements. The SiN passivation was found to affect the surface properties, thereby increasing the electron density of the two-dimensional electron gas (2DEG) under the access region. The higher electron density in the access region after SiN passivation enhanced the electrostatic screening for the non-uniform distributed polarization charges, meaning that the polarization Coulomb field scattering has a weaker effect on the electron drift mobility in AlN/GaN-based devices.

  7. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizingmore » controllable high-performance stable transistors.« less

  8. Sub-0.5 V Highly Stable Aqueous Salt Gated Metal Oxide Electronics

    PubMed Central

    Park, Sungjun; Lee, SeYeong; Kim, Chang-Hyun; Lee, Ilseop; Lee, Won-June; Kim, Sohee; Lee, Byung-Geun; Jang, Jae-Hyung; Yoon, Myung-Han

    2015-01-01

    Recently, growing interest in implantable bionics and biochemical sensors spurred the research for developing non-conventional electronics with excellent device characteristics at low operation voltages and prolonged device stability under physiological conditions. Herein, we report high-performance aqueous electrolyte-gated thin-film transistors using a sol-gel amorphous metal oxide semiconductor and aqueous electrolyte dielectrics based on small ionic salts. The proper selection of channel material (i.e., indium-gallium-zinc-oxide) and precautious passivation of non-channel areas enabled the development of simple but highly stable metal oxide transistors manifested by low operation voltages within 0.5 V, high transconductance of ~1.0 mS, large current on-off ratios over 107, and fast inverter responses up to several hundred hertz without device degradation even in physiologically-relevant ionic solutions. In conjunction with excellent transistor characteristics, investigation of the electrochemical nature of the metal oxide-electrolyte interface may contribute to the development of a viable bio-electronic platform directly interfacing with biological entities in vivo. PMID:26271456

  9. Analysis of amorphous indium-gallium-zinc-oxide thin-film transistor contact metal using Pilling-Bedworth theory and a variable capacitance diode model

    NASA Astrophysics Data System (ADS)

    Kiani, Ahmed; Hasko, David G.; Milne, William I.; Flewitt, Andrew J.

    2013-04-01

    It is widely reported that threshold voltage and on-state current of amorphous indium-gallium-zinc-oxide bottom-gate thin-film transistors are strongly influenced by the choice of source/drain contact metal. Electrical characterisation of thin-film transistors indicates that the electrical properties depend on the type and thickness of the metal(s) used. Electron transport mechanisms and possibilities for control of the defect state density are discussed. Pilling-Bedworth theory for metal oxidation explains the interaction between contact metal and amorphous indium-gallium-zinc-oxide, which leads to significant trap formation. Charge trapping within these states leads to variable capacitance diode-like behavior and is shown to explain the thin-film transistor operation.

  10. Effect of active-layer composition and structure on device performance of coplanar top-gate amorphous oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Yue, Lan; Meng, Fanxin; Chen, Jiarong

    2018-01-01

    The thin-film transistors (TFTs) with amorphous aluminum-indium-zinc-oxide (a-AIZO) active layer were prepared by dip coating method. The dependence of properties of TFTs on the active-layer composition and structure was investigated. The results indicate that Al atoms acted as a carrier suppressor in IZO films. Meanwhile, it was found that the on/off current ratio (I on/off) of TFT was improved by embedding a high-resistivity AIZO layer between the low-resistivity AIZO layer and gate insulator. The improvement in I on/off was attributed to the decrease in off-state current of double-active-layer TFT due to an increase in the active-layer resistance and the contact resistance between active layer and source/drain electrode. Moreover, on-state current and threshold voltage (V th) can be mainly controlled through thickness and Al content of the low-resistivity AIZO layer. In addition, the saturation mobility (μ sat) of TFTs was improved with reducing the size of channel width or/and length, which was attributed to the decrease in trap states in the semiconductor and at the semiconductor/gate-insulator interface with the smaller channel width or/and shorter channel length. Thus, we can demonstrate excellent TFTs via the design of active-layer composition and structure by utilizing a low cost solution-processed method. The resulting TFT, operating in enhancement mode, has a high μ sat of 14.16 cm2 V-1 s-1, a small SS of 0.40 V/decade, a close-to-zero V th of 0.50 V, and I on/off of more than 105.

  11. Gating geometry studies of thin-walled 17-4PH investment castings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maguire, M.C.; Zanner, F.J.

    1992-11-01

    The ability to design gating systems that reliably feed and support investment castings is often the result of ``cut-and-try`` methodology. Factors such as hot tearing, porosity, cold shuts, misruns, and shrink are defects often corrected by several empirical gating design iterations. Sandia National Laboratories is developing rules that aid in removing the uncertainty involved in the design of gating systems for investment castings. In this work, gating geometries used for filling of thin walled investment cast 17-4PH stainless steel flat plates were investigated. A full factorial experiment evaluating the influence of metal pour temperature, mold preheat temperature, and mold channelmore » thickness were conducted for orientations that filled a horizontal flat plate from the edge. A single wedge gate geometry was used for the edge-gated configuration. Thermocouples placed along the top of the mold recorded metal front temperatures, and a real-time x-ray imaging system tracked the fluid flow behavior during filling of the casting. Data from these experiments were used to determine the terminal fill volumes and terminal fill times for each gate design.« less

  12. Gating geometry studies of thin-walled 17-4PH investment castings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maguire, M.C.; Zanner, F.J.

    1992-01-01

    The ability to design gating systems that reliably feed and support investment castings is often the result of cut-and-try'' methodology. Factors such as hot tearing, porosity, cold shuts, misruns, and shrink are defects often corrected by several empirical gating design iterations. Sandia National Laboratories is developing rules that aid in removing the uncertainty involved in the design of gating systems for investment castings. In this work, gating geometries used for filling of thin walled investment cast 17-4PH stainless steel flat plates were investigated. A full factorial experiment evaluating the influence of metal pour temperature, mold preheat temperature, and mold channelmore » thickness were conducted for orientations that filled a horizontal flat plate from the edge. A single wedge gate geometry was used for the edge-gated configuration. Thermocouples placed along the top of the mold recorded metal front temperatures, and a real-time x-ray imaging system tracked the fluid flow behavior during filling of the casting. Data from these experiments were used to determine the terminal fill volumes and terminal fill times for each gate design.« less

  13. Temporal and voltage stress stability of high performance indium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Song, Yang; Katsman, Alexander; Butcher, Amy L.; Paine, David C.; Zaslavsky, Alexander

    2017-10-01

    Thin film transistors (TFTs) based on transparent oxide semiconductors, such as indium zinc oxide (IZO), are of interest due to their improved characteristics compared to traditional a-Si TFTs. Previously, we reported on top-gated IZO TFTs with an in-situ formed HfO2 gate insulator and IZO active channel, showing high performance: on/off ratio of ∼107, threshold voltage VT near zero, extracted low-field mobility μ0 = 95 cm2/V·s, and near-perfect subthreshold slope at 62 mV/decade. Since device stability is essential for technological applications, in this paper we report on the temporal and voltage stress stability of IZO TFTs. Our devices exhibit a small negative VT shift as they age, consistent with an increasing carrier density resulting from an increasing oxygen vacancy concentration in the channel. Under gate bias stress, freshly annealed TFTs show a negative VT shift during negative VG gate bias stress, while aged (>1 week) TFTs show a positive VT shift during negative VG stress. This indicates two competing mechanisms, which we identify as the field-enhanced generation of oxygen vacancies and the field-assisted migration of oxygen vacancies, respectively. A simplified kinetic model of the vacancy concentration evolution in the IZO channel under electrical stress is provided.

  14. Growth, stability and decomposition of Mg2Si ultra-thin films on Si (100)

    NASA Astrophysics Data System (ADS)

    Sarpi, B.; Zirmi, R.; Putero, M.; Bouslama, M.; Hemeryck, A.; Vizzini, S.

    2018-01-01

    Using Auger Electron Spectroscopy (AES), Scanning Tunneling Microscopy/Spectroscopy (STM/STS) and Low Energy Electron Diffraction (LEED), we report an in-situ study of amorphous magnesium silicide (Mg2Si) ultra-thin films grown by thermally enhanced solid-phase reaction of few Mg monolayers deposited at room temperature (RT) on a Si(100) surface. Silicidation of magnesium films can be achieved in the nanometric thickness range with high chemical purity and a high thermal stability after annealing at 150 °C, before reaching a regime of magnesium desorption for temperatures higher than 350 °C. The thermally enhanced reaction of one Mg monolayer (ML) results in the appearance of Mg2Si nanometric crystallites leaving the silicon surface partially uncovered. For thicker Mg deposition nevertheless, continuous 2D silicide films are formed with a volcano shape surface topography characteristic up to 4 Mg MLs. Due to high reactivity between magnesium and oxygen species, the thermal oxidation process in which a thin Mg2Si film is fully decomposed (0.75 eV band gap) into a magnesium oxide layer (6-8 eV band gap) is also reported.

  15. Impact of post metal annealing on gate work function engineering for advanced MOS applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, S. Sachin, E-mail: ssachikl995@yahoo.in; Prasad, Amitesh; Sinha, Amrita

    2016-05-06

    Ultra thin HfO{sub 2} high-k gate dielectric has been deposited directly on strained Si{sub 0.81}Ge{sub 0.19} by Atomic Layer Deposition (ALD) technique. The influence of different types of metal gate electrodes (Al, Au, Pt) on electrical characteristics of Metal-Oxide-Semiconductor capacitors has been studied. Our results show that the electrical characteristics of MOS device are highly dependent on the gate electrodes used. The dependency of electrical characteristics on post metal annealing was studied in detail. The measured flat band (V{sub fb}) and hysteresis (ΔV{sub fb}) from high frequency C-V characteristics were used to study the pre-existing traps in the dielectric. Impactmore » of PMA on interface state density (D{sub it}), border trap density (N{sub bt}) and oxide trap density (Q{sub f/q}) of high-k gate stack were also examined for all the devices. The N{sub bt} and frequency dispersion significantly reduces to ~2.77x1010 cm{sup −2} and ~11.34 % respectively in case of Al electrode with a Dit value of ~4x10{sup 12} eV{sup −1}cm{sup −2} after PMA (350°C) in N{sub 2}, suggesting an improvement in device performance while Pt electrode shows a much less value of ΔVfb (~0.02 V) and Dit (~3.44x10{sup 12} eV{sup −1}cm{sup −2}) after PMA.« less

  16. Research on precision grinding technology of large scale and ultra thin optics

    NASA Astrophysics Data System (ADS)

    Zhou, Lian; Wei, Qiancai; Li, Jie; Chen, Xianhua; Zhang, Qinghua

    2018-03-01

    The flatness and parallelism error of large scale and ultra thin optics have an important influence on the subsequent polishing efficiency and accuracy. In order to realize the high precision grinding of those ductile elements, the low deformation vacuum chuck was designed first, which was used for clamping the optics with high supporting rigidity in the full aperture. Then the optics was planar grinded under vacuum adsorption. After machining, the vacuum system was turned off. The form error of optics was on-machine measured using displacement sensor after elastic restitution. The flatness would be convergenced with high accuracy by compensation machining, whose trajectories were integrated with the measurement result. For purpose of getting high parallelism, the optics was turned over and compensation grinded using the form error of vacuum chuck. Finally, the grinding experiment of large scale and ultra thin fused silica optics with aperture of 430mm×430mm×10mm was performed. The best P-V flatness of optics was below 3 μm, and parallelism was below 3 ″. This machining technique has applied in batch grinding of large scale and ultra thin optics.

  17. Bias stress instability of double-gate a-IGZO TFTs on polyimide substrate

    NASA Astrophysics Data System (ADS)

    Cho, Won-Ju; Ahn, Min-Ju

    2017-09-01

    In this study, flexible double-gate thin-film transistor (TFT)-based amorphous indium-galliumzinc- oxide (a-IGZO) was fabricated on a polyimide substrate. Double-gate operation with connected front and back gates was compared with a single-gate operation. As a result, the double-gate a- IGZO TFT exhibited enhanced electrical characteristics as well as improved long-term reliability. Under positive- and negative-bias temperature stress, the threshold voltage shift of the double-gate operation was much smaller than that of the single-gate operation.

  18. Self-aligned top-gate amorphous indium zinc oxide thin-film transistors exceeding low-temperature poly-Si transistor performance.

    PubMed

    Park, Jae Chul; Lee, Ho-Nyeon; Im, Seongil

    2013-08-14

    Thin-film transistor (TFT) is a key component of active-matrix flat-panel displays (AMFPDs). These days, the low-temperature poly silicon (LTPS) TFTs are to match with advanced AMFPDs such as the active matrix organic light-emitting diode (AMOLED) display, because of their high mobility for fast pixel switching. However, the manufacturing process of LTPS TFT is quite complicated, costly, and scale-limited. Amorphous oxide semiconductor (AOS) TFT technology is another candidate, which is as simple as that of conventioanl amorphous (a)-Si TFTs in fabrication but provides much superior device performances to those of a-Si TFTs. Hence, various AOSs have been compared with LTPS for active channel layer of the advanced TFTs, but have always been found to be relatively inferior to LTPS. In the present work, we clear the persistent inferiority, innovating the device performaces of a-IZO TFT by adopting a self-aligned coplanar top-gate structure and modifying the surface of a-IZO material. Herein, we demonstrate a high-performance simple-processed a-IZO TFT with mobility of ∼157 cm(2) V(-1) s(-1), SS of ∼190 mV dec(-1), and good bias/photostabilities, which overall surpass the performances of high-cost LTPS TFTs.

  19. Thin films of fullerene-like MoS2 nanoparticles with ultra-low friction and wear

    PubMed

    Chhowalla; Amaratunga

    2000-09-14

    The tribological properties of solid lubricants such as graphite and the metal dichalcogenides MX2 (where M is molybdenum or tungsten and X is sulphur or selenium) are of technological interest for reducing wear in circumstances where liquid lubricants are impractical, such as in space technology, ultra-high vacuum or automotive transport. These materials are characterized by weak interatomic interactions (van der Waals forces) between their layered structures, allowing easy, low-strength shearing. Although these materials exhibit excellent friction and wear resistance and extended lifetime in vacuum, their tribological properties remain poor in the presence of humidity or oxygen, thereby limiting their technological applications in the Earth's atmosphere. But using MX2 in the form of isolated inorganic fullerene-like hollow nanoparticles similar to carbon fullerenes and nanotubes can improve its performance. Here we show that thin films of hollow MoS2 nanoparticles, deposited by a localized high-pressure arc discharge method, exhibit ultra-low friction (an order of magnitude lower than for sputtered MoS2 thin films) and wear in nitrogen and 45% humidity. We attribute this 'dry' behaviour in humid environments to the presence of curved S-Mo-S planes that prevent oxidation and preserve the layered structure.

  20. Thin films of fullerene-like MoS2 nanoparticles with ultra-low friction and wear

    NASA Astrophysics Data System (ADS)

    Chhowalla, Manish; Amaratunga, Gehan A. J.

    2000-09-01

    The tribological properties of solid lubricants such as graphite and the metal dichalcogenides MX2 (where M is molybdenum or tungsten and X is sulphur or selenium) are of technological interest for reducing wear in circumstances where liquid lubricants are impractical, such as in space technology, ultra-high vacuum or automotive transport. These materials are characterized by weak interatomic interactions (van der Waals forces) between their layered structures, allowing easy, low-strength shearing. Although these materials exhibit excellent friction and wear resistance and extended lifetime in vacuum, their tribological properties remain poor in the presence of humidity or oxygen, thereby limiting their technological applications in the Earth's atmosphere. But using MX2 in the form of isolated inorganic fullerene-like hollow nanoparticles similar to carbon fullerenes and nanotubes can improve its performance. Here we show that thin films of hollow MoS2 nanoparticles, deposited by a localized high-pressure arc discharge method, exhibit ultra-low friction (an order of magnitude lower than for sputtered MoS2 thin films) and wear in nitrogen and 45% humidity. We attribute this `dry' behaviour in humid environments to the presence of curved S-Mo-S planes that prevent oxidation and preserve the layered structure.

  1. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S.

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer intomore » the IGZO and resulted in higher resistivity films.« less

  2. Influences of Gate Bias and Light Stresses on Device Characteristics of High-Energy Electron-Beam-Irradiated Indium Gallium Zinc Oxide Based Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Yu, Kyeong Min; Moon, Hye Ji; Ryu, Min Ki; Cho, Kyoung Ik; Yun, Eui-Jung; Bae, Byung Seong

    2012-09-01

    Under white light illumination, amorphous indium-gallium-zinc oxide (a-IGZO)-based thin-film transistors (TFTs) showed a large negative shift of threshold voltage of more than -15 V depending on the process conditions. We investigated the influences of both gate bias and white light illumination on device properties of IGZO-based TFTs untreated and treated with high-energy electron beam irradiation (HEEBI). The TFTs were treated with HEEBI in air at room temperature (RT), electron beam energy of 0.8 MeV, and a dose of 1×1014 electrons/cm2. The HEEBI-treated TFTs showed an improved stability under negative bias illumination stress (NBIS) and positive bias illumination stress (PBIS) compared with non-HEEBI-treated TFTs, suggesting that the acceptor-like defects might be generated by HEEBI treatment near the valence band edge.

  3. Source-gated transistors for order-of-magnitude performance improvements in thin-film digital circuits

    NASA Astrophysics Data System (ADS)

    Sporea, R. A.; Trainor, M. J.; Young, N. D.; Shannon, J. M.; Silva, S. R. P.

    2014-03-01

    Ultra-large-scale integrated (ULSI) circuits have benefited from successive refinements in device architecture for enormous improvements in speed, power efficiency and areal density. In large-area electronics (LAE), however, the basic building-block, the thin-film field-effect transistor (TFT) has largely remained static. Now, a device concept with fundamentally different operation, the source-gated transistor (SGT) opens the possibility of unprecedented functionality in future low-cost LAE. With its simple structure and operational characteristics of low saturation voltage, stability under electrical stress and large intrinsic gain, the SGT is ideally suited for LAE analog applications. Here, we show using measurements on polysilicon devices that these characteristics lead to substantial improvements in gain, noise margin, power-delay product and overall circuit robustness in digital SGT-based designs. These findings have far-reaching consequences, as LAE will form the technological basis for a variety of future developments in the biomedical, civil engineering, remote sensing, artificial skin areas, as well as wearable and ubiquitous computing, or lightweight applications for space exploration.

  4. Source-gated transistors for order-of-magnitude performance improvements in thin-film digital circuits

    PubMed Central

    Sporea, R. A.; Trainor, M. J.; Young, N. D.; Shannon, J. M.; Silva, S. R. P.

    2014-01-01

    Ultra-large-scale integrated (ULSI) circuits have benefited from successive refinements in device architecture for enormous improvements in speed, power efficiency and areal density. In large-area electronics (LAE), however, the basic building-block, the thin-film field-effect transistor (TFT) has largely remained static. Now, a device concept with fundamentally different operation, the source-gated transistor (SGT) opens the possibility of unprecedented functionality in future low-cost LAE. With its simple structure and operational characteristics of low saturation voltage, stability under electrical stress and large intrinsic gain, the SGT is ideally suited for LAE analog applications. Here, we show using measurements on polysilicon devices that these characteristics lead to substantial improvements in gain, noise margin, power-delay product and overall circuit robustness in digital SGT-based designs. These findings have far-reaching consequences, as LAE will form the technological basis for a variety of future developments in the biomedical, civil engineering, remote sensing, artificial skin areas, as well as wearable and ubiquitous computing, or lightweight applications for space exploration. PMID:24599023

  5. Thin Film Transistors On Plastic Substrates

    DOEpatents

    Carey, Paul G.; Smith, Patrick M.; Sigmon, Thomas W.; Aceves, Randy C.

    2004-01-20

    A process for formation of thin film transistors (TFTs) on plastic substrates replaces standard thin film transistor fabrication techniques, and uses sufficiently lower processing temperatures so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The silicon based thin film transistor produced by the process includes a low temperature substrate incapable of withstanding sustained processing temperatures greater than about 250.degree. C., an insulating layer on the substrate, a layer of silicon on the insulating layer having sections of doped silicon, undoped silicon, and poly-silicon, a gate dielectric layer on the layer of silicon, a layer of gate metal on the dielectric layer, a layer of oxide on sections of the layer of silicon and the layer of gate metal, and metal contacts on sections of the layer of silicon and layer of gate metal defining source, gate, and drain contacts, and interconnects.

  6. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    PubMed

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  7. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics

    PubMed Central

    Hutchins, Daniel O.; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E.; Castner, David G.; Ma, Hong; Jen, Alex K.-Y.

    2013-01-01

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlOx (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10−8 A cm−2 and capacitance density of 0.62 µF cm−2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm2 V−1 s−1. PMID:24288423

  8. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations

    NASA Astrophysics Data System (ADS)

    Xu, Hao; Yang, Hong; Luo, Wei-Chun; Xu, Ye-Feng; Wang, Yan-Rong; Tang, Bo; Wang, Wen-Wu; Qi, Lu-Wei; Li, Jun-Feng; Yan, Jiang; Zhu, Hui-Long; Zhao, Chao; Chen, Da-Peng; Ye, Tian-Chun

    2016-08-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it/N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. Project supported by the National High Technology Research and Development Program of China (Grant No. SS2015AA010601), the National Natural Science Foundation of China (Grant Nos. 61176091 and 61306129), and the Opening Project of Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of MicroElectronics of Chinese Academy of Sciences.

  9. Ultra-thin microporous/hybrid materials

    DOEpatents

    Jiang, Ying-Bing [Albuquerque, NM; Cecchi, Joseph L [Albuquerque, NM; Brinker, C Jeffrey [Albuquerque, NM

    2012-05-29

    Ultra-thin hybrid and/or microporous materials and methods for their fabrication are provided. In one embodiment, the exemplary hybrid membranes can be formed including successive surface activation and reaction steps on a porous support that is patterned or non-patterned. The surface activation can be performed using remote plasma exposure to locally activate the exterior surfaces of porous support. Organic/inorganic hybrid precursors such as organometallic silane precursors can be condensed on the locally activated exterior surfaces, whereby ALD reactions can then take place between the condensed hybrid precursors and a reactant. Various embodiments can also include an intermittent replacement of ALD precursors during the membrane formation so as to enhance the hybrid molecular network of the membranes.

  10. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  11. Effect of gate voltage polarity on the ionic liquid gating behavior of NdNiO 3/NdGaO 3 heterostructures

    DOE PAGES

    Dong, Yongqi; Xu, Haoran; Luo, Zhenlin; ...

    2017-05-16

    The effect of gate voltage polarity on the behavior of NdNiO 3 epitaxial thin films during ionic liquid gating is studied using in situ synchrotron X-ray techniques. We show that while negative biases have no discernible effect on the structure or composition of the films, large positive gate voltages result in the injection of a large concentration of oxygen vacancies (similar to 3%) and pronounced lattice expansion (0.17%) in addition to a 1000-fold increase in sheet resistance at room temperature. Despite the creation of large defect densities, the heterostructures exhibit a largely reversible switching behavior when sufficient time is providedmore » for the vacancies to migrate in and out of the thin film surface. The results confirm that electrostatic gating takes place at negative gate voltages for p-type complex oxides while positive voltages favor the electrochemical reduction of Ni 3+. Switching between positive and negative gate voltages therefore involves a combination of electronic and ionic doping processes that may be utilized in future electrochemical transistors.« less

  12. Interface and gate bias dependence responses of sensing organic thin-film transistors.

    PubMed

    Tanese, Maria Cristina; Fine, Daniel; Dodabalapur, Ananth; Torsi, Luisa

    2005-11-15

    The effects of the exposure of organic thin-film transistors, comprising different organic semiconductors and gate dielectrics, to 1-pentanol are investigated. The transistor sensors exhibited an increase or a decrease of the transient source-drain current in the presence of the analyte, most likely as a result of a trapping or of a doping process of the organic active layer. The occurrence of these two effects, that can also coexist, depend on the gate-dielectric/organic semiconductor interface and on the applied gate field. Evidence of a systematic and sizable response enhancement for an OTFT sensor operated in the enhanced mode is also presented.

  13. Enhancement of absorption and color contrast in ultra-thin highly absorbing optical coatings

    NASA Astrophysics Data System (ADS)

    Kats, Mikhail A.; Byrnes, Steven J.; Blanchard, Romain; Kolle, Mathias; Genevet, Patrice; Aizenberg, Joanna; Capasso, Federico

    2013-09-01

    Recently a new class of optical interference coatings was introduced which comprises ultra-thin, highly absorbing dielectric layers on metal substrates. We show that these lossy coatings can be augmented by an additional transparent subwavelength layer. We fabricated a sample comprising a gold substrate, an ultra-thin film of germanium with a thickness gradient, and several alumina films. The experimental reflectivity spectra showed that the additional alumina layer increases the color range that can be obtained, in agreement with calculations. More generally, this transparent layer can be used to enhance optical absorption, protect against erosion, or as a transparent electrode for optoelectronic devices.

  14. Ultra Thin Poly-Si Nanosheet Junctionless Field-Effect Transistor with Nickel Silicide Contact

    PubMed Central

    Lin, Yu-Ru; Tsai, Wan-Ting; Wu, Yung-Chun; Lin, Yu-Hsien

    2017-01-01

    This study demonstrated an ultra thin poly-Si junctionless nanosheet field-effect transistor (JL NS-FET) with nickel silicide contact. For the nickel silicide film, two-step annealing and a Ti capping layer were adopted to form an ultra thin uniform nickel silicide film with low sheet resistance (Rs). The JL NS-FET with nickel silicide contact exhibited favorable electrical properties, including a high driving current (>107A), subthreshold slope (186 mV/dec.), and low parasitic resistance. In addition, this study compared the electrical characteristics of JL NS-FETs with and without nickel silicide contact. PMID:29112139

  15. Ultra Thin Poly-Si Nanosheet Junctionless Field-Effect Transistor with Nickel Silicide Contact.

    PubMed

    Lin, Yu-Ru; Tsai, Wan-Ting; Wu, Yung-Chun; Lin, Yu-Hsien

    2017-11-07

    This study demonstrated an ultra thin poly-Si junctionless nanosheet field-effect transistor (JL NS-FET) with nickel silicide contact. For the nickel silicide film, two-step annealing and a Ti capping layer were adopted to form an ultra thin uniform nickel silicide film with low sheet resistance (Rs). The JL NS-FET with nickel silicide contact exhibited favorable electrical properties, including a high driving current (>10⁷A), subthreshold slope (186 mV/dec.), and low parasitic resistance. In addition, this study compared the electrical characteristics of JL NS-FETs with and without nickel silicide contact.

  16. Surface profiles and modulation of ultra-thin perfluoropolyether lubricant in contact sliding

    NASA Astrophysics Data System (ADS)

    Sinha, S. K.; Kawaguchi, M.; Kato, T.

    2004-08-01

    Deformation in shear and associated tribological behaviours of ultra-thin lubricants are of significant importance for the lubrication of magnetic hard disks and for other applications such as micro-electromechanical systems, nano-fluidics and nanotechnology. This paper presents the characteristics of the perfluoropolyether ultra-thin lubricant, in terms of its surface profiles when subjected to a contact sliding test. The results indicate that for a several-monolayers thick (~4.0-4.5 nm) lubricant film, sliding produces a considerable amount of surface roughness due to peaks of lubricant that persist during sliding; however, it can flow back or return to a smooth profile after a lapse of time when the sliding is stopped. For a monolayer-thin (~1.4-1.57 nm) film, the lubricant flow is restricted, and the rough profile created due to sliding persists and almost becomes permanent on the wear track. During sliding, due to high shear stress, a characteristic feature of lubricant profile modulation is observed. This modulation, or waviness, is due to the accumulation of lubricant in piles or islands, giving certain amplitudes and frequencies, which themselves depend upon the percentage of lubricant molecules that are chemically bonded to the substrate and the lubricant thickness. The results indicate that ultra-thin lubricants (monolayer and thicker) behave more like a semi-solid (having some sliding characteristics similar to those of rubbers) than a liquid when subjected to a high shear rate during contact sliding.

  17. Electronic Devices Based on Oxide Thin Films Fabricated by Fiber-to-Film Process.

    PubMed

    Meng, You; Liu, Ao; Guo, Zidong; Liu, Guoxia; Shin, Byoungchul; Noh, Yong-Young; Fortunato, Elvira; Martins, Rodrigo; Shan, Fukai

    2018-05-30

    Technical development for thin-film fabrication is essential for emerging metal-oxide (MO) electronics. Although impressive progress has been achieved in fabricating MO thin films, the challenges still remain. Here, we report a versatile and general thermal-induced nanomelting technique for fabricating MO thin films from the fiber networks, briefly called fiber-to-film (FTF) process. The high quality of the FTF-processed MO thin films was confirmed by various investigations. The FTF process is generally applicable to numerous technologically relevant MO thin films, including semiconducting thin films (e.g., In 2 O 3 , InZnO, and InZrZnO), conducting thin films (e.g., InSnO), and insulating thin films (e.g., AlO x ). By optimizing the fabrication process, In 2 O 3 /AlO x thin-film transistors (TFTs) were successfully integrated by fully FTF processes. High-performance TFT was achieved with an average mobility of ∼25 cm 2 /(Vs), an on/off current ratio of ∼10 7 , a threshold voltage of ∼1 V, and a device yield of 100%. As a proof of concept, one-transistor-driven pixel circuit was constructed, which exhibited high controllability over the light-emitting diodes. Logic gates based on fully FTF-processed In 2 O 3 /AlO x TFTs were further realized, which exhibited good dynamic logic responses and voltage amplification by a factor of ∼4. The FTF technique presented here offers great potential in large-area and low-cost manufacturing for flexible oxide electronics.

  18. Ultra-fast switching of light by absorption saturation in vacuum ultra-violet region.

    PubMed

    Yoneda, Hitoki; Inubushi, Yuichi; Tanaka, Toshihiro; Yamaguchi, Yuta; Sato, Fumiya; Morimoto, Shunsuke; Kumagai, Taisuke; Nagasono, Mitsuru; Higashiya, Atsushi; Yabashi, Makina; Ishikawa, Tetsuya; Ohashi, Haruhiko; Kimura, Hiroaki; Kitamura, Hikaru; Kodama, Ryosuke

    2009-12-21

    Advances in free electron lasers producing high energy photons [Nat. Photonics 2(9), 555-559 (2008)] are expected to open up a new science of nonlinear optics of high energy photons. Specifically, lasers of photon energy higher than the plasma frequency of a metal can show new interaction features because they can penetrate deeply into metals without strong reflection. Here we show the observation of ultra-fast switching of vacuum ultra-violet (VUV) light caused by saturable absorption of a solid metal target. A strong gating is observed at energy fluences above 6J/cm2 at wavelength of 51 nm with tin metal thin layers. The ratio of the transmission at high intensity to low intensity is typically greater than 100:1. This means we can design new nonlinear photonic devices such as auto-correlator and pulse slicer for the VUV region.

  19. Solution processed lanthanum aluminate gate dielectrics for use in metal oxide-based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Esro, M.; Adamopoulos, G., E-mail: g.adamopoulos@lancaster.ac.uk; Mazzocco, R.

    2015-05-18

    We report on ZnO-based thin-film transistors (TFTs) employing lanthanum aluminate gate dielectrics (La{sub x}Al{sub 1−x}O{sub y}) grown by spray pyrolysis in ambient atmosphere at 440 °C. The structural, electronic, optical, morphological, and electrical properties of the La{sub x}Al{sub 1−x}O{sub y} films and devices as a function of the lanthanum to aluminium atomic ratio were investigated using a wide range of characterization techniques such as UV-visible absorption spectroscopy, impedance spectroscopy, spectroscopic ellipsometry, atomic force microscopy, x-ray diffraction, and field-effect measurements. As-deposited LaAlO{sub y} dielectrics exhibit a wide band gap (∼6.18 eV), high dielectric constant (k ∼ 16), low roughness (∼1.9 nm), and very low leakage currentsmore » (<3 nA/cm{sup 2}). TFTs employing solution processed LaAlO{sub y} gate dielectrics and ZnO semiconducting channels exhibit excellent electron transport characteristics with hysteresis-free operation, low operation voltages (∼10 V), high on/off current modulation ratio of >10{sup 6}, subthreshold swing of ∼650 mV dec{sup −1}, and electron mobility of ∼12 cm{sup 2} V{sup −1} s{sup −1}.« less

  20. Ultra-thin passivating film induced by vinylene carbonate on highly oriented pyrolytic graphite negative electrode in lithium-ion cell

    NASA Astrophysics Data System (ADS)

    Matsuoka, O.; Hiwara, A.; Omi, T.; Toriida, M.; Hayashi, T.; Tanaka, C.; Saito, Y.; Ishida, T.; Tan, H.; Ono, S. S.; Yamamoto, S.

    We investigated the influence of vinylene carbonate, as an additive molecule, on the decomposition phenomena of electrolyte solution [ethylene carbonate (EC)—ethyl methyl carbonate (EMC) (1:2 by volume) containing 1 M LiPF 6] on a highly oriented pyrolytic graphite (HOPG) negative electrode by using cyclic voltammetry (CV) and atomic force microscopy (AFM). Vinylene carbonate deactivated reactive sites (e.g. radicals and oxides at the defects and the edge of carbon layer) on the cleaved surface of the HOPG negative electrode, and prevented further decomposition of the other solvents there. Further, vinylene carbonate induced an ultra-thin film (less than 1.0 nm in thickness) on the terrace of the basal plane of the HOPG negative electrode, and this film suppressed the decomposition of electrolyte solution on the terraces of the basal plane. We consider that this ultra-thin passivating film is composed of a reduction product of vinylene carbonate (VC), and might have a polymer structure. These induced effects might explain how VC improves the life performance of lithium-ion cells.

  1. Ultra-thin carbon-fiber paper fabrication and carbon-fiber distribution homogeneity evaluation method

    NASA Astrophysics Data System (ADS)

    Zhang, L. F.; Chen, D. Y.; Wang, Q.; Li, H.; Zhao, Z. G.

    2018-01-01

    A preparation technology of ultra-thin Carbon-fiber paper is reported. Carbon fiber distribution homogeneity has a great influence on the properties of ultra-thin Carbon-fiber paper. In this paper, a self-developed homogeneity analysis system is introduced to assist users to evaluate the distribution homogeneity of Carbon fiber among two or more two-value images of carbon-fiber paper. A relative-uniformity factor W/H is introduced. The experimental results show that the smaller the W/H factor, the higher uniformity of the distribution of Carbon fiber is. The new uniformity-evaluation method provides a practical and reliable tool for analyzing homogeneity of materials.

  2. Modification of FN tunneling provoking gate-leakage current in ZTO (zinc-tin oxide) TFT by regulating the ZTO/SiO2 area ratio

    NASA Astrophysics Data System (ADS)

    Li, Jeng-Ting; Tsai, Ho-Lin; Lai, Wei-Yao; Hwang, Weng-Sing; Chen, In-Gann; Chen, Jen-Sue

    2018-04-01

    This study addresses the variation in gate-leakage current due to the Fowler-Nordheim (FN) tunneling of electrons through a SiO2 dielectric layer in zinc-tin oxide (ZTO) thin film transistors. It is shown that the gate-leakage current is not related to the absolute area of the ZTO active layer, but it is reduced by reducing the ZTO/SiO2 area ratio. The ZTO/SiO2 area ratio modulates the ZTO-SiO2 interface dipole strength as well as the ZTO-SiO2 conduction band offset and subsequently affects the FN tunneling current through the SiO2 layer, which provides a route that modifies the gate-leakage current.

  3. Transparent conducting oxide induced by liquid electrolyte gating

    NASA Astrophysics Data System (ADS)

    ViolBarbosa, Carlos; Karel, Julie; Kiss, Janos; Gordan, Ovidiu-dorin; Altendorf, Simone G.; Utsumi, Yuki; Samant, Mahesh G.; Wu, Yu-Han; Tsuei, Ku-Ding; Felser, Claudia; Parkin, Stuart S. P.

    2016-10-01

    Optically transparent conducting materials are essential in modern technology. These materials are used as electrodes in displays, photovoltaic cells, and touchscreens; they are also used in energy-conserving windows to reflect the infrared spectrum. The most ubiquitous transparent conducting material is tin-doped indium oxide (ITO), a wide-gap oxide whose conductivity is ascribed to n-type chemical doping. Recently, it has been shown that ionic liquid gating can induce a reversible, nonvolatile metallic phase in initially insulating films of WO3. Here, we use hard X-ray photoelectron spectroscopy and spectroscopic ellipsometry to show that the metallic phase produced by the electrolyte gating does not result from a significant change in the bandgap but rather originates from new in-gap states. These states produce strong absorption below ˜1 eV, outside the visible spectrum, consistent with the formation of a narrow electronic conduction band. Thus WO3 is metallic but remains colorless, unlike other methods to realize tunable electrical conductivity in this material. Core-level photoemission spectra show that the gating reversibly modifies the atomic coordination of W and O atoms without a substantial change of the stoichiometry; we propose a simple model relating these structural changes to the modifications in the electronic structure. Thus we show that ionic liquid gating can tune the conductivity over orders of magnitude while maintaining transparency in the visible range, suggesting the use of ionic liquid gating for many applications.

  4. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  5. High Mobility Flexible Amorphous IGZO Thin-Film Transistors with a Low Thermal Budget Ultra-Violet Pulsed Light Process.

    PubMed

    Benwadih, M; Coppard, R; Bonrad, K; Klyszcz, A; Vuillaume, D

    2016-12-21

    Amorphous, sol-gel processed, indium gallium zinc oxide (IGZO) transistors on plastic substrate with a printable gate dielectric and an electron mobility of 4.5 cm 2 /(V s), as well as a mobility of 7 cm 2 /(V s) on solid substrate (Si/SiO 2 ) are reported. These performances are obtained using a low temperature pulsed light annealing technique. Ultraviolet (UV) pulsed light system is an innovative technique compared to conventional (furnace or hot-plate) annealing process that we successfully implemented on sol-gel IGZO thin film transistors (TFTs) made on plastic substrate. The photonic annealing treatment has been optimized to obtain IGZO TFTs with significant electrical properties. Organic gate dielectric layers deposited on this pulsed UV light annealed films have also been optimized. This technique is very promising for the development of amorphous IGZO TFTs on plastic substrates.

  6. High-Resolution Inkjet-Printed Oxide Thin-Film Transistors with a Self-Aligned Fine Channel Bank Structure.

    PubMed

    Zhang, Qing; Shao, Shuangshuang; Chen, Zheng; Pecunia, Vincenzo; Xia, Kai; Zhao, Jianwen; Cui, Zheng

    2018-05-09

    A self-aligned inkjet printing process has been developed to construct small channel metal oxide (a-IGZO) thin-film transistors (TFTs) with independent bottom gates on transparent glass substrates. Poly(methylsilsesquioxane) was used to pattern hydrophobic banks on the transparent substrate instead of commonly used self-assembled octadecyltrichlorosilane. Photolithographic exposure from backside using bottom-gate electrodes as mask formed hydrophilic channel areas for the TFTs. IGZO ink was selectively deposited by an inkjet printer in the hydrophilic channel region and confined by the hydrophobic bank structure, resulting in the precise deposition of semiconductor layers just above the gate electrodes. Inkjet-printed IGZO TFTs with independent gate electrodes of 10 μm width have been demonstrated, avoiding completely printed channel beyond the broad of the gate electrodes. The TFTs showed on/off ratios of 10 8 , maximum mobility of 3.3 cm 2 V -1 s -1 , negligible hysteresis, and good uniformity. This method is conductive to minimizing the area of printed TFTs so as to the development of high-resolution printing displays.

  7. Optical bandgap of single- and multi-layered amorphous germanium ultra-thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Pei; Zaslavsky, Alexander; Longo, Paolo

    2016-01-07

    Accurate optical methods are required to determine the energy bandgap of amorphous semiconductors and elucidate the role of quantum confinement in nanometer-scale, ultra-thin absorbing layers. Here, we provide a critical comparison between well-established methods that are generally employed to determine the optical bandgap of thin-film amorphous semiconductors, starting from normal-incidence reflectance and transmittance measurements. First, we demonstrate that a more accurate estimate of the optical bandgap can be achieved by using a multiple-reflection interference model. We show that this model generates more reliable results compared to the widely accepted single-pass absorption method. Second, we compare two most representative methods (Taucmore » and Cody plots) that are extensively used to determine the optical bandgap of thin-film amorphous semiconductors starting from the extracted absorption coefficient. Analysis of the experimental absorption data acquired for ultra-thin amorphous germanium (a-Ge) layers demonstrates that the Cody model is able to provide a less ambiguous energy bandgap value. Finally, we apply our proposed method to experimentally determine the optical bandgap of a-Ge/SiO{sub 2} superlattices with single and multiple a-Ge layers down to 2 nm thickness.« less

  8. Ultra-smooth glassy graphene thin films for flexible transparent circuits

    PubMed Central

    Dai, Xiao; Wu, Jiang; Qian, Zhicheng; Wang, Haiyan; Jian, Jie; Cao, Yingjie; Rummeli, Mark H.; Yi, Qinghua; Liu, Huiyun; Zou, Guifu

    2016-01-01

    Large-area graphene thin films are prized in flexible and transparent devices. We report on a type of glassy graphene that is in an intermediate state between glassy carbon and graphene and that has high crystallinity but curly lattice planes. A polymer-assisted approach is introduced to grow an ultra-smooth (roughness, <0.7 nm) glassy graphene thin film at the inch scale. Owing to the advantages inherited by the glassy graphene thin film from graphene and glassy carbon, the glassy graphene thin film exhibits conductivity, transparency, and flexibility comparable to those of graphene, as well as glassy carbon–like mechanical and chemical stability. Moreover, glassy graphene–based circuits are fabricated using a laser direct writing approach. The circuits are transferred to flexible substrates and are shown to perform reliably. The glassy graphene thin film should stimulate the application of flexible transparent conductive materials in integrated circuits. PMID:28138535

  9. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  10. Disordering of ultra thin WO3 films by high-energy ions

    NASA Astrophysics Data System (ADS)

    Matsunami, N.; Kato, M.; Sataka, M.; Okayasu, S.

    2017-10-01

    We have studied disordering or atomic structure modification of ultra thin WO3 films under impact of high-energy ions with non-equilibrium and equilibrium charge incidence, by means of X-ray diffraction (XRD). WO3 films were prepared by thermal oxidation of W deposited on MgO substrate. Film thickness obtained by Rutherford backscattering spectrometry (RBS) is as low as 2 nm. Smoothness of film surface was observed by atomic force microscopy. It is found that the ratio of XRD intensity degradation per 90 MeV Ni+10 ion (the incident charge is lower than the equilibrium charge) to that per 90 MeV Ni ion with the equilibrium charge depends on the film thickness. Also, film thickness dependence is observed for 100 MeV Xe+14. By comparison of the experimental result with a simple model calculation based on the assumption that the mean charge of ions along the depth follows a saturation curve with power-law approximation to the charge dependent electronic stopping power, the characteristic length attaining the equilibrium charge is obtained to be ∼7 nm for 90 MeV Ni+10 ion incidence or the electron loss cross section of ∼1016 cm2, demonstrating that disordering of ultra WO3 films has been observed and a fundamental quantity can be derived through material modification.

  11. ZnO thin-film transistors with a polymeric gate insulator built on a polyethersulfone substrate

    NASA Astrophysics Data System (ADS)

    Hyung, Gun Woo; Park, Jaehoon; Koo, Ja Ryong; Choi, Kyung Min; Kwon, Sang Jik; Cho, Eou Sik; Kim, Yong Seog; Kim, Young Kwan

    2012-03-01

    Zinc oxide (ZnO) thin-film transistors (TFTs) with a cross-linked poly(vinyl alcohol) (c-PVA) insulator are fabricated on a polyethersulfone substrate. The ZnO film, formed by atomic layer deposition, shows a polycrystalline hexagonal structure with a band gap energy of about 3.37 eV. The fabricated ZnO TFT exhibits a field-effect mobility of 0.38 cm2/Vs and a threshold voltage of 0.2 V. The hysteresis of the device is mainly caused by trapped electrons at the c-PVA/ZnO interface, whereas the positive threshold voltage shift occurs as a consequence of constant positive gate bias stress after 5000 s due to an electron injection from the ZnO film into the c-PVA insulator.

  12. Large-scale complementary macroelectronics using hybrid integration of carbon nanotubes and IGZO thin-film transistors.

    PubMed

    Chen, Haitian; Cao, Yu; Zhang, Jialu; Zhou, Chongwu

    2014-06-13

    Carbon nanotubes and metal oxide semiconductors have emerged as important materials for p-type and n-type thin-film transistors, respectively; however, realizing sophisticated macroelectronics operating in complementary mode has been challenging due to the difficulty in making n-type carbon nanotube transistors and p-type metal oxide transistors. Here we report a hybrid integration of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to achieve large-scale (>1,000 transistors for 501-stage ring oscillators) complementary macroelectronic circuits on both rigid and flexible substrates. This approach of hybrid integration allows us to combine the strength of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and offers high device yield and low device variation. Based on this approach, we report the successful demonstration of various logic gates (inverter, NAND and NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic circuits (dynamic inverter, NAND and NOR gates).

  13. Temporally and Spatially Resolved Plasma Spectroscopy in Pulsed Laser Deposition of Ultra-Thin Boron Nitride Films (Postprint)

    DTIC Science & Technology

    2015-04-24

    AFRL-RX-WP-JA-2016-0196 TEMPORALLY AND SPATIALLY RESOLVED PLASMA SPECTROSCOPY IN PULSED LASER DEPOSITION OF ULTRA-THIN BORON NITRIDE...AND SPATIALLY RESOLVED PLASMA SPECTROSCOPY IN PULSED LASER DEPOSITION OF ULTRA-THIN BORON NITRIDE FILMS (POSTPRINT) 5a. CONTRACT NUMBER FA8650...distributions within a PVD plasma plume ablated from a boron nitride (BN) target by a KrF laser at different pressures of nitrogen gas were investigated

  14. Structural performance of ultra-thin whitetopping on Illinois roadways and parking lots.

    DOT National Transportation Integrated Search

    2014-08-01

    A performance evaluation of ultra-thin whitetopping (UTW) pavements in Illinois was undertaken in 20122014 : to evaluate current design procedures and to determine design life criteria for future projects. The two main : components of this evaluat...

  15. The uniformity study of non-oxide thin film at device level using electron energy loss spectroscopy

    NASA Astrophysics Data System (ADS)

    Li, Zhi-Peng; Zheng, Yuankai; Li, Shaoping; Wang, Haifeng

    2018-05-01

    Electron energy loss spectroscopy (EELS) has been widely used as a chemical analysis technique to characterize materials chemical properties, such as element valence states, atoms/ions bonding environment. This study provides a new method to characterize physical properties (i.e., film uniformity, grain orientations) of non-oxide thin films in the magnetic device by using EELS microanalysis on scanning transmission electron microscope. This method is based on analyzing white line ratio of spectra and related extended energy loss fine structures so as to correlate it with thin film uniformity. This new approach can provide an effective and sensitive method to monitor/characterize thin film quality (i.e., uniformity) at atomic level for thin film development, which is especially useful for examining ultra-thin films (i.e., several nanometers) or embedded films in devices for industry applications. More importantly, this technique enables development of quantitative characterization of thin film uniformity and it would be a remarkably useful technique for examining various types of devices for industrial applications.

  16. Charge carrier mobility in thin films of organic semiconductors by the gated van der Pauw method

    PubMed Central

    Rolin, Cedric; Kang, Enpu; Lee, Jeong-Hwan; Borghs, Gustaaf; Heremans, Paul; Genoe, Jan

    2017-01-01

    Thin film transistors based on high-mobility organic semiconductors are prone to contact problems that complicate the interpretation of their electrical characteristics and the extraction of important material parameters such as the charge carrier mobility. Here we report on the gated van der Pauw method for the simple and accurate determination of the electrical characteristics of thin semiconducting films, independently from contact effects. We test our method on thin films of seven high-mobility organic semiconductors of both polarities: device fabrication is fully compatible with common transistor process flows and device measurements deliver consistent and precise values for the charge carrier mobility and threshold voltage in the high-charge carrier density regime that is representative of transistor operation. The gated van der Pauw method is broadly applicable to thin films of semiconductors and enables a simple and clean parameter extraction independent from contact effects. PMID:28397852

  17. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanna, A. N.; Ghoneim, M. T.; Bahabry, R. R.

    2013-11-25

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.

  18. Ionic liquid gating on atomic layer deposition passivated GaN: Ultra-high electron density induced high drain current and low contact resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hong; Du, Yuchen; Ye, Peide D., E-mail: yep@purdue.edu

    2016-05-16

    Herein, we report on achieving ultra-high electron density (exceeding 10{sup 14 }cm{sup −2}) in a GaN bulk material device by ionic liquid gating, through the application of atomic layer deposition (ALD) of Al{sub 2}O{sub 3} to passivate the GaN surface. Output characteristics demonstrate a maximum drain current of 1.47 A/mm, the highest reported among all bulk GaN field-effect transistors, with an on/off ratio of 10{sup 5} at room temperature. An ultra-high electron density exceeding 10{sup 14 }cm{sup −2} accumulated at the surface is confirmed via Hall-effect measurement and transfer length measurement. In addition to the ultra-high electron density, we also observe a reductionmore » of the contact resistance due to the narrowing of the Schottky barrier width on the contacts. Taking advantage of the ALD surface passivation and ionic liquid gating technique, this work provides a route to study the field-effect and carrier transport properties of conventional semiconductors in unprecedented ultra-high charge density regions.« less

  19. Atomic-scale visualization of oxide thin-film surfaces.

    PubMed

    Iwaya, Katsuya; Ohsawa, Takeo; Shimizu, Ryota; Okada, Yoshinori; Hitosugi, Taro

    2018-01-01

    The interfaces of complex oxide heterostructures exhibit intriguing phenomena not observed in their constituent materials. The oxide thin-film growth of such heterostructures has been successfully controlled with unit-cell precision; however, atomic-scale understandings of oxide thin-film surfaces and interfaces have remained insufficient. We examined, with atomic precision, the surface and electronic structures of oxide thin films and their growth processes using low-temperature scanning tunneling microscopy. Our results reveal that oxide thin-film surface structures are complicated in contrast to the general perception and that atomically ordered surfaces can be achieved with careful attention to the surface preparation. Such atomically ordered oxide thin-film surfaces offer great opportunities not only for investigating the microscopic origins of interfacial phenomena but also for exploring new surface phenomena and for studying the electronic states of complex oxides that are inaccessible using bulk samples.

  20. Broadband enhancement of dielectric light trapping nanostructure used in ultra-thin solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Dong; Xu, Zhaopeng; Bian, Fei; Wang, Haiyan; Wang, Jiazhuang; Sun, Lu

    2018-03-01

    A dielectric fishnet nanostructure is designed to increase the light trapping capability of ultra-thin solar cells. The complex performance of ultra-thin cells such as the optical response and electrical response are fully quantified in simulation through a complete optoelectronic investigation. The results show that the optimized light trapping nanostructure can enhances the electromagnetic resonance in active layer then lead to extraordinary enhancement of both absorption and light-conversion capabilities in the solar cell. The short-circuit current density increases by 49.46% from 9.40 mA/cm2 to 14.05 mA/cm2 and light-conversion efficiency increases by 51.84% from 9.51% to 14.44% compared to the benchmark, a solar cell with an ITO-GaAs-Ag structure.

  1. Dual-Input AND Gate From Single-Channel Thin-Film FET

    NASA Technical Reports Server (NTRS)

    Miranda, F. A.; Pinto, N. J.; Perez, R.; Mueller, C. H.

    2008-01-01

    A regio-regular poly(3-hexylthiophene) (RRP3HT) thin-film transistor having a split-gate architecture has been fabricated on a doped silicon/silicon nitride substrate and characterized. RRP3HT is a semiconducting polymer that has a carrier mobility and on/off ratio when used in a field effect transistor (FET) configuration. This commercially available polymer is very soluble in common organic solvents and is easily processed to form uniform thin films. The most important polymer-based device fabricated and studied is the FET, since it forms the building block in logic circuits and switches for active matrix (light-emitting-diode) (LED) displays, smart cards, and radio frequency identification (RFID) cards.

  2. Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Eungtaek; Kim, Choong-Ki; Lee, Myung Keun

    We investigated the positive-bias stress (PBS) instability of thin film transistors (TFTs) composed of different types of first-gate insulators, which serve as a protection layer of the active surface. Two different deposition methods, i.e., the thermal atomic layer deposition (THALD) and plasma-enhanced ALD (PEALD) of Al{sub 2}O{sub 3}, were applied for the deposition of the first GI. When THALD was used to deposit the GI, amorphous indium-gallium-zinc oxide (a-IGZO) TFTs showed superior stability characteristics under PBS. For example, the threshold voltage shift (ΔV{sub th}) was 0 V even after a PBS time (t{sub stress}) of 3000 s under a gate voltage (V{submore » G}) condition of 5 V (with an electrical field of 1.25 MV/cm). On the other hand, when the first GI was deposited by PEALD, the ΔV{sub th} value of a-IGZO TFTs was 0.82 V after undergoing an identical amount of PBS. In order to interpret the disparate ΔV{sub th} values resulting from PBS quantitatively, the average oxide charge trap density (N{sub T}) in the GI and its spatial distribution were investigated through low-frequency noise characterizations. A higher N{sub T} resulted during in the PEALD type GI than in the THALD case. Specifically, the PEALD process on a-IGZO layer surface led to an increasing trend of N{sub T} near the GI/a-IGZO interface compared to bulk GI owing to oxygen plasma damage on the a-IGZO surface.« less

  3. Tuning the metal-insulator crossover and magnetism in SrRuO₃ by ionic gating.

    PubMed

    Yi, Hee Taek; Gao, Bin; Xie, Wei; Cheong, Sang-Wook; Podzorov, Vitaly

    2014-10-13

    Reversible control of charge transport and magnetic properties without degradation is a key for device applications of transition metal oxides. Chemical doping during the growth of transition metal oxides can result in large changes in physical properties, but in most of the cases irreversibility is an inevitable constraint. Here we report a reversible control of charge transport, metal-insulator crossover and magnetism in field-effect devices based on ionically gated archetypal oxide system - SrRuO₃. In these thin-film devices, the metal-insulator crossover temperature and the onset of magnetoresistance can be continuously and reversibly tuned in the range 90-250 K and 70-100 K, respectively, by application of a small gate voltage. We infer that a reversible diffusion of oxygen ions in the oxide lattice dominates the response of these materials to the gate electric field. These findings provide critical insights into both the understanding of ionically gated oxides and the development of novel applications.

  4. Pronounced photogating effect in atomically thin WSe2 with a self-limiting surface oxide layer

    NASA Astrophysics Data System (ADS)

    Yamamoto, Mahito; Ueno, Keiji; Tsukagoshi, Kazuhito

    2018-04-01

    The photogating effect is a photocurrent generation mechanism that leads to marked responsivity in two-dimensional (2D) semiconductor-based devices. A key step to promote the photogating effect in a 2D semiconductor is to integrate it with a high density of charge traps. Here, we show that self-limiting surface oxides on atomically thin WSe2 can serve as effective electron traps to facilitate p-type photogating. By examining the gate-bias-induced threshold voltage shift of a p-type transistor based on single-layer WSe2 with surface oxide, the electron trap density and the trap rate of the oxide are determined to be >1012 cm-2 and >1010 cm-2 s-1, respectively. White-light illumination on an oxide-covered 4-layer WSe2 transistor leads to the generation of photocurrent, the magnitude of which increases with the hole mobility. During illumination, the photocurrent evolves on a timescale of seconds, and a portion of the current persists even after illumination. These observations indicate that the photogenerated electrons are trapped deeply in the surface oxide and effectively gate the underlying WSe2. Owing to the pronounced photogating effect, the responsivity of the oxide-covered WSe2 transistor is observed to exceed 3000 A/W at an incident optical power of 1.1 nW, suggesting the effectiveness of surface oxidation in facilitating the photogating effect in 2D semiconductors.

  5. Management of light absorption in extraordinary optical transmission based ultra-thin-film tandem solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mashooq, Kishwar; Talukder, Muhammad Anisuzzaman, E-mail: anis@eee.buet.ac.bd

    2016-05-21

    Although ultra-thin-film solar cells can be attractive in reducing the cost, they suffer from low absorption as the thickness of the active layer is usually much smaller than the wavelength of incident light. Different nano-photonic techniques, including plasmonic structures, are being explored to increase the light absorption in ultra-thin-film solar cells. More than one layer of active materials with different energy bandgaps can be used in tandem to increase the light absorption as well. However, due to different amount of light absorption in different active layers, photo-generated currents in different active layers will not be the same. The current mismatchmore » between the tandem layers makes them ineffective in increasing the efficiency. In this work, we investigate the light absorption properties of tandem solar cells with two ultra-thin active layers working as two subcells and a metal layer with periodically perforated holes in-between the two subcells. While the metal layer helps to overcome the current mismatch, the periodic holes increase the absorption of incident light by helping extraordinary optical transmission of the incident light from the top to the bottom subcell, and by coupling the incident light to plasmonic and photonic modes within ultra-thin active layers. We extensively study the effects of the geometry of holes in the intermediate metal layer on the light absorption properties of tandem solar cells with ultra-thin active layers. We also study how different metals in the intermediate layer affect the light absorption; how the geometry of holes in the intermediate layer affects the absorption when the active layer materials are changed; and how the intermediate metal layer affects the collection of photo-generated electron-hole pairs at the terminals. We find that in a solar cell with 6,6-phenyl C61-butyric acid methyl ester top subcell and copper indium gallium selenide bottom subcell, if the periodic holes in the metal layer are

  6. Fabrication of Gold-Coated Ultra-Thin Anodic Porous Alumina Substrates for Augmented SERS

    PubMed Central

    Toccafondi, Chiara; Proietti Zaccaria, Remo; Dante, Silvia; Salerno, Marco

    2016-01-01

    Anodic porous alumina (APA) is a nanostructured material used as a template in several nanotechnological applications. We propose the use of APA in ultra-thin form (<100 nm) for augmented surface-enhanced Raman scattering (SERS). Here, the effect of in-depth thinning of the APA nanostructures for possible maximization of SERS was addressed. Anodization was carried out on ultra-thin films of aluminum on glass and/or silicon, followed by pore-opening. Gold (Au) was overcoated and micro-Raman/SERS measurements were carried out on test target analytes. Finite integration technique simulations of the APA-Au substrate were used both for the experimental design and simulations. It was observed that, under optimized conditions of APA and Au thickness, the SERS enhancement is higher than on standard APA-Au substrates based on thin (~100 nm) APA by up to a factor of ~20 for test molecules of mercaptobenzoic acid. The agreement between model and experimental results confirms the current understanding of SERS as being mainly due to the physical origin of plasmon resonances. The reported results represent one step towards micro-technological, integrated, disposable, high-sensitivity SERS chemical sensors and biosensors based on similar substrates. PMID:28773525

  7. Ultra-thin silicon/electro-optic polymer hybrid waveguide modulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qiu, Feng; Spring, Andrew M.; Sato, Hiromu

    2015-09-21

    Ultra-thin silicon and electro-optic (EO) polymer hybrid waveguide modulators have been designed and fabricated. The waveguide consists of a silicon core with a thickness of 30 nm and a width of 2 μm. The cladding is an EO polymer. Optical mode calculation reveals that 55% of the optical field around the silicon extends into the EO polymer in the TE mode. A Mach-Zehnder interferometer (MZI) modulator was prepared using common coplanar electrodes. The measured half-wave voltage of the MZI with 7 μm spacing and 1.3 cm long electrodes is 4.6 V at 1550 nm. The evaluated EO coefficient is 70 pm/V, which is comparable to that ofmore » the bulk EO polymer film. Using ultra-thin silicon is beneficial in order to reduce the side-wall scattering loss, yielding a propagation loss of 4.0 dB/cm. We also investigated a mode converter which couples light from the hybrid EO waveguide into a strip silicon waveguide. The calculation indicates that the coupling loss between these two devices is small enough to exploit the potential fusion of a hybrid EO polymer modulator together with a silicon micro-photonics device.« less

  8. Thin-Film Transistors Fabricated Using Sputter Deposition of Zinc Oxide

    NASA Astrophysics Data System (ADS)

    Xiao, Nan

    2013-01-01

    Development of thin film transistors (TFTs) with conventional channel layer materials, such as amorphous silicon (a-Si) and polysilicon (poly-Si), has been extensively investigated. A-Si TFT currently serves the large flat panel industry; however advanced display products are demanding better TFT performance because of the associated low electron mobility of a-Si. This has motivated interest in semiconducting metal oxides, such as Zinc Oxide (ZnO), for TFT backplanes. This work involves the fabrication and characterization of TFTs using ZnO deposited by sputtering. An overview of the process details and results from recently fabricated TFTs following a full-factorial designed experiment will be presented. Material characterization and analysis of electrical results will be described. The investigated process variables were the gate dielectric and ZnO sputtering process parameters including power density and oxygen partial pressure. Electrical results showed clear differences in treatment combinations, with certain I-V characteristics demonstrating superior performance to preliminary work. A study of device stability will also be discussed.

  9. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  10. Ferroelectric switching of poly(vinylidene difluoride-trifluoroethylene) in metal-ferroelectric-semiconductor non-volatile memories with an amorphous oxide semiconductor

    NASA Astrophysics Data System (ADS)

    Gelinck, G. H.; van Breemen, A. J. J. M.; Cobb, B.

    2015-03-01

    Ferroelectric polarization switching of poly(vinylidene difluoride-trifluoroethylene) is investigated in different thin-film device structures, ranging from simple capacitors to dual-gate thin-film transistors (TFT). Indium gallium zinc oxide, a high mobility amorphous oxide material, is used as semiconductor. We find that the ferroelectric can be polarized in both directions in the metal-ferroelectric-semiconductor (MFS) structure and in the dual-gate TFT under certain biasing conditions, but not in the single-gate thin-film transistors. These results disprove the common belief that MFS structures serve as a good model system for ferroelectric polarization switching in thin-film transistors.

  11. Cyclical Annealing Technique To Enhance Reliability of Amorphous Metal Oxide Thin Film Transistors.

    PubMed

    Chen, Hong-Chih; Chang, Ting-Chang; Lai, Wei-Chih; Chen, Guan-Fu; Chen, Bo-Wei; Hung, Yu-Ju; Chang, Kuo-Jui; Cheng, Kai-Chung; Huang, Chen-Shuo; Chen, Kuo-Kuang; Lu, Hsueh-Hsing; Lin, Yu-Hsin

    2018-02-26

    This study introduces a cyclical annealing technique that enhances the reliability of amorphous indium-gallium-zinc-oxide (a-IGZO) via-type structure thin film transistors (TFTs). By utilizing this treatment, negative gate-bias illumination stress (NBIS)-induced instabilities can be effectively alleviated. The cyclical annealing provides several cooling steps, which are exothermic processes that can form stronger ionic bonds. An additional advantage is that the total annealing time is much shorter than when using conventional long-term annealing. With the use of cyclical annealing, the reliability of the a-IGZO can be effectively optimized, and the shorter process time can increase fabrication efficiency.

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO{sub 2}) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO{sub 2} layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO{sub 2} layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnOmore » layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.« less

  13. Oxide-based thin film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    He, Yongli; Wang, Xiangyu; Gao, Ya; Hou, Yahui; Wan, Qing

    2018-01-01

    The continuous progress in thin film materials and devices has greatly promoted the development in the field of flexible electronics. As one of the most common thin film devices, thin film transistors (TFTs) are significant building blocks for flexible platforms. Flexible oxide-based TFTs are well compatible with flexible electronic systems due to low process temperature, high carrier mobility, and good uniformity. The present article is a review of the recent progress and major trends in the field of flexible oxide-based thin film transistors. First, an introduction of flexible electronics and flexible oxide-based thin film transistors is given. Next, we introduce oxide semiconductor materials and various flexible oxide-based TFTs classified by substrate materials including polymer plastics, paper sheets, metal foils, and flexible thin glass. Afterwards, applications of flexible oxide-based TFTs including bendable sensors, memories, circuits, and displays are presented. Finally, we give conclusions and a prospect for possible development trends. Project supported in part by the National Science Foundation for Distinguished Young Scholars of China (No. 61425020), in part by the National Natural Science Foundation of China (No. 11674162).

  14. Tuning the metal-insulator crossover and magnetism in SrRuO 3 by ionic gating

    DOE PAGES

    Yi, Hee Taek; Gao, Bin; Xie, Wei; ...

    2014-10-13

    Reversible control of charge transport and magnetic properties without degradation is a key for device applications of transition metal oxides. Chemical doping during the growth of transition metal oxides can result in large changes in physical properties, but in most of the cases irreversibility is an inevitable constraint. We report a reversible control of charge transport, metal-insulator crossover and magnetism in field-effect devices based on ionically gated archetypal oxide system - SrRuO 3. In these thin-film devices, the metal-insulator crossover temperature and the onset of magnetoresistance can be continuously and reversibly tuned in the range 90–250 K and 70–100 K,more » respectively, by application of a small gate voltage. We infer that a reversible diffusion of oxygen ions in the oxide lattice dominates the response of these materials to the gate electric field. These findings provide critical insights into both the understanding of ionically gated oxides and the development of novel applications.« less

  15. Coexistence of colossal stress and texture gradients in sputter deposited nanocrystalline ultra-thin metal films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuru, Yener; Welzel, Udo; Mittemeijer, Eric J.

    2014-12-01

    This paper demonstrates experimentally that ultra-thin, nanocrystalline films can exhibit coexisting colossal stress and texture depth gradients. Their quantitative determination is possible by X-ray diffraction experiments. Whereas a uniform texture by itself is known to generally cause curvature in so-called sin{sup 2}ψ plots, it is shown that the combined action of texture and stress gradients provides a separate source of curvature in sin{sup 2}ψ plots (i.e., even in cases where a uniform texture does not induce such curvature). On this basis, the texture and stress depth profiles of a nanocrystalline, ultra-thin (50 nm) tungsten film could be determined.

  16. Comparison of junctionless and inversion-mode p-type metal-oxide-semiconductor field-effect transistors in presence of hole-phonon interactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dib, E., E-mail: elias.dib@for.unipi.it; Carrillo-Nuñez, H.; Cavassilas, N.

    Junctionless transistors are being considered as one of the alternatives to conventional metal-oxide field-effect transistors. In this work, it is then presented a simulation study of silicon double-gated p-type junctionless transistors compared with its inversion-mode counterpart. The quantum transport problem is solved within the non-equilibrium Green's function formalism, whereas hole-phonon interactions are tackled by means of the self-consistent Born approximation. Our findings show that junctionless transistors should perform as good as a conventional transistor only for ultra-thin channels, with the disadvantage of requiring higher supply voltages in thicker channel configurations.

  17. Time-gated flow cytometry: an ultra-high selectivity method to recover ultra-rare-event μ-targets in high-background biosamples

    NASA Astrophysics Data System (ADS)

    Jin, Dayong; Piper, James A.; Leif, Robert C.; Yang, Sean; Ferrari, Belinda C.; Yuan, Jingli; Wang, Guilan; Vallarino, Lidia M.; Williams, John W.

    2009-03-01

    A fundamental problem for rare-event cell analysis is auto-fluorescence from nontarget particles and cells. Time-gated flow cytometry is based on the temporal-domain discrimination of long-lifetime (>1 μs) luminescence-stained cells and can render invisible all nontarget cell and particles. We aim to further evaluate the technique, focusing on detection of ultra-rare-event 5-μm calibration beads in environmental water dirt samples. Europium-labeled 5-μm calibration beads with improved luminescence homogeneity and reduced aggregation were evaluated using the prototype UV LED excited time-gated luminescence (TGL) flow cytometer (FCM). A BD FACSAria flow cytometer was used to sort accurately a very low number of beads (<100 events), which were then spiked into concentrated samples of environmental water. The use of europium-labeled beads permitted the demonstration of specific detection rates of 100%+/-30% and 91%+/-3% with 10 and 100 target beads, respectively, that were mixed with over one million nontarget autofluorescent background particles. Under the same conditions, a conventional FCM was unable to recover rare-event fluorescein isothiocyanate (FITC) calibration beads. Preliminary results on Giardia detection are also reported. We have demonstrated the scientific value of lanthanide-complex biolabels in flow cytometry. This approach may augment the current method that uses multifluorescence-channel flow cytometry gating.

  18. Investigation of Rapid Low-Power Microwave-Induction Heating Scheme on the Cross-Linking Process of the Poly(4-vinylphenol) for the Gate Insulator of Pentacene-Based Thin-Film Transistors

    PubMed Central

    Fan, Ching-Lin; Shang, Ming-Chi; Wang, Shea-Jue; Hsia, Mao-Yuan; Lee, Win-Der; Huang, Bohr-Ran

    2017-01-01

    In this study, a proposed Microwave-Induction Heating (MIH) scheme has been systematically studied to acquire suitable MIH parameters including chamber pressure, microwave power and heating time. The proposed MIH means that the thin indium tin oxide (ITO) metal below the Poly(4-vinylphenol) (PVP) film is heated rapidly by microwave irradiation and the heated ITO metal gate can heat the PVP gate insulator, resulting in PVP cross-linking. It is found that the attenuation of the microwave energy decreases with the decreasing chamber pressure. The optimal conditions are a power of 50 W, a heating time of 5 min, and a chamber pressure of 20 mTorr. When suitable MIH parameters were used, the effect of PVP cross-linking and the device performance were similar to those obtained using traditional oven heating, even though the cross-linking time was significantly decreased from 1 h to 5 min. Besides the gate leakage current, the interface trap state density (Nit) was also calculated to describe the interface status between the gate insulator and the active layer. The lowest interface trap state density can be found in the device with the PVP gate insulator cross-linked by using the optimal MIH condition. Therefore, it is believed that the MIH scheme is a good candidate to cross-link the PVP gate insulator for organic thin-film transistor applications as a result of its features of rapid heating (5 min) and low-power microwave-irradiation (50 W). PMID:28773101

  19. Investigation of Rapid Low-Power Microwave-Induction Heating Scheme on the Cross-Linking Process of the Poly(4-vinylphenol) for the Gate Insulator of Pentacene-Based Thin-Film Transistors.

    PubMed

    Fan, Ching-Lin; Shang, Ming-Chi; Wang, Shea-Jue; Hsia, Mao-Yuan; Lee, Win-Der; Huang, Bohr-Ran

    2017-07-03

    In this study, a proposed Microwave-Induction Heating (MIH) scheme has been systematically studied to acquire suitable MIH parameters including chamber pressure, microwave power and heating time. The proposed MIH means that the thin indium tin oxide (ITO) metal below the Poly(4-vinylphenol) (PVP) film is heated rapidly by microwave irradiation and the heated ITO metal gate can heat the PVP gate insulator, resulting in PVP cross-linking. It is found that the attenuation of the microwave energy decreases with the decreasing chamber pressure. The optimal conditions are a power of 50 W, a heating time of 5 min, and a chamber pressure of 20 mTorr. When suitable MIH parameters were used, the effect of PVP cross-linking and the device performance were similar to those obtained using traditional oven heating, even though the cross-linking time was significantly decreased from 1 h to 5 min. Besides the gate leakage current, the interface trap state density (Nit) was also calculated to describe the interface status between the gate insulator and the active layer. The lowest interface trap state density can be found in the device with the PVP gate insulator cross-linked by using the optimal MIH condition. Therefore, it is believed that the MIH scheme is a good candidate to cross-link the PVP gate insulator for organic thin-film transistor applications as a result of its features of rapid heating (5 min) and low-power microwave-irradiation (50 W).

  20. Ultra-Thin Solid-State Nanopores: Fabrication and Applications

    NASA Astrophysics Data System (ADS)

    Kuan, Aaron Tzeyang

    Solid-state nanopores are a nanofluidic platform with unique advantages for single-molecule analysis and filtration applications. However, significant improvements in device performance and scalable fabrication methods are needed to make nanopore devices competitive with existing technologies. This dissertation investigates the potential advantages of ultra-thin nanopores in which the thickness of the membrane is significantly smaller than the nanopore diameter. Novel, scalable fabrication methods were first developed and then utilized to examine device performance for water filtration and single molecule sensing applications. Fabrication of nanometer-thin pores in silicon nitride membranes was achieved using a feedback-controlled ion beam method in which ion sputtering is arrested upon detection of the first few ions that drill through the membrane. Performing fabrication at liquid nitrogen temperatures prevents surface atom rearrangements that have previously complicated similar processes. A novel cross-sectional imaging method was also developed to allow careful examination of the full nanopore geometry. Atomically-thin graphene nanopores were fabricated via an electrical pulse method in which sub-microsecond electrical pulses applied across a graphene membrane in electrolyte solution are used to create a defect in the membrane and controllably enlarge it into a nanopore. This method dramatically increases the accuracy and reliability of graphene nanopore production, allowing consistent production of single nanopores down to subnanometer sizes. In filtration applications in which nanopores are used to selectively restrict the passage of dissolved contaminants, ultra-thin nanopores minimize the flow resistance, increasing throughput and energy-efficiency. The ability of graphene nanopores to separate different ions was characterized via ionic conductance and reversal potential measurements. Graphene nanopores were observed to conduct cations preferentially over

  1. Enhancing the carbon capture capacities of a rigid ultra-microporous MOF through gate-opening at low CO2 pressures assisted by swiveling oxalate pillars.

    PubMed

    Banerjee, Aparna; Nandi, Shyamapada; Nasa, Parveen; Vaidhyanathan, Ramanathan

    2016-01-31

    Porosity enhancement assisted by an unusual gate opening has been realized in an exceptionally rigid ultra-microporous framework. The gate-opening has been attributed to the presence of symmetrically positioned Zn-O bonds of the Zn-oxalate units that facilitate subtle swiveling motion resulting in a drastic improvement (42%) in the CO2 capacity without compromising the CO2/N2 selectivity.

  2. Modeling Thin Film Oxide Growth

    NASA Astrophysics Data System (ADS)

    Sherman, Quentin

    Thin film oxidation is investigated using two modeling techniques in the interest of better understanding the roles of space charge and non-equilibrium effects. An electrochemical phase-field model of an oxide-metal interface is formulated in one dimension and studied at equilibrium and during growth. An analogous sharp interface model is developed to validate the phase-field model in the thick film limit. Electrochemical profiles across the oxide are shown to deviate from the sharp interface prediction when the oxide film is thin compared to the Debye length, however no effect on the oxidation kinetics is found. This is attributed to the simple thermodynamic and kinetic models used therein. The phase-field model provides a framework onto to which additional physics can be added to better model thin film oxidation. A model for solute trapping during the oxidation of binary alloys is developed to study non-equilibrium effects during the early stages of oxide growth. The model is applied to NiCr alloys, and steady-state interfacial composition maps are presented for the growth of an oxide with the rock salt structure. No detailed experimental data is available to verify the predictions of the solute trapping model, however it is shown to be consistent with the trends observed during the early stages of NiCr oxidation. Lastly, experimental studies of the wet infiltration technique for decorating solid oxide fuel cell anodes with nickel nanoparticles are presented. The effect of nickel nitrate calcination parameters on the resulting nickel oxide microstructures are studied on both porous and planar substrates. Decreasing the calcination temperature and dwell time, as well as a dehydration step after nickel nitrate infiltration, are all shown to decrease the initial nickel oxide particle size, but other factors such as geometry and nickel loading per unit area also affected the final nickel particle size and morphology upon reduction.

  3. Layered ultra-thin coherent structures used as electrical resistors having low-temperature coefficient of resistivity

    DOEpatents

    Werner, T.R.; Falco, C.M.; Schuller, I.K.

    1982-08-31

    A thin film resistor having a controlled temperature coefficient of resistance (TCR) ranging from negative to positive degrees kelvin and having relatively high resistivity. The resistor is a multilayer superlattice crystal containing a plurality of alternating, ultra-thin layers of two different metals. TCR is varied by controlling the thickness of the individual layers. The resistor can be readily prepared by methods compatible with thin film circuitry manufacturing techniques.

  4. Manganese oxide micro-supercapacitors with ultra-high areal capacitance

    NASA Astrophysics Data System (ADS)

    Wang, Xu; Myers, Benjamin D.; Yan, Jian; Shekhawat, Gajendra; Dravid, Vinayak; Lee, Pooi See

    2013-05-01

    A symmetric micro-supercapacitor is constructed by electrochemically depositing manganese oxide onto micro-patterned current collectors. High surface-to-volume ratio of manganese oxide and short diffusion distance between electrodes give an ultra-high areal capacitance of 56.3 mF cm-2 at a current density of 27.2 μA cm-2.A symmetric micro-supercapacitor is constructed by electrochemically depositing manganese oxide onto micro-patterned current collectors. High surface-to-volume ratio of manganese oxide and short diffusion distance between electrodes give an ultra-high areal capacitance of 56.3 mF cm-2 at a current density of 27.2 μA cm-2. Electronic supplementary information (ESI) available: Experimental procedures; optical images of micro-supercapacitors; areal capacitances of samples M-0.3C, M-0.6C and M-0.9C; illustration of interdigital finger electrodes; Nyquist plot of Co(OH)2 deposited on micro-electrodes. See DOI: 10.1039/c3nr00210a

  5. Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices.

    PubMed

    Black, Jennifer M; Come, Jeremy; Bi, Sheng; Zhu, Mengyang; Zhao, Wei; Wong, Anthony T; Noh, Joo Hyon; Pudasaini, Pushpa R; Zhang, Pengfei; Okatan, Mahmut Baris; Dai, Sheng; Kalinin, Sergei V; Rack, Philip D; Ward, Thomas Zac; Feng, Guang; Balke, Nina

    2017-11-22

    Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal-insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment and theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.

  6. Multibit Polycristalline Silicon-Oxide-Silicon Nitride-Oxide-Silicon Memory Cells with High Density Designed Utilizing a Separated Control Gate

    NASA Astrophysics Data System (ADS)

    Rok Kim, Kyeong; You, Joo Hyung; Dal Kwack, Kae; Kim, Tae Whan

    2010-10-01

    Unique multibit NAND polycrystalline silicon-oxide-silicon nitride-oxide-silicon (SONOS) memory cells utilizing a separated control gate (SCG) were designed to increase memory density. The proposed NAND SONOS memory device based on a SCG structure was operated as two bits, resulting in an increase in the storage density of the NVM devices in comparison with conventional single-bit memories. The electrical properties of the SONOS memory cells with a SCG were investigated to clarify the charging effects in the SONOS memory cells. When the program voltage was supplied to each gate of the NAND SONOS flash memory cells, the electrons were trapped in the nitride region of the oxide-nitride-oxide layer under the gate to supply the program voltage. The electrons were accumulated without affecting the other gate during the programming operation, indicating the absence of cross-talk between two trap charge regions. It is expected that the inference effect will be suppressed by the lower program voltage than the program voltage of the conventional NAND flash memory. The simulation results indicate that the proposed unique NAND SONOS memory cells with a SCG can be used to increase memory density.

  7. Zinc Oxide Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Fortunato, E.; Barquinha, P.; Pimentel, A.; Gonçalves, A.; Marques, A.; Pereira, L.; Martins, R.

    ZnO thin film transistors (ZnO-TFT) have been fabricated by rf magnetron sputtering at room temperature with a bottom-gate configuration. The ZnO-TFT operates in the enhancement mode with a threshold voltage of 21 V, a field effect mobility of 20 cm2/Vs, a gate voltage swing of 1.24 V/decade and an on/off ratio of 2×105. The ZnO-TFT present an average optical transmission (including the glass substrate) of 80 % in the visible part of the spectrum. The combination of transparency, high channel mobility and room temperature processing makes the ZnO-TFT a very promising low cost optoelectronic device for the next generation of invisible and flexible electronics. Moreover, the processing technology used to fabricate this device is relatively simple and it is compatible with inexpensive plastic/flexible substrate technology.

  8. Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.

    PubMed

    Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min

    2014-05-13

    The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.

  9. Highly-flexible, ultra-thin, and transparent single-layer graphene/silver composite electrodes for organic light emitting diodes

    NASA Astrophysics Data System (ADS)

    Li, Kun; Wang, Hu; Li, Huiying; Li, Ye; Jin, Guangyong; Gao, Lanlan; Marco, Mazzeo; Duan, Yu

    2017-08-01

    Transparent conductive electrode (TCE) platforms are required in many optoelectronic devices, including organic light emitting diodes (OLEDs). To date, indium tin oxide based electrodes are widely used in TCEs but they still have few limitations in term of achieving flexible OLEDs and display techniques. In this paper, highly-flexible and ultra-thin TCEs were fabricated for use in OLEDs by combining single-layer graphene (SLG) with thin silver layers of only several nanometers in thickness. The as-prepared SLG + Ag (8 nm) composite electrodes showed low sheet resistances of 8.5 Ω/□, high stability over 500 bending cycles, and 74% transmittance at 550 nm wavelength. Furthermore, SLG + Ag composite electrodes employed as anodes in OLEDs delivered turn-on voltages of 2.4 V, with luminance exceeding 1300 cd m-2 at only 5 V, and maximum luminance reaching up 40 000 cd m-2 at 9 V. Also, the devices could work normally under less than the 1 cm bending radius.

  10. Enhanced performance of solution-processed organic thin-film transistors with a low-temperature-annealed alumina interlayer between the polyimide gate insulator and the semiconductor.

    PubMed

    Yoon, Jun-Young; Jeong, Sunho; Lee, Sun Sook; Kim, Yun Ho; Ka, Jae-Won; Yi, Mi Hye; Jang, Kwang-Suk

    2013-06-12

    We studied a low-temperature-annealed sol-gel-derived alumina interlayer between the organic semiconductor and the organic gate insulator for high-performance organic thin-film transistors. The alumina interlayer was deposited on the polyimide gate insulator by a simple spin-coating and 200 °C-annealing process. The leakage current density decreased by the interlayer deposition: at 1 MV/cm, the leakage current densities of the polyimide and the alumina/polyimide gate insulators were 7.64 × 10(-7) and 3.01 × 10(-9) A/cm(2), respectively. For the first time, enhancement of the organic thin-film transistor performance by introduction of an inorganic interlayer between the organic semiconductor and the organic gate insulator was demonstrated: by introducing the interlayer, the field-effect mobility of the solution-processed organic thin-film transistor increased from 0.35 ± 0.15 to 1.35 ± 0.28 cm(2)/V·s. Our results suggest that inorganic interlayer deposition could be a simple and efficient surface treatment of organic gate insulators for enhancing the performance of solution-processed organic thin-film transistors.

  11. Low leakage current gate dielectrics prepared by ion beam assisted deposition for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Chang Su; Jo, Sung Jin; Kim, Jong Bok; Ryu, Seung Yoon; Noh, Joo Hyon; Baik, Hong Koo; Lee, Se Jong; Kim, Youn Sang

    2007-12-01

    This communication reports on the fabrication of low operating voltage pentacene thin-film transistors with high-k gate dielectrics by ion beam assisted deposition (IBAD). These densely packed dielectric layers by IBAD show a much lower level of leakage current than those created by e-beam evaporation. These results, from the fact that those thin films deposited with low adatom mobility, have an open structure, consisting of spherical grains with pores in between, that acts as a significant path for leakage current. By contrast, our results demonstrate the potential to limit this leakage. The field effect mobility, on/off current ratio, and subthreshold slope obtained from pentacene thin-film transistors (TFTs) were 1.14 cm2/V s, 105, and 0.41 V/dec, respectively. Thus, the high-k gate dielectrics obtained by IBAD show promise in realizing low leakage current, low voltage, and high mobility pentacene TFTs.

  12. Effect of processing parameters on microstructure of MoS{sub 2} ultra-thin films synthesized by chemical vapor deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Yang; You, Suping; Sun, Kewei

    2015-06-15

    MoS{sub 2} ultra-thin layers are synthesized using a chemical vapor deposition method based on the sulfurization of molybdenum trioxide (MoO{sub 3}). The ultra-thin layers are characterized by X-ray diffraction (XRD), photoluminescence (PL) spectroscopy and atomic force microscope (AFM). Based on our experimental results, all the processing parameters, such as the tilt angle of substrate, applied voltage, heating time and the weight of source materials have effect on the microstructures of the layers. In this paper, the effects of such processing parameters on the crystal structures and morphologies of the as-grown layers are studied. It is found that the film obtainedmore » with the tilt angle of 0.06° is more uniform. A larger applied voltage is preferred to the growth of MoS{sub 2} thin films at a certain heating time. In order to obtain the ultra-thin layers of MoS{sub 2}, the weight of 0.003 g of source materials is preferred. Under our optimal experimental conditions, the surface of the film is smooth and composed of many uniformly distributed and aggregated particles, and the ultra-thin MoS{sub 2} atomic layers (1∼10 layers) covers an area of more than 2 mm×2 mm.« less

  13. Ultra-thin and -broadband microwave magnetic absorber enhanced by phase gradient metasurface incorporation

    NASA Astrophysics Data System (ADS)

    Fan, Ya; Wang, Jiafu; Li, Yongfeng; Pang, Yongqiang; Zheng, Lin; Xiang, Jiayu; Zhang, Jieqiu; Qu, Shaobo

    2018-05-01

    Based on the effect of anomalous reflection and refraction caused by the circularly cross-polarized phase gradient metasurface (PGM), an ultra-thin and -broadband composite absorber composed of metasurface and conventional magnetic absorbing film is proposed and demonstrated in this paper. In the case of keeping nearly the same thickness of absorbing layer, the equivalent thickness of magnetic absorbing film is enlarged by the effect of anomalous reflection and refraction, resulting in the expansion and improvement of the absorbing bandwidth and efficiency in low microwave frequency. A biarc metallic sub-cell for circularly crossed polarization is adopted to form a broadband phase gradient, by the means of rotating the Pancharatnam–Berry phases. As indicated in the experimental results, the fabricated 3.6 mm-thick absorber can averagely absorb microwave energy with the specular reflection below  ‑10 dB in the frequency interval of 2–12 GHz, which shows a good match with simulated results. Due to ultra-thin thickness and ultra-wide operating bandwidth, the proposed application of PGM in absorbing can provide an alternative way to enhance the absorbing property of current absorbing materials.

  14. Characterization of a high performance ultra-thin heat pipe cooling module for mobile hand held electronic devices

    NASA Astrophysics Data System (ADS)

    Ahamed, Mohammad Shahed; Saito, Yuji; Mashiko, Koichi; Mochizuki, Masataka

    2017-11-01

    In recent years, heat pipes have been widely used in various hand held mobile electronic devices such as smart phones, tablet PCs, digital cameras. With the development of technology these devices have different user friendly features and applications; which require very high clock speeds of the processor. In general, a high clock speed generates a lot of heat, which needs to be spreaded or removed to eliminate the hot spot on the processor surface. However, it is a challenging task to achieve proper cooling of such electronic devices mentioned above because of their confined spaces and concentrated heat sources. Regarding this challenge, we introduced an ultra-thin heat pipe; this heat pipe consists of a special fiber wick structure named as "Center Fiber Wick" which can provide sufficient vapor space on the both sides of the wick structure. We also developed a cooling module that uses this kind of ultra-thin heat pipe to eliminate the hot spot issue. This cooling module consists of an ultra-thin heat pipe and a metal plate. By changing the width, the flattened thickness and the effective length of the ultra-thin heat pipe, several experiments have been conducted to characterize the thermal properties of the developed cooling module. In addition, other experiments were also conducted to determine the effects of changes in the number of heat pipes in a single module. Characterization and comparison of the module have also been conducted both experimentally and theoretically.

  15. Differential-Mode Biosensor Using Dual Extended-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jinhyeon; Lee, Hee Ho; Ahn, Jungil; Seo, Sang-Ho; Shin, Jang-Kyoo

    2012-06-01

    In this paper, we present a differential-mode biosensor using dual extended-gate metal-oxide-semiconductor field-effect transistors (MOSFETs), which possesses the advantages of both the extended-gate structure and the differential-mode operation. The extended-gate MOSFET was fabricated using a 0.6 µm standard complementary metal oxide semiconductor (CMOS) process. The Au extended gate is the sensing gate on which biomolecules are immobilized, while the Pt extended gate is the dummy gate for use in the differential-mode detection circuit. The differential-mode operation offers many advantages such as insensitivity to the variation of temperature and light, as well as low noise. The outputs were measured using a semiconductor parameter analyzer in a phosphate buffered saline (PBS; pH 7.4) solution. A standard Ag/AgCl reference electrode was used to apply the gate bias. We measured the variation of output voltage with time, temperature, and light intensity. The bindings of self-assembled monolayer (SAM), streptavidin, and biotin caused a variation in the output voltage of the differential-mode detection circuit and this was confirmed by surface plasmon resonance (SPR) experiment. Biotin molecules could be detected up to a concentration of as low as 0.001 µg/ml.

  16. Fabrication and characterization of oxide-based thin film transistors, and process development for oxide heterostructures

    NASA Astrophysics Data System (ADS)

    Lim, Wantae

    2009-12-01

    This dissertation is focused on the development of thin film transistors (TFTs) using oxide materials composed of post-transitional cations with (n-1)d 10ns0 (n≥4). The goal is to achieve high performance oxide-based TFTs fabricated at low processing temperature on either glass or flexible substrates for next generation display applications. In addition, etching mechanism and Ohmic contact formation for oxide heterostructure (ZnO/CuCrO 2) system is demonstrated. The deposition and characterization of oxide semiconductors (In 2O3-ZnO, and InGaZnO4) using a RF-magnetron sputtering system are studied. The main influence on the resistivity of the films is found to be the oxygen partial pressure in the sputtering ambient. The films remained amorphous and transparent (> 70%) at all process conditions. These films showed good transmittance at suitable conductivity for transistor fabrication. The electrical characteristics of both top- and bottom-gate type Indium Zinc Oxide (InZnO) and Indium Gallium Zinc Oxide (InGaZnO4)-based TFTs are reported. The InZnO films were favorable for depletion-mode TFTs due to their tendency to form oxygen vacancies, while enhancement-mode devices were realized with InGaZnO4 films. The InGaZnO4-based TFTs fabricated on either glass or plastic substrates at low temperature (<100°C) exhibit good electrical properties: the saturation mobility of 5--12 cm2.V-1.s-1 and threshold voltage of 0.5--2.5V. The devices are also examined as a function of aging time in order to verify long-term stability in air. The effect of gate dielectric materials on electrical properties of InGaZnO 4-based TFTs was investigated. The use of SiNx film as a gate dielectric reduces the trap density and the roughness at the channel/gate dielectric interface compared to SiO2 gate dielectric, resulting in an improvement of device parameters by reducing scattering of trapped charges at the interface. The quality of interface is shown to have large effect on TFT performance

  17. Microstructural characterization of ultra thin copper interconnects

    NASA Astrophysics Data System (ADS)

    Yang, Hee-Dong

    The present study investigates the defects related to reliability issues, such as physical failures developed during processing and end use. In the first part of this study, kinetic analysis using the Johnson-Mehl-Avrami (JMA) model demonstrates that a self-annealing mechanism in electroplated Cu films depends on the film properties, such as thickness and the amount of crystal defects in an as-deposited state. In order to obtain the evidence of such defects, the microstructural characterization of defects in ultra thin copper interconnects using transmission electron microscopy (TEM) is presented. Examination of the defects using TEM reveals that voids filled with gas form as a lens shape along the {110} habit planes of the copper matrix. In the second part of this study, methodology and results of an electro-thermal-fatigue (ETF) testing, designed for early detection of process defects, are presented. Such ETF testing combines high-density current electrical stressing and thermal cycling to accelerate the evolution of defects in Cu interconnects. In ETF testing, the evolution of defects provides the nucleation sites for voids which open or close during thermal cycling. Then, the accumulation of voids creates the change in resistance when they reach a critical size. As a result of voids evolution, the high current density and high joule heating create a transient resistance increase. ETF testing reveals two failure modes, and the mode-I failure has the importance in detecting defects. The number of cycles to failure in ETF testing decreases with higher current density, but the rate of thermal cycling has no effect. Results from this investigation suggest that impurities in the copper electrodeposition process must be carefully controlled to achieve reliable ultra thin copper interconnects.

  18. Scanning gate study of organic thin-film field-effect transistor

    NASA Astrophysics Data System (ADS)

    Aoki, N.; Sudou, K.; Matsusaki, K.; Okamoto, K.; Ochiai, Y.

    2008-03-01

    Scanning gate microscopy (SGM) has been applied for a study of organic thin-film field effect transistor (OFET). In contrast to one-dimensional nano-material such a carbon nanonube or nano-structure such a quantum point contact, visualization a transport characteristic of OFET channel is basically rather difficult since the channel width is much larger than the size of the SGM tip. Nevertheless, Schottky barriers are successfully visualized at the boundary between the metal electrodes and the OFET channel at ambient atmosphere.

  19. Phosphorus oxide gate dielectric for black phosphorus field effect transistors

    NASA Astrophysics Data System (ADS)

    Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.

    2018-04-01

    The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.

  20. Oxide Semiconductor-Based Flexible Organic/Inorganic Hybrid Thin-Film Transistors Fabricated on Polydimethylsiloxane Elastomer.

    PubMed

    Jung, Soon-Won; Choi, Jeong-Seon; Park, Jung Ho; Koo, Jae Bon; Park, Chan Woo; Na, Bock Soon; Oh, Ji-Young; Lim, Sang Chul; Lee, Sang Seok; Chu, Hye Yong

    2016-03-01

    We demonstrate flexible organic/inorganic hybrid thin-film transistors (TFTs) on a polydimethysilox- ane (PDMS) elastomer substrate. The active channel and gate insulator of the hybrid TFT are composed of In-Ga-Zn-O (IGZO) and blends of poly(vinylidene fluoride-trifluoroethylene) [P(VDF- TrFE)] with poly(methyl methacrylate) (PMMA), respectively. It has been confirmed that the fabri- cated TFT display excellent characteristics: the recorded field-effect mobility, sub-threshold voltage swing, and I(on)/I(off) ratio were approximately 0.35 cm2 V(-1) s(-1), 1.5 V/decade, and 10(4), respectively. These characteristics did not experience any degradation at a bending radius of 15 mm. These results correspond to the first demonstration of a hybrid-type TFT using an organic gate insulator/oxide semiconducting active channel structure fabricated on PDMS elastomer, and demonstrate the feasibility of a promising device in a flexible electronic system.

  1. Thin Film Complementary Metal Oxide Semiconductor (CMOS) Device Using a Single-Step Deposition of the Channel Layer

    PubMed Central

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, M. N.; Wang, Q. X.; Alshareef, H. N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n- and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350°C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications. PMID:24728223

  2. PREFACE: Proceedings Symposium G of E-MRS Spring Meeting on Fundamentals and Technology of Multifunctional Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    2010-07-01

    Oxide materials exhibit a large variety of functional properties that are useful in a plethora of applications. Symposium G focused on oxide thin films that include dielectric or switching properties. Its program mirrored very well the strong worldwide search for high-K thin films for gate, memory, and on-chip capacitors, as well as the emerging field of functional thin films for MEMS. A complete session was devoted to the colossal effect of dielectric response in (Ca,Cu)TiO3, representing the major European research groups in this field. A comprehensive overview on this phenomenon was given by D Sinclair J Wolfman presented the latest results on CCTO thin films obtained by wafer scale pulsed laser deposition. A Loidl showed the analytical power of dielectric spectroscopy when covering the complete frequency range from 1-1012 Hz, i.e. from space charge to phonon contributions at the example of CCTO. Another session was devoted to applications in non-volatile memories, covering various effects including ferroelectric and resistive switching, the complex behavior of oxide tunnel junctions (H Kohlstedt), the possibility to manipulate the magnetic state of a 2d-electron gas by the polarization of an adjacent ferroelectric gate (I Stolitchnov). Latest advancements in ALD processing for high-K thin films in dynamic RAM were reported by S Ramanathan. The advancement of piezoelectric PZT thin film MEMS devices was well documented by outstanding talks on their developments in industry (M Klee, F Tyholdt), new possibilities in GHz filters (T Matshushima), advancements in sol-gel processing (B Tuttle, H Suzuki), and low temperature integration approaches by UV light curing (S Trolier-McKinstry). Recent advances in incipient ferroelectric thin films and nano composites for tunable capacitors in microwave applications were present by A Vorobiev and T Yamada. Integrated electro-optics is another field to be conquered by thin film structures. The impressive progress made in this

  3. Ultra-high current density thin-film Si diode

    DOEpatents

    Wang; Qi

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  4. High-energy ultra-short pulse thin-disk lasers: new developments and applications

    NASA Astrophysics Data System (ADS)

    Michel, Knut; Klingebiel, Sandro; Schultze, Marcel; Tesseit, Catherine Y.; Bessing, Robert; Häfner, Matthias; Prinz, Stefan; Sutter, Dirk; Metzger, Thomas

    2016-03-01

    We report on the latest developments at TRUMPF Scientific Lasers in the field of ultra-short pulse lasers with highest output energies and powers. All systems are based on the mature and industrialized thin-disk technology of TRUMPF. Thin Yb:YAG disks provide a reliable and efficient solution for power and energy scaling to Joule- and kW-class picosecond laser systems. Due to its efficient one dimensional heat removal, the thin-disk exhibits low distortions and thermal lensing even when pumped under extremely high pump power densities of 10kW/cm². Currently TRUMPF Scientific Lasers develops regenerative amplifiers with highest average powers, optical parametric amplifiers and synchronization schemes. The first few-ps kHz multi-mJ thin-disk regenerative amplifier based on the TRUMPF thindisk technology was developed at the LMU Munich in 20081. Since the average power and energy have continuously been increased, reaching more than 300W (10kHz repetition rate) and 200mJ (1kHz repetition rate) at pulse durations below 2ps. First experiments have shown that the current thin-disk technology supports ultra-short pulse laser solutions >1kW of average power. Based on few-picosecond thin-disk regenerative amplifiers few-cycle optical parametric chirped pulse amplifiers (OPCPA) can be realized. These systems have proven to be the only method for scaling few-cycle pulses to the multi-mJ energy level. OPA based few-cycle systems will allow for many applications such as attosecond spectroscopy, THz spectroscopy and imaging, laser wake field acceleration, table-top few-fs accelerators and laser-driven coherent X-ray undulator sources. Furthermore, high-energy picosecond sources can directly be used for a variety of applications such as X-ray generation or in atmospheric research.

  5. Nanometer-scale oxide thin film transistor with potential for high-density image sensor applications.

    PubMed

    Jeon, Sanghun; Park, Sungho; Song, Ihun; Hur, Ji-Hyun; Park, Jaechul; Kim, Hojung; Kim, Sunil; Kim, Sangwook; Yin, Huaxiang; Chung, U-In; Lee, Eunha; Kim, Changjung

    2011-01-01

    The integration of electronically active oxide components onto silicon circuits represents an innovative approach to improving the functionality of novel devices. Like most semiconductor devices, complementary-metal-oxide-semiconductor image sensors (CISs) have physical limitations when progressively scaled down to extremely small dimensions. In this paper, we propose a novel hybrid CIS architecture that is based on the combination of nanometer-scale amorphous In-Ga-Zn-O (a-IGZO) thin-film transistors (TFTs) and a conventional Si photo diode (PD). With this approach, we aim to overcome the loss of quantum efficiency and image quality due to the continuous miniaturization of PDs. Specifically, the a-IGZO TFT with 180 nm gate length is probed to exhibit remarkable performance including low 1/f noise and high output gain, despite fabrication temperatures as low as 200 °C. In particular, excellent device performance is achieved using a double-layer gate dielectric (Al₂O₃/SiO₂) combined with a trapezoidal active region formed by a tailored etching process. A self-aligned top gate structure is adopted to ensure low parasitic capacitance. Lastly, three-dimensional (3D) process simulation tools are employed to optimize the four-pixel CIS structure. The results demonstrate how our stacked hybrid device could be the starting point for new device strategies in image sensor architectures. Furthermore, we expect the proposed approach to be applicable to a wide range of micro- and nanoelectronic devices and systems.

  6. Spatially and momentum resolved energy electron loss spectra from an ultra-thin PrNiO{sub 3} layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kinyanjui, M. K., E-mail: michael.kinyanjui@uni-ulm.de; Kaiser, U.; Benner, G.

    2015-05-18

    We present an experimental approach which allows for the acquisition of spectra from ultra-thin films at high spatial, momentum, and energy resolutions. Spatially and momentum (q) resolved electron energy loss spectra have been obtained from a 12 nm ultra-thin PrNiO{sub 3} layer using a nano-beam electron diffraction based approach which enabled the acquisition of momentum resolved spectra from individual, differently oriented nano-domains and at different positions of the PrNiO{sub 3} thin layer. The spatial and wavelength dependence of the spectral excitations are obtained and characterized after the analysis of the experimental spectra using calculated dielectric and energy loss functions. The presentedmore » approach makes a contribution towards obtaining momentum-resolved spectra from nanostructures, thin film, heterostructures, surfaces, and interfaces.« less

  7. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors

    PubMed Central

    2013-01-01

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric. PMID:23294730

  8. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    PubMed

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  9. Unidirectional oxide hetero-interface thin-film diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing amore » high feasibility for practical applications.« less

  10. Analysis of indium zinc oxide thin films by laser-induced breakdown spectroscopy

    NASA Astrophysics Data System (ADS)

    Popescu, A. C.; Beldjilali, S.; Socol, G.; Craciun, V.; Mihailescu, I. N.; Hermann, J.

    2011-10-01

    We have performed spectroscopic analysis of the plasma generated by Nd:YAG (λ = 266 nm) laser irradiation of thin indium zinc oxide films with variable In content deposited by combinatorial pulsed laser deposition on glass substrates. The samples were irradiated in 5 × 104 Pa argon using laser pulses of 5 ns duration and 10 mJ energy. The plasma emission spectra were recorded with an Echelle spectrometer coupled to a gated detector with different delays with respect to the laser pulse. The relative concentrations of indium and zinc were evaluated by comparing the measured spectra to the spectral radiance computed for a plasma in local thermal equilibrium. Plasma temperature and electron density were deduced from the relative intensities and Stark broadening of spectral lines of atomic zinc. Analyses at different locations on the deposited thin films revealed that the In/(In + Zn) concentration ratio significantly varies over the sample surface, from 0.4 at the borders to about 0.5 in the center of the film. The results demonstrate that laser-induced breakdown spectroscopy allows for precise and fast characterization of thin films with variable composition.

  11. Thin film hydrous metal oxide catalysts

    DOEpatents

    Dosch, Robert G.; Stephens, Howard P.

    1995-01-01

    Thin film (<100 nm) hydrous metal oxide catalysts are prepared by 1) synthesis of a hydrous metal oxide, 2) deposition of the hydrous metal oxide upon an inert support surface, 3) ion exchange with catalytically active metals, and 4) activating the hydrous metal oxide catalysts.

  12. Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices

    DOE PAGES

    Black, Jennifer M.; Come, Jeremy; Bi, Sheng; ...

    2017-10-24

    Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal–insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment andmore » theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.« less

  13. Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, Jennifer M.; Come, Jeremy; Bi, Sheng

    Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal–insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment andmore » theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.« less

  14. Growth control of the oxidation state in vanadium oxide thin films

    DOE PAGES

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; ...

    2014-12-05

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research, but also technological applications that utilize the subtle change in the physical properties originating from the metalinsulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V₂⁺²O₃, V⁺⁴O₂, and V₂⁺⁵O₅. A well pronounced MIT was only observed in VO₂ films grown in a very narrow range of oxygen partial pressure P(O₂). The films grown either in lower (< 10 mTorr) or higher P(O₂) (> 25 mTorr) result in V₂O₃ and V₂O₅ phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO₂ thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an 3 improved MIT behavior.« less

  15. Growth control of the oxidation state in vanadium oxide thin films

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; Egami, Takeshi; Lee, Ho Nyung

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase pure epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V2 + 3 O 3 , V + 4 O 2 , and V2 + 5 O 5 . A well pronounced MIT was only observed in VO2 films grown in a very narrow range of oxygen partial pressure P(O2). The films grown either in lower (<10 mTorr) or higher P(O2) (>25 mTorr) result in V2O3 and V2O5 phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO2 thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.

  16. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    PubMed

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  17. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    NASA Astrophysics Data System (ADS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  18. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    NASA Astrophysics Data System (ADS)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  19. Stability study of solution-processed zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Zhang, Xue; Ndabakuranye, Jean Pierre; Kim, Dong Wook; Choi, Jong Sun; Park, Jaehoon

    2015-11-01

    In this study, the environmental dependence of the electrical stability of solution-processed n-channel zinc tin oxide (ZTO) thin-film transistors (TFTs) is reported. Under a prolonged negative gate bias stress, a negative shift in threshold voltage occurs in atmospheric air, whereas a negligible positive shift in threshold voltage occurs under vacuum. In the positive bias-stress experiments, a positive shift in threshold voltage was invariably observed both in atmospheric air and under vacuum. In this study, the negative gate-bias-stress-induced instability in atmospheric air is explained through an internal potential in the ZTO semiconductor, which can be generated owing to the interplay between H2O molecules and majority carrier electrons at the surface of the ZTO film. The positive bias-stress-induced instability is ascribed to electron-trapping phenomenon in and around the TFT channel region, which can be further augmented in the presence of air O2 molecules. These results suggest that the interaction between majority carriers and air molecules will have crucial implications for a reliable operation of solution-processed ZTO TFTs. [Figure not available: see fulltext.

  20. Novel organic semiconductors and a high capacitance gate dielectric for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Cai, Xiuyu

    2007-12-01

    Organic semiconductors are attracting more and more interest as a promising set of materials in the field of electronics research. This thesis focused on several new organic semiconductors and a novel high-kappa dielectric thin film (SrTiO3), which are two essential parts in Organic Thin Film Transistors (OTFTs). Structure and morphology of thin films of tricyanovinyl capped oligothiophenes were studied using atomic force microscopy and x-ray diffraction. Thin film transistors of one compound exhibited a reasonable electron mobility of 0.02 cm2/Vs. Temperature dependent measurements on the thin film transistor based on this compound revealed shallow trap states that were interpreted in terms of a multiple trap and release model. Moreover, inversion of the majority charge carrier type from electrons to holes was observed when the number of oligothiophene rings increased to six and ambipolar transport behavior was observed for tricyanovinyl sexithiophene. Another interesting organic semiconductor compound is the fluoalkylquarterthiophene, which showed ambipolar transport and large hysteresis in the transfer curve. Due to the bistable state at floating gate, the thin film transistor was exploited to study non-volatile floating gate memory effects. The temperature dependence of the retention time for this memory device revealed that the electron trapping was an activated process. Following the earlier work on hybrid acene-thiophene organic semiconductors, new compounds with similar structure were studied to reveal the mechanism of the air-stability exhibited by some compounds. They all formed highly crystalline thin films and showed reasonable device performances which are well correlated with the molecular structures, thin film microstructures, and solid state packing. The most air-stable compound had no observable degradation with exposure to air for 15 months. SrTiO3 was developed to be employed in OTFTs. Optimization of thin film growth was performed using reactive

  1. Light-induced hysteresis and recovery behaviors in photochemically activated solution-processed metal-oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jo, Jeong-Wan; Park, Sung Kyu, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr; Kim, Yong-Hoon, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr

    2014-07-28

    In this report, photo-induced hysteresis, threshold voltage (V{sub T}) shift, and recovery behaviors in photochemically activated solution-processed indium-gallium-zinc oxide (IGZO) thin-film transistors (TFTs) are investigated. It was observed that a white light illumination caused negative V{sub T} shift along with creation of clockwise hysteresis in electrical characteristics which can be attributed to photo-generated doubly ionized oxygen vacancies at the semiconductor/gate dielectric interface. More importantly, the photochemically activated IGZO TFTs showed much reduced overall V{sub T} shift compared to thermally annealed TFTs. Reduced number of donor-like interface states creation under light illumination and more facile neutralization of ionized oxygen vacancies bymore » electron capture under positive gate potential are claimed to be the origin of the less V{sub T} shift in photochemically activated TFTs.« less

  2. Memristive Properties of Thin Film Cuprous Oxide

    DTIC Science & Technology

    2011-03-01

    Equation Chapter 1 Section 1 MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Brett C...Force Base, Ohio APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED The views expressed in this thesis are those of the...MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Presented to the Faculty Department of Engineering Physics Graduate School of

  3. Study of mechanism of stress-induced threshold voltage shift and recovery in top-gate amorphous-InGaZnO4 thin-film transistors with source- and drain-offsets

    NASA Astrophysics Data System (ADS)

    Mativenga, Mallory; Kang, Dong Han; Lee, Ung Gi; Jang, Jin

    2012-09-01

    Bias instability of top-gate amorphous-indium-gallium-zinc-oxide thin-film transistors with source- and drain-offsets is reported. Positive and negative gate bias-stress (VG_STRESS) respectively induce reversible negative threshold-voltage shift (ΔVTH) and reduction in on-current. Migration of positive charges towards the offsets lowers the local resistance of the offsets, resulting in the abnormal negative ΔVTH under positive VG_STRESS. The reduction in on-current under negative VG_STRESS is due to increase in resistance of the offsets when positive charges migrate away from the offsets. Appropriate drain and source bias-stresses applied simultaneously with VG_STRESS either suppress or enhance the instability, verifying lateral ion migration to be the instability mechanism.

  4. Wavelength Shifting in InP based Ultra-thin Quantum Well Infrared Photodetectors

    NASA Technical Reports Server (NTRS)

    Sengupta, D. K.; Gunapala, S. D.; Bandara, S. V.; Pool, F.; Liu, J. K.; McKelvy, M.

    1998-01-01

    We have demonstrated red-shifting of the wavelength response of a bound-to-continuum p-type ultra-thin InGaAs/Inp quantum well infrared photodetector after growth via rapid thermal annealing. Compared to the as-grown detector, the peak spectral response of the annealed detector was shifted to longer wavelength without any major degradation in responsivity characteristics.

  5. Growth control of the oxidation state in vanadium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Shinbuhm; Meyer, Tricia L.; Lee, Ho Nyung, E-mail: hnlee@ornl.gov

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V{sub 2}{sup +3}O{sub 3}, V{sup +4}O{sub 2}, and V{sub 2}{sup +5}O{sub 5}. A well pronounced MIT was only observed in VO{sub 2} films grown in a very narrow range of oxygen partial pressure P(O{sub 2}). The films grown either in lower (<10 mTorr) or higher P(O{sub 2}) (>25 mTorr) result in V{sub 2}O{sub 3} and V{sub 2}O{sub 5} phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO{sub 2} thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.« less

  6. Transparent Oxide Thin-Film Transistors: Production, Characterization and Integration

    NASA Astrophysics Data System (ADS)

    Barquinha, Pedro Miguel Candido

    This dissertation is devoted to the study of the emerging area of transparent electronics, summarizing research work regarding the development of n-type thin-film transistors (TFTs) based on sputtered oxide semiconductors. All the materials are produced without intentional substrate heating, with annealing temperatures of only 150-200 °C being used to optimize transistor performance. The work is based on the study and optimization of active semiconductors from the gallium-indium-zinc oxide system, including both the binary compounds Ga2O3, In2O3 and ZnO, as well as ternary and quaternary oxides based on mixtures of those, such as IZO and GIZO with different atomic ratios. Several topics are explored, including the study and optimization of the oxide semiconductor thin films, their application as channel layers on TFTs and finally the implementation of the optimized processes to fabricate active matrix backplanes to be integrated in liquid crystal display (LCD) prototypes. Sputtered amorphous dielectrics with high dielectric constant (high-kappa) based on mixtures of tantalum-silicon or tantalum-aluminum oxides are also studied and used as the dielectric layers on fully transparent TFTs. These devices also include transparent and highly conducting IZO thin films as source, drain and gate electrodes. Given the flexibility of the sputtering technique, oxide semiconductors are analyzed regarding several deposition parameters, such as oxygen partial pressure and deposition pressure, as well as target composition. One of the most interesting features of multicomponent oxides such as IZO and GIZO is that, due to their unique electronic configuration and carrier transport mechanism, they allow to obtain amorphous structures with remarkable electrical properties, such as high hall-effect mobility that exceeds 60 cm2 V -1 s-1 for IZO. These properties can be easily tuned by changing the processing conditions and the atomic ratios of the multicomponent oxides, allowing to

  7. Solvothermal synthesis of gallium-indium-zinc-oxide nanoparticles for electrolyte-gated transistors.

    PubMed

    Santos, Lídia; Nunes, Daniela; Calmeiro, Tomás; Branquinho, Rita; Salgueiro, Daniela; Barquinha, Pedro; Pereira, Luís; Martins, Rodrigo; Fortunato, Elvira

    2015-01-14

    Solution-processed field-effect transistors are strategic building blocks when considering low-cost sustainable flexible electronics. Nevertheless, some challenges (e.g., processing temperature, reliability, reproducibility in large areas, and cost effectiveness) are requirements that must be surpassed in order to achieve high-performance transistors. The present work reports electrolyte-gated transistors using as channel layer gallium-indium-zinc-oxide nanoparticles produced by solvothermal synthesis combined with a solid-state electrolyte based on aqueous dispersions of vinyl acetate stabilized with cellulose derivatives, acrylic acid ester in styrene and lithium perchlorate. The devices fabricated using this approach display a ION/IOFF up to 1 × 10(6), threshold voltage (VTh) of 0.3-1.9 V, and mobility up to 1 cm(2)/(V s), as a function of gallium-indium-zinc-oxide ink formulation and two different annealing temperatures. These results validates the usage of electrolyte-gated transistors as a viable and promising alternative for nanoparticle based semiconductor devices as the electrolyte improves the interface and promotes a more efficient step coverage of the channel layer, reducing the operating voltage when compared with conventional dielectrics gating. Moreover, it is shown that by controlling the applied gate potential, the operation mechanism of the electrolyte-gated transistors can be modified from electric double layer to electrochemical doping.

  8. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  9. Short range, ultra-wideband radar with high resolution swept range gate

    DOEpatents

    McEwan, Thomas E.

    1998-05-26

    A radar range finder and hidden object locator is based on ultra-wide band radar with a high resolution swept range gate. The device generates an equivalent time amplitude scan with a typical range of 4 inches to 20 feet, and an analog range resolution as limited by a jitter of on the order of 0.01 inches. A differential sampling receiver is employed to effectively eliminate ringing and other aberrations induced in the receiver by the near proximity of the transmit antenna, so a background subtraction is not needed, simplifying the circuitry while improving performance. Uses of the invention include a replacement of ultrasound devices for fluid level sensing, automotive radar, such as cruise control and parking assistance, hidden object location, such as stud and rebar finding. Also, this technology can be used when positioned over a highway lane to collect vehicle count and speed data for traffic control.

  10. Theoretical requirements for broadband perfect absorption of acoustic waves by ultra-thin elastic meta-films

    PubMed Central

    Duan, Yuetao; Luo, Jie; Wang, Guanghao; Hang, Zhi Hong; Hou, Bo; Li, Jensen; Sheng, Ping; Lai, Yun

    2015-01-01

    We derive and numerically demonstrate that perfect absorption of elastic waves can be achieved in two types of ultra-thin elastic meta-films: one requires a large value of almost pure imaginary effective mass density and a free space boundary, while the other requires a small value of almost pure imaginary effective modulus and a hard wall boundary. When the pure imaginary density or modulus exhibits certain frequency dispersions, the perfect absorption effect becomes broadband, even in the low frequency regime. Through a model analysis, we find that such almost pure imaginary effective mass density with required dispersion for perfect absorption can be achieved by elastic metamaterials with large damping. Our work provides a feasible approach to realize broadband perfect absorption of elastic waves in ultra-thin films. PMID:26184117

  11. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  12. Ultra-thin metamaterial for perfect and quasi-omnidirectional sound absorption

    NASA Astrophysics Data System (ADS)

    Jiménez, N.; Huang, W.; Romero-García, V.; Pagneux, V.; Groby, J.-P.

    2016-09-01

    Using the concepts of slow sound and critical coupling, an ultra-thin acoustic metamaterial panel for perfect and quasi-omnidirectional absorption is theoretically and experimentally conceived in this work. The system is made of a rigid panel with a periodic distribution of thin closed slits, the upper wall of which is loaded by Helmholtz Resonators (HRs). The presence of resonators produces a slow sound propagation shifting the resonance frequency of the slit to the deep sub-wavelength regime ( λ/88 ). By controlling the geometry of the slit and the HRs, the intrinsic visco-thermal losses can be tuned in order to exactly compensate the energy leakage of the system and fulfill the critical coupling condition to create the perfect absorption of sound in a large range of incidence angles due to the deep subwavelength behavior.

  13. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  14. Excitation of epsilon-near-zero resonance in ultra-thin indium tin oxide shell embedded nanostructured optical fiber.

    PubMed

    Minn, Khant; Anopchenko, Aleksei; Yang, Jingyi; Lee, Ho Wai Howard

    2018-02-05

    We report a novel optical waveguide design of a hollow step index fiber modified with a thin layer of indium tin oxide (ITO). We show an excitation of highly confined waveguide mode in the proposed fiber near the wavelength where permittivity of ITO approaches zero. Due to the high field confinement within thin ITO shell inside the fiber, the epsilon-near-zero (ENZ) mode can be characterized by a peak in modal loss of the hybrid waveguide. Our results show that such in-fiber excitation of ENZ mode is due to the coupling of the guided core mode to the thin-film ENZ mode. We also show that the phase matching wavelength, where the coupling takes place, varies depending on the refractive index of the constituents inside the central bore of the fiber. These ENZ nanostructured optical fibers have many potential applications, for example, in ENZ nonlinear and magneto-optics, as in-fiber wavelength-dependent filters, and as subwavelength fluid channel for optical and bio-photonic sensing.

  15. Permanent and Transient Radiation Effects on Thin-Oxide (200-A) MOS Transistors

    DTIC Science & Technology

    1976-06-01

    n-channel technology using a SiO, gate-oxide thickness ol ’ 200 A and a %hallow phiosphorus diffusion of 0.5 pin on a 0.7-ohm)-cmn 8-doped > Si...substrate. The thickness of the sell-aligned it polysilicon gate was kept at 3500 A. The oxide was grown in dry 0, at a temperature ot 1000C, followed...semiconductor work function difference (equal to 0 V for the polysilicon gates’ studied here). The effect of the ionizing radiation is to introduce

  16. Tailoring metal/metal oxide nanostructures for ultra-sensitive detection

    NASA Astrophysics Data System (ADS)

    Morrill, Andrew Reese

    This thesis presents three diverse approaches to harnessing the material properties of nanostructures to produce ultra-sensitive detection platforms. In this work we have utilized nanostructure synthesis as the launching point for the creation of nanodevices with applications in chemical and biological sensing, catalysis and metrology. Silver nanowires were electrodeposited into a porous aluminum oxide (PAO) template. When these templates are chemically etched the nanowires become exposed and eventually collapse into bundles that harbor interstices that function as "hot-spots" for Raman field enhancement. Surface enhanced Raman spectroscopy experiments were carried out on these substrates in two ways using benzenethiol as the Raman probe. In both experiments the SERS spectra show significant (˜25 and ˜50 fold respectively) increase in intensity over the initial value (when the tips were barely exposed). Nanostructured titania (NST) thin films were produced by oxidizing titanium with hydrogen peroxide. These films are particularly well suited for integration into microfabricated sensing devices. The formation of NST relies on a re-deposition process in which an adequate amount of Ti-peroxo species must be generated and remain at the solid-solution interface. To reliably produce arrays of micro-patterned NST films on the wafer scale a patterning guide was developed and tested. Wafer scale arrays of NST micro gas-sensors have been fabricated using standard thin film techniques. Sensing elements are 20 mum on a side. High sensitivity to hydrogen is achieved by modification of the sensors with platinum nanoparticles. When exposed to 10 mT of hydrogen at 250°C, the functionalized devices exhibit more than one order of magnitude decrease in resistance with a response time of ˜7 seconds. Both NST and tin (IV) oxide nanowires were coated in aminosilane self-assembled monolayers (SAMs) which have many applications in binding biomolecules. There has been a plethora of

  17. Multi-oxide active layer deposition using Applied Materials Pivot array coater for high-mobility metal oxide TFT

    NASA Astrophysics Data System (ADS)

    Park, Hyun Chan; Scheer, Evelyn; Witting, Karin; Hanika, Markus; Bender, Marcus; Hsu, Hao Chien; Yim, Dong Kil

    2015-11-01

    By controlling a thin indium tin oxide (ITO), indium zinc oxide interface layer between gate insulator and indium gallium zinc oxide (IGZO), the thin-film transistor (TFT) performance can reach higher mobility as conventional IGZO as well as superior stability. For large-area display application, Applied Materials static PVD array coater (Applied Materials GmbH & Co. KG, Alzenau, Germany) using rotary targets has been developed to enable uniform thin layer deposition in display industry. Unique magnet motion parameter optimization in Pivot sputtering coater is shown to provide very uniform thin ITO layer to reach TFT performance with high mobility, not only on small scale, but also on Gen8.5 (2500 × 2200 mm glass size) production system.

  18. Ultra-high power capabilities in amorphous FePO4 thin films

    NASA Astrophysics Data System (ADS)

    Gandrud, Knut B.; Nilsen, Ola; Fjellvåg, Helmer

    2016-02-01

    Record breaking electrochemical properties of FePO4 have been found through investigation of the thickness dependent electrochemical properties of amorphous thin film electrodes. Atomic layer deposition was used for production of thin films of amorphous FePO4 with highly accurate thickness and topography. Electrochemical characterization of these thin film electrodes revealed that the thinner electrodes behave in a pseudocapacitive manner even at high rates of Li+ de/intercalation, which enabled specific powers above 1 MW kg-1 FePO4 to be obtained with minimal capacity loss. In addition, a self-enhancing kinetic effect was observed during cycling enabling more than 10,000 cycles at current rates approaching that of a supercapacitor (11s charge/discharge). The current findings may open for construction of ultra-high power battery electrodes that combines the energy density of batteries with the power capabilities of supercapacitors.

  19. Ultra-fast movies of thin-film laser ablation

    NASA Astrophysics Data System (ADS)

    Domke, Matthias; Rapp, Stephan; Schmidt, Michael; Huber, Heinz P.

    2012-11-01

    Ultra-short-pulse laser irradiation of thin molybdenum films from the glass substrate side initiates an intact Mo disk lift off free from thermal effects. For the investigation of the underlying physical effects, ultra-fast pump-probe microscopy is used to produce stop-motion movies of the single-pulse ablation process, initiated by a 660-fs laser pulse. The ultra-fast dynamics in the femtosecond and picosecond ranges are captured by stroboscopic illumination of the sample with an optically delayed probe pulse of 510-fs duration. The nanosecond and microsecond delay ranges of the probe pulse are covered by an electronically triggered 600-ps laser. Thus, the setup enables an observation of general laser ablation processes from the femtosecond delay range up to the final state. A comparison of time- and space-resolved observations of film and glass substrate side irradiation of a 470-nm molybdenum layer reveals the driving mechanisms of the Mo disk lift off initiated by glass-side irradiation. Observations suggest that a phase explosion generates a liquid-gas mixture in the molybdenum/glass interface about 10 ps after the impact of the pump laser pulse. Then, a shock wave and gas expansion cause the molybdenum layer to bulge, while the enclosed liquid-gas mixture cools and condenses at delay times in the 100-ps range. The bulging continues for approximately 20 ns, when an intact Mo disk shears and lifts off at a velocity of above 70 m/s. As a result, the remaining hole is free from thermal effects.

  20. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  1. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  2. P-channel thin film transistors using reduced graphene oxide

    NASA Astrophysics Data System (ADS)

    Chakraborty, S.; Resmi, A. N.; Renuka Devi, P.; Jinesh, K. B.

    2017-04-01

    Chemically reduced graphene oxide (rGO) samples with various degrees of reduction were prepared using hydrazine hydrate as the reducing agent. Scanning tunnelling microscope imaging shows that rGO contains rows of randomly distributed patches of epoxy groups. The local density of states of the rGO samples were mapped with scanning tunnelling spectroscopy, which shows that the bandgap in rGO originates from the epoxide regions itself. The Fermi level of the epoxide regions is shifted towards the valence band, making rGO locally p-type and a range of bandgaps from 0-2.2 eV was observed in these regions. Thin film transistors were fabricated using rGO as the channel layer. The devices show excellent output characteristics with clear saturation and gate dependence. The transfer characteristics show that rGO behaves as a p-type semiconductor; the devices exhibit an on/off ratio of 104, with a low-bias hole mobility of 3.9 cm2 V-1 s-1.

  3. Selective Pyroelectric Detection of Millimetre Waves Using Ultra-Thin Metasurface Absorbers

    PubMed Central

    Kuznetsov, Sergei A.; Paulish, Andrey G.; Navarro-Cía, Miguel; Arzhannikov, Andrey V.

    2016-01-01

    Sensing infrared radiation is done inexpensively with pyroelectric detectors that generate a temporary voltage when they are heated by the incident infrared radiation. Unfortunately the performance of these detectors deteriorates for longer wavelengths, leaving the detection of, for instance, millimetre-wave radiation to expensive approaches. We propose here a simple and effective method to enhance pyroelectric detection of the millimetre-wave radiation by combining a compact commercial infrared pyro-sensor with a metasurface-enabled ultra-thin absorber, which provides spectrally- and polarization-discriminated response and is 136 times thinner than the operating wavelength. It is demonstrated that, due to the small thickness and therefore the thermal capacity of the absorber, the detector keeps the high response speed and sensitivity to millimetre waves as the original infrared pyro-sensor does against the regime of infrared detection. An in-depth electromagnetic analysis of the ultra-thin resonant absorbers along with their complex characterization by a BWO-spectroscopy technique is presented. Built upon this initial study, integrated metasurface absorber pyroelectric sensors are implemented and tested experimentally, showing high sensitivity and very fast response to millimetre-wave radiation. The proposed approach paves the way for creating highly-efficient inexpensive compact sensors for spectro-polarimetric applications in the millimetre-wave and terahertz bands. PMID:26879250

  4. A Manganin Thin Film Ultra-High Pressure Sensor for Microscale Detonation Pressure Measurement

    PubMed Central

    Zhang, Guodong; Zhao, Yulong; Zhao, Yun; Wang, Xinchen; Ren, Wei; Li, Hui; Zhao, You

    2018-01-01

    With the development of energetic materials (EMs) and microelectromechanical systems (MEMS) initiating explosive devices, the measurement of detonation pressure generated by EMs in the microscale has become a pressing need. This paper develops a manganin thin film ultra-high pressure sensor based on MEMS technology for measuring the output pressure from micro-detonator. A reliable coefficient is proposed for designing the sensor’s sensitive element better. The sensor employs sandwich structure: the substrate uses a 0.5 mm thick alumina ceramic, the manganin sensitive element with a size of 0.2 mm × 0.1 mm × 2 μm and copper electrodes of 2 μm thick are sputtered sequentially on the substrate, and a 25 μm thick insulating layer of polyimide is wrapped on the sensitive element. The static test shows that the piezoresistive coefficient of manganin thin film is 0.0125 GPa−1. The dynamic experiment indicates that the detonation pressure of micro-detonator is 12.66 GPa, and the response time of the sensor is 37 ns. In a word, the sensor developed in this study is suitable for measuring ultra-high pressure in microscale and has a shorter response time than that of foil-like manganin gauges. Simultaneously, this study could be beneficial to research on ultra-high-pressure sensors with smaller size. PMID:29494519

  5. Role of Oxygen in Ionic Liquid Gating on Two-Dimensional Cr2Ge2Te6: A Non-oxide Material.

    PubMed

    Chen, Yangyang; Xing, Wenyu; Wang, Xirui; Shen, Bowen; Yuan, Wei; Su, Tang; Ma, Yang; Yao, Yunyan; Zhong, Jiangnan; Yun, Yu; Xie, X C; Jia, Shuang; Han, Wei

    2018-01-10

    Ionic liquid gating can markedly modulate a material's carrier density so as to induce metallization, superconductivity, and quantum phase transitions. One of the main issues is whether the mechanism of ionic liquid gating is an electrostatic field effect or an electrochemical effect, especially for oxide materials. Recent observation of the suppression of the ionic liquid gate-induced metallization in the presence of oxygen for oxide materials suggests the electrochemical effect. However, in more general scenarios, the role of oxygen in the ionic liquid gating effect is still unclear. Here, we perform ionic liquid gating experiments on a non-oxide material: two-dimensional ferromagnetic Cr 2 Ge 2 Te 6 . Our results demonstrate that despite the large increase of the gate leakage current in the presence of oxygen, the oxygen does not affect the ionic liquid gating effect on  the channel resistance of Cr 2 Ge 2 Te 6 devices (<5% difference), which suggests the electrostatic field effect as the mechanism on non-oxide materials. Moreover, our results show that ionic liquid gating is more effective on the modulation of the channel resistances compared to the back gating across the 300 nm thick SiO 2 .

  6. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    PubMed

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  7. Synthesis, characterization and oxidation of metallic cobalt (Co) thin film into semiconducting cobalt oxide (Co3O4)thin film using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Rahman Ansari, Akhalakur; Hussain, Shahir; Imran, Mohd; Abdel-wahab, M. Sh; Alshahrie, Ahmed

    2018-06-01

    The pure cobalt thin film was deposited on the glass substrate by using DC magnetron sputtering and then exposed to microwave assist oxygen plasma generated in microwave plasma CVD. The oxidation process of Co thin film into Co3O4 thin films with different microwave power and temperature were studied. The influences of microwave power, temperature and irradiation time were investigated on the morphology and particle size of oxide thin films. The crystal structure, chemical conformation, morphologies and optical properties of oxidized Co thin films (Co3O4) were studied by using x-ray diffraction (XRD), Field emission scanning electron microscopy (FESEM), Raman Spectroscopy and UV–vis Spectroscopy. The data of these films showed complete oxidation pure metallic cobalt (Co) into cobalt oxide (Co3O4). The optical properties were studied for calculating the direct band gaps which ranges from 1.35 to 1.8 eV.

  8. Performance characteristics of a nanoscale double-gate reconfigurable array

    NASA Astrophysics Data System (ADS)

    Beckett, Paul

    2008-12-01

    The double gate transistor is a promising device applicable to deep sub-micron design due to its inherent resistance to short-channel effects and superior subthreshold performance. Using both TCAD and SPICE circuit simulation, it is shown that the characteristics of fully depleted dual-gate thin-body Schottky barrier silicon transistors will not only uncouple the conflicting requirements of high performance and low standby power in digital logic, but will also allow the development of a locally-connected reconfigurable computing mesh. The magnitude of the threshold shift effect will scale with device dimensions and will remain compatible with oxide reliability constraints. A field-programmable architecture based on the double gate transistor is described in which the operating point of the circuit is biased via one gate while the other gate is used to form the logic array, such that complex heterogeneous computing functions may be developed from this homogeneous, mesh-connected organization.

  9. Use of space ultra-vacuum for high quality semiconductor thin film growth

    NASA Technical Reports Server (NTRS)

    Ignatiev, A.; Sterling, M.; Sega, R. M.

    1992-01-01

    The utilization of space for materials processing is being expanded through a unique concept of epitaxial thin film growth in the ultra-vacuum of low earth orbit (LEO). This condition can be created in the wake of an orbiting space vehicle; and assuming that the vehicle itself does not pertub the environment, vacuum levels of better than 10 exp -14 torr can be attained. This vacuum environment has the capacity of greatly enhancing epitaxial thin film growth and will be the focus of experiments conducted aboard the Wake Shield Facility (WSF) currently being developed by the Space Vacuum Epitaxy Center (SVEC), Industry, and NASA.

  10. Surface Acoustic Wave Monitor for Deposition and Analysis of Ultra-Thin Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline H. (Inventor)

    2015-01-01

    A surface acoustic wave (SAW) based thin film deposition monitor device and system for monitoring the deposition of ultra-thin films and nanomaterials and the analysis thereof is characterized by acoustic wave device embodiments that include differential delay line device designs, and which can optionally have integral reference devices fabricated on the same substrate as the sensing device, or on a separate device in thermal contact with the film monitoring/analysis device, in order to provide inherently temperature compensated measurements. These deposition monitor and analysis devices can include inherent temperature compensation, higher sensitivity to surface interactions than quartz crystal microbalance (QCM) devices, and the ability to operate at extreme temperatures.

  11. Dual Input AND Gate Fabricated From a Single Channel Poly (3-Hexylthiophene) Thin Film Field Effect Transistor

    NASA Technical Reports Server (NTRS)

    Pinto, N. J.; Perez, R.; Mueller, C. H.; Theofylaktos, N.; Miranda, F. A.

    2006-01-01

    A regio-regular poly (3-hexylthiophene) (RRP3HT) thin film transistor having a split-gate architecture has been fabricated on a doped silicon/silicon nitride substrate and characterized. This device demonstrates AND logic functionality. The device functionality was controlled by applying either 0 or -10 V to each of the gate electrodes. When -10 V was simultaneously applied to both gates, the device was conductive (ON), while any other combination of gate voltages rendered the device resistive (OFF). The p-type carrier charge mobility was about 5x10(exp -4) per square centimeter per V-sec. The low mobility is attributed to the sharp contours of the RRP3HT film due to substrate non-planarity. A significant advantage of this architecture is that AND logic devices with multiple inputs can be fabricated using a single RRP3HT channel with multiple gates.

  12. An ionic liquid-gated polymer thin film transistor with exceptionally low "on" resistance

    NASA Astrophysics Data System (ADS)

    Algarni, Saud A.; Althagafi, Talal M.; Smith, Patrick J.; Grell, Martin

    2014-05-01

    We report the ionic liquid (IL) gating of a solution processed semiconducting polymer, poly(2,5-bis(3-hexadecylthiophen-2-yl)thieno[3,2-b]thiophene) (PBTTT). IL gating relies on the poor solubility of PBTTT, which requires hot chlorinated benzenes for solution processing. PBTTT, thus, resists dissolution even in IL, which otherwise rapidly dissolves semiconducting polymers. The resulting organic thin film transistors (OTFTs) display low threshold, very high carrier mobility (>3 cm2/Vs), and deliver high currents (in the order of 1 mA) at low operational voltages. Such OTFTs are interesting both practically, for the addressing of current-driven devices (e.g., organic LEDs), and for the study of charge transport in semiconducting polymers at very high carrier density.

  13. Short range, ultra-wideband radar with high resolution swept range gate

    DOEpatents

    McEwan, T.E.

    1998-05-26

    A radar range finder and hidden object locator is based on ultra-wide band radar with a high resolution swept range gate. The device generates an equivalent time amplitude scan with a typical range of 4 inches to 20 feet, and an analog range resolution as limited by a jitter of on the order of 0.01 inches. A differential sampling receiver is employed to effectively eliminate ringing and other aberrations induced in the receiver by the near proximity of the transmit antenna, so a background subtraction is not needed, simplifying the circuitry while improving performance. Uses of the invention include a replacement of ultrasound devices for fluid level sensing, automotive radar, such as cruise control and parking assistance, hidden object location, such as stud and rebar finding. Also, this technology can be used when positioned over a highway lane to collect vehicle count and speed data for traffic control. 14 figs.

  14. Colored ultra-thin hybrid photovoltaics with high quantum efficiency for decorative PV applications (Presentation Recording)

    NASA Astrophysics Data System (ADS)

    Guo, L. Jay

    2015-10-01

    This talk will describe an approach to create architecturally compatible and decorative thin-film-based hybrid photovoltaics [1]. Most current solar panels are fabricated via complex processes using expensive semiconductor materials, and they are rigid and heavy with a dull, black appearance. As a result of their non-aesthetic appearance and weight, they are primarily installed on rooftops to minimize their negative impact on building appearance. Recently we introduced dual-function solar cells based on ultra-thin dopant-free amorphous silicon embedded in an optical cavity that not only efficiently extract the photogenerated carriers but also display distinctive colors with the desired angle-insensitive appearances [1,2]. The angle-insensitive behavior is the result of an interesting phase cancellation effect in the optical cavity with respect to angle of light propagation [3]. In order to produce the desired optical effect, the semiconductor layer should be ultra-thin and the traditional doped layers need to be eliminated. We adopted the approach of employing charge transport/blocking layers used in organic solar cells to meet this demand. We showed that the ultra-thin (6 to 31 nm) undoped amorphous silicon/organic hybrid solar cell can transmit desired wavelength of light and that most of the absorbed photons in the undoped a-Si layer contributed to the extracted electric charges. This is because the a-Si layer thickness is smaller than the charge diffusion length, therefore the electron-hole recombination is strongly suppressed in such ultra-thin layer. Reflective colored PVs can be made in a similar fashion. Light-energy-harvesting colored signage was demonstrated. Furthermore, a cascaded photovoltaics scheme based on tunable spectrum splitting can be employed to increase power efficiency by absorbing a broader band of light energy. Our work provides a guideline for optimizing a photoactive layer thickness in high efficiency hybrid PV design, which can be

  15. Room-temperature phosphorescence logic gates developed from nucleic acid functionalized carbon dots and graphene oxide

    NASA Astrophysics Data System (ADS)

    Gui, Rijun; Jin, Hui; Wang, Zonghua; Zhang, Feifei; Xia, Jianfei; Yang, Min; Bi, Sai; Xia, Yanzhi

    2015-04-01

    Room-temperature phosphorescence (RTP) logic gates were developed using capture ssDNA (cDNA) modified carbon dots and graphene oxide (GO). The experimental results suggested the feasibility of these developed RTP-based ``OR'', ``INHIBIT'' and ``OR-INHIBIT'' logic gate operations, using Hg2+, target ssDNA (tDNA) and doxorubicin (DOX) as inputs.Room-temperature phosphorescence (RTP) logic gates were developed using capture ssDNA (cDNA) modified carbon dots and graphene oxide (GO). The experimental results suggested the feasibility of these developed RTP-based ``OR'', ``INHIBIT'' and ``OR-INHIBIT'' logic gate operations, using Hg2+, target ssDNA (tDNA) and doxorubicin (DOX) as inputs. Electronic supplementary information (ESI) available: All experimental details, Part S1-3, Fig. S1-6 and Table S1. See DOI: 10.1039/c4nr07620f

  16. 2 kV slanted tri-gate GaN-on-Si Schottky barrier diodes with ultra-low leakage current

    NASA Astrophysics Data System (ADS)

    Ma, Jun; Matioli, Elison

    2018-01-01

    This letter reports lateral GaN-on-Si power Schottky barrier diodes (SBDs) with unprecedented voltage-blocking performance by integrating 3-dimensionally a hybrid of tri-anode and slanted tri-gate architectures in their anode. The hybrid tri-anode pins the voltage drop at the Schottky junction (VSCH), despite a large applied reverse bias, fixing the reverse leakage current (IR) of the SBD. Such architecture led to an ultra-low IR of 51 ± 5.9 nA/mm at -1000 V, in addition to a small turn-on voltage (VON) of 0.61 ± 0.03 V. The slanted tri-gate effectively distributes the electric field in OFF state, leading to a remarkably high breakdown voltage (VBR) of -2000 V at 1 μA/mm, constituting a significant breakthrough from existing technologies. The approach pursued in this work reduces the IR and increases the VBR without sacrificing the VON, which provides a technology for high-voltage SBDs, and unveils the unique advantage of tri-gates for advanced power applications.

  17. Feasibility demonstration for electroplating ultra-thin polyimide film. [fabricating film for space erectable structures

    NASA Technical Reports Server (NTRS)

    Schneier, R.; Braswell, T. V.; Vaughn, R. W.

    1978-01-01

    The effect of electrodeposition variables on film thickness was investigated using a dilute polyimide solution as a bath into which aluminum (as foil or as a vapor deposited coating) was immersed. The electrodeposited film was dried for 2 hours at 93 C (primarily to remove solvent) and cured for 18 hours at 186 C. Infrared studies indicate that imide formation (curing) occurs at 149 C under vacuum. From a conceptual viewpoint, satisfactory film metallized on one side can be obtained by this method. The cured ultra thin polyimide film exhibits properties equivalent to those of commercial film, and the surface appearance of the strippable polyimide film compares favorably with that of a sample of commercial film of thicker gauge. The feasibility of manufacturing approximately one million sq m of ultra thin film capable of being joined to fabricate an 800 m by 9 800 m square from starting material 0.5 to 1 m wide for space erectable structures was demonstrated.

  18. Understanding the Structure of High-K Gate Oxides - Oral Presentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-25

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  19. Electrical properties of thin film transistors with zinc tin oxide channel layer

    NASA Astrophysics Data System (ADS)

    Hong, Seunghwan; Oh, Gyujin; Kim, Eun Kyu

    2017-10-01

    We have investigated thin film transistors (TFTs) with zinc tin oxide (ZTO) channel layer fabricated by using an ultra-high vacuum radio frequency sputter. ZTO thin films were grown at room temperature by co-sputtering of ZnO and SnO2, which applied power for SnO2 target was varied from 15 W to 90 W under a fixed sputtering power of 70 W for ZnO target. A post-annealing treatment to improve the film quality was done at temperature ranges from 300 to 600 °C by using the electrical furnace. The ZTO thin films showed good electrical and optical properties such as Hall mobility of more than 9 cm2/V·s, specific resistivity of about 2 × 102 Ω·cm, and optical transmittance of 85% in visible light region by optical bandgap of 3.3 eV. The ZTO-TFT with an excellent performance of channel mobility of 19.1 cm2/V·s and on-off ratio ( I on / I off ) of 104 was obtained from the films grown with SnO2 target power of 25 W and post-annealed at 450 °C. This result showed that ZTO film is promising on application to a high performance transparent TFTs.

  20. Pulsed photonic fabrication of nanostructured metal oxide thin films

    NASA Astrophysics Data System (ADS)

    Bourgeois, Briley B.; Luo, Sijun; Riggs, Brian C.; Adireddy, Shiva; Chrisey, Douglas B.

    2017-09-01

    Nanostructured metal oxide thin films with a large specific surface area are preferable for practical device applications in energy conversion and storage. Herein, we report instantaneous (milliseconds) photonic synthesis of three-dimensional (3-D) nanostructured metal oxide thin films through the pulsed photoinitiated pyrolysis of organometallic precursor films made by chemical solution deposition. High wall-plug efficiency-pulsed photonic irradiation (xenon flash lamp, pulse width of 1.93 ms, fluence of 7.7 J/cm2 and frequency of 1.2 Hz) is used for scalable photonic processing. The photothermal effect of subsequent pulses rapidly improves the crystalline quality of nanocrystalline metal oxide thin films in minutes. The following paper highlights pulsed photonic fabrication of 3-D nanostructured TiO2, Co3O4, and Fe2O3 thin films, exemplifying a promising new method for the low-cost and high-throughput manufacturing of nanostructured metal oxide thin films for energy applications.

  1. Numerical simulation of offset-drain amorphous oxide-based thin-film transistors

    NASA Astrophysics Data System (ADS)

    Jeong, Jaewook

    2016-11-01

    In this study, we analyzed the electrical characteristics of amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with an offset-drain structure by technology computer aided design (TCAD) simulation. When operating in a linear region, an enhancement-type TFT shows poor field-effect mobility because most conduction electrons are trapped in acceptor-like defects in an offset region when the offset length (L off) exceeds 0.5 µm, whereas a depletion-type TFT shows superior field-effect mobility owing to the high free electron density in the offset region compared with the trapped electron density. When operating in the saturation region, both types of TFTs show good field-effect mobility comparable to that of a reference TFT with a large gate overlap. The underlying physics of the depletion and enhancement types of offset-drain TFTs are systematically analyzed.

  2. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-06-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ~ 4.1 Å), and low electrical resistivity (4.2 × 10-4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained "on/off" current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 × 107, 0.43 V/decade, 0.7 V, and 2.1 cm2/V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs.

  3. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE PAGES

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    2016-04-16

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  4. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  5. Atomistic characterization of SAM coatings as gate insulators in Si-based FET devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gala, F.; Zollo, G.

    2014-06-19

    Many nano-material systems are currently under consideration as possible candidates for gate dielectric insulators in both metal-oxide-semiconductor (MOSFET) and organic (OFET) field-effect transistors. In this contribution, the possibility of employing self-assembled monolayers (SAMs) of hydroxylated octadecyltrichlorosilane (OTS) chains on a (111) Si substrate as gate dielectrics is discussed; in particular ab initio theoretical simulations have been employed to study the structural properties, work function modifications, and the insulating properties of OTS thin film coatings on Si substrates.

  6. Atomistic characterization of SAM coatings as gate insulators in Si-based FET devices

    NASA Astrophysics Data System (ADS)

    Gala, F.; Zollo, G.

    2014-06-01

    Many nano-material systems are currently under consideration as possible candidates for gate dielectric insulators in both metal-oxide-semiconductor (MOSFET) and organic (OFET) field-effect transistors. In this contribution, the possibility of employing self-assembled monolayers (SAMs) of hydroxylated octadecyltrichlorosilane (OTS) chains on a (111) Si substrate as gate dielectrics is discussed; in particular ab initio theoretical simulations have been employed to study the structural properties, work function modifications, and the insulating properties of OTS thin film coatings on Si substrates.

  7. Defect generation in amorphous-indium-gallium-zinc-oxide thin-film transistors by positive bias stress at elevated temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Um, Jae Gwang; Mativenga, Mallory; Jang, Jin, E-mail: jjang@khu.ac.kr

    2014-04-07

    We report on the generation and characterization of a hump in the transfer characteristics of amorphous indium gallium zinc-oxide thin-film transistors by positive bias temperature stress. The hump depends strongly on the gate bias stress at 100 °C. Due to the hump, the positive shift of the transfer characteristic in deep depletion is always smaller that in accumulation. Since, the latter shift is twice the former, with very good correlation, we conclude that the effect is due to creation of a double acceptor, likely to be a cation vacancy. Our results indicate that these defects are located near the gate insulator/activemore » layer interface, rather than in the bulk. Migration of donor defects from the interface towards the bulk may also occur under PBST at 100 °C.« less

  8. Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas

    Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1 μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. Utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less

  9. Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas

    Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. In conclusion, utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less

  10. Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films

    DOE PAGES

    Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas; ...

    2016-09-02

    Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. In conclusion, utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less

  11. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    NASA Astrophysics Data System (ADS)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  12. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate.

    PubMed

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-12

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O 2 /Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O 2 /Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (I off ) of 3 pA, a high on/off current ratio of 2 × 10 7 , a high saturation mobility (μ sat ) of 66.7 cm 2 /V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (V th ) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  13. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate

    NASA Astrophysics Data System (ADS)

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-01

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O2/Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O2/Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (Ioff) of 3 pA, a high on/off current ratio of 2 × 107, a high saturation mobility (μsat) of 66.7 cm2/V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (Vth) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  14. Design of an ultra-thin dual band infrared system

    NASA Astrophysics Data System (ADS)

    Du, Ke; Cheng, Xuemin; Lv, Qichao; Hu, YiFei

    2014-11-01

    The ultra-thin imaging system using reflective multiple-fold structure has smaller volume and less weight while maintaining high resolution compared with conventional optical systems. The multi-folded approach can significantly extend focal distance within wide spectral range without incurring chromatic aberrations. In this paper, we present a dual infrared imaging system of four-folded reflection with two air-spaced concentric reflective surfaces. The dual brand IR system has 107mm effective focal length, 0.7NA, +/-4° FOV, and 50mm effective aperture with 80mm outer diameter into a 25mm total thickness, which spectral response is 3~12μm.

  15. Crystalline-like temperature dependence of the electrical characteristics in amorphous Indium-Gallium-Zinc-Oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Estrada, M.; Hernandez-Barrios, Y.; Cerdeira, A.; Ávila-Herrera, F.; Tinoco, J.; Moldovan, O.; Lime, F.; Iñiguez, B.

    2017-09-01

    A crystalline-like temperature dependence of the electrical characteristics of amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) thin film transistors (TFTs) is reported, in which the drain current reduces as the temperature is increased. This behavior appears for values of drain and gate voltages above which a change in the predominant conduction mechanism occurs. After studying the possible conduction mechanisms, it was determined that, for gate and drain voltages below these values, hopping is the predominant mechanism with the current increasing with temperature, while for values above, the predominant conduction mechanism becomes percolation in the conduction band or band conduction and IDS reduces as the temperature increases. It was determined that this behavior appears, when the effect of trapping is reduced, either by varying the density of states, their characteristic energy or both. Simulations were used to further confirm the causes of the observed behavior.

  16. Oxide-based synaptic transistors gated by solution-processed gelatin electrolytes

    NASA Astrophysics Data System (ADS)

    He, Yinke; Sun, Jia; Qian, Chuan; Kong, Ling-An; Gou, Guangyang; Li, Hongjian

    2017-04-01

    In human brain, a large number of neurons are connected via synapses. Simulation of the synaptic behaviors using electronic devices is the most important step for neuromorphic systems. In this paper, proton conducting gelatin electrolyte-gated oxide field-effect transistors (FETs) were used for emulating synaptic functions, in which the gate electrode is regarded as pre-synaptic neuron and the channel layer as the post-synaptic neuron. In analogy to the biological synapse, a potential spike can be applied at the gate electrode and trigger ionic motion in the gelatin electrolyte, which in turn generates excitatory post-synaptic current (EPSC) in the channel layer. Basic synaptic behaviors including spike time-dependent EPSC, paired-pulse facilitation (PPF), self-adaptation, and frequency-dependent synaptic transmission were successfully mimicked. Such ionic/electronic hybrid devices are beneficial for synaptic electronics and brain-inspired neuromorphic systems.

  17. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  18. A Low Temperature, Solution-Processed Poly(4-vinylphenol), YO(x) Nanoparticle Composite/Polysilazane Bi-Layer Gate Insulator for ZnO Thin Film Transistor.

    PubMed

    Shin, Hyeonwoo; Kang, Chan-Mo; Chae, Hyunsik; Kim, Hyun-Gwan; Baek, Kyu-Ha; Choi, Hyoung Jin; Park, Man-Young; Do, Lee-Mi; Lee, Changhee

    2016-03-01

    Low temperature, solution-processed metal oxide thin film transistors (MEOTFTs) have been widely investigated for application in low-cost, transparent, and flexible electronics. To enlarge the application area, solution-processed gate insulators (GI) have been investigated in recent years. We investigated the effects of the organic/inorganic bi-layer GI to ZnO thin film transistors (TFTs). PVP, YO(x) nanoparticle composite, and polysilazane bi-layer showed low leakage current (-10(-8) A/cm2 in 2 MV), which are applicable in low temperature processed MEOTFTs. Polysilazane was used as an interlayer between ZnO and PVP, YO(x) nanoparticle composite as a good charge transport interface with ZnO. By applying the PVP, YO(x), nanoparticle composite/polysilazane bi-layer structure to ZnO TFTs, we successfully suppressed the off current (I(off)) to -10(-11) and fabricated good MEOTFTs in 180 degrees C.

  19. Development of a high efficiency thin silicon solar cell

    NASA Technical Reports Server (NTRS)

    Lindmayer, J.; Wrigley, C. Y.

    1977-01-01

    A key to the success of this program was the breakthrough development of a technology for producing ultra-thin silicon slices which are very flexible, resilient, and tolerant of moderate handling abuse. Experimental topics investigated were thinning technology, gaseous junction diffusion, aluminum back alloying, internal reflectance, tantalum oxide anti-reflective coating optimization, slice flexibility, handling techniques, production rate limiting steps, low temperature behavior, and radiation tolerance.

  20. A study on the optics of copper indium gallium (di)selenide (CIGS) solar cells with ultra-thin absorber layers.

    PubMed

    Xu, Man; Wachters, Arthur J H; van Deelen, Joop; Mourad, Maurice C D; Buskens, Pascal J P

    2014-03-10

    We present a systematic study of the effect of variation of the zinc oxide (ZnO) and copper indium gallium (di)selenide (CIGS) layer thickness on the absorption characteristics of CIGS solar cells using a simulation program based on finite element method (FEM). We show that the absorption in the CIGS layer does not decrease monotonically with its layer thickness due to interference effects. Ergo, high precision is required in the CIGS production process, especially when using ultra-thin absorber layers, to accurately realize the required thickness of the ZnO, cadmium sulfide (CdS) and CIGS layer. We show that patterning the ZnO window layer can strongly suppress these interference effects allowing a higher tolerance in the production process.

  1. Lanthanide-based oxides and silicates for high-kappa gate dielectric applications

    NASA Astrophysics Data System (ADS)

    Jur, Jesse Stephen

    The ability to improve performance of the high-end metal oxide semiconductor field effect transistor (MOSFET) is highly reliant on the dimensional scaling of such a device. In scaling, a decrease in dielectric thickness results in high current leakage between the electrode and the substrate by way of direct tunneling through the gate dielectric. Observation of a high leakage current when the standard gate dielectric, SiO2, is decreased below a thickness of 1.5 nm requires engineering of a replacement dielectric that is much more scalable. This high-kappa dielectric allows for a physically thicker oxide, reducing leakage current. Integration of select lanthanide-based oxides and silicates, in particular lanthanum oxide and silicate, into MOS gate stack devices is examined. The quality of the high-kappa dielectrics is monitored electrically to determine properties such as equivalent oxide thickness, leakage current density and defect densities. In addition, analytical characterization of the dielectric and the gate stack is provided to examine the materialistic significance to the change of the electrical properties of the devices. In this work, lanthanum oxide films have been deposited by thermal evaporation on to a pre-grown chemical oxide layer on silicon. It is observed that the SiO2 interfacial layer can be consumed by a low-temperature reaction with lanthanum oxide to produce a high-quality silicate. This is opposed to depositing lanthanum oxide directly on silicon, which can possibly favor silicide formation. The importance of oxygen regulation in the surrounding environment of the La2O3-SiO2 reaction-anneal is observed. By controlling the oxygen available during the reaction, SiO2 growth can be limited to achieve high stoichiometric ratios of La2O 3 to SiO2. As a result, MOS devices with an equivalent oxide thickness (EOT) of 5 A and a leakage current density of 5.0 A/cm 2 are attained. This data equals the best value achieved in this field and is a

  2. Bandgap-Engineered Zinc-Tin-Oxide Thin Films for Ultraviolet Sensors.

    PubMed

    Cheng, Tien-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn

    2018-07-01

    Zinc-tin-oxide thin-film transistors were prepared by radio frequency magnetron co-sputtering, while an identical zinc-tin-oxide thin film was deposited simultaneously on a clear glass substrate to facilitate measurements of the optical properties. When we adjusted the deposition power of ZnO and SnO2, the bandgap of the amorphous thin film was dominated by the deposition power of SnO2. Since the thin-film transistor has obvious absorption in the ultraviolet region owing to the wide bandgap, the drain current increases with the generation of electron-hole pairs. As part of these investigations, a zinc-tin-oxide thin-film transistor has been fabricated that appears to be very promising for ultraviolet applications.

  3. Quantification of strain and charge co-mediated magnetoelectric coupling on ultra-thin Permalloy/PMN-PT interface.

    PubMed

    Nan, Tianxiang; Zhou, Ziyao; Liu, Ming; Yang, Xi; Gao, Yuan; Assaf, Badih A; Lin, Hwaider; Velu, Siddharth; Wang, Xinjun; Luo, Haosu; Chen, Jimmy; Akhtar, Saad; Hu, Edward; Rajiv, Rohit; Krishnan, Kavin; Sreedhar, Shalini; Heiman, Don; Howe, Brandon M; Brown, Gail J; Sun, Nian X

    2014-01-14

    Strain and charge co-mediated magnetoelectric coupling are expected in ultra-thin ferromagnetic/ferroelectric multiferroic heterostructures, which could lead to significantly enhanced magnetoelectric coupling. It is however challenging to observe the combined strain charge mediated magnetoelectric coupling, and difficult in quantitatively distinguish these two magnetoelectric coupling mechanisms. We demonstrated in this work, the quantification of the coexistence of strain and surface charge mediated magnetoelectric coupling on ultra-thin Ni0.79Fe0.21/PMN-PT interface by using a Ni0.79Fe0.21/Cu/PMN-PT heterostructure with only strain-mediated magnetoelectric coupling as a control. The NiFe/PMN-PT heterostructure exhibited a high voltage induced effective magnetic field change of 375 Oe enhanced by the surface charge at the PMN-PT interface. Without the enhancement of the charge-mediated magnetoelectric effect by inserting a Cu layer at the PMN-PT interface, the electric field modification of effective magnetic field was 202 Oe. By distinguishing the magnetoelectric coupling mechanisms, a pure surface charge modification of magnetism shows a strong correlation to polarization of PMN-PT. A non-volatile effective magnetic field change of 104 Oe was observed at zero electric field originates from the different remnant polarization state of PMN-PT. The strain and charge co-mediated magnetoelectric coupling in ultra-thin magnetic/ferroelectric heterostructures could lead to power efficient and non-volatile magnetoelectric devices with enhanced magnetoelectric coupling.

  4. Large-Scale Precise Printing of Ultrathin Sol-Gel Oxide Dielectrics for Directly Patterned Solution-Processed Metal Oxide Transistor Arrays.

    PubMed

    Lee, Won-June; Park, Won-Tae; Park, Sungjun; Sung, Sujin; Noh, Yong-Young; Yoon, Myung-Han

    2015-09-09

    Ultrathin and dense metal oxide gate di-electric layers are reported by a simple printing of AlOx and HfOx sol-gel precursors. Large-area printed indium gallium zinc oxide (IGZO) thin-film transistor arrays, which exhibit mobilities >5 cm(2) V(-1) s(-1) and gate leakage current of 10(-9) A cm(-2) at a very low operation voltage of 2 V, are demonstrated by continuous simple bar-coated processes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Method of producing solution-derived metal oxide thin films

    DOEpatents

    Boyle, Timothy J.; Ingersoll, David

    2000-01-01

    A method of preparing metal oxide thin films by a solution method. A .beta.-metal .beta.-diketonate or carboxylate compound, where the metal is selected from groups 8, 9, 10, 11, and 12 of the Periodic Table, is solubilized in a strong Lewis base to form a homogeneous solution. This precursor solution forms within minutes and can be deposited on a substrate in a single layer or a multiple layers to form a metal oxide thin film. The substrate with the deposited thin film is heated to change the film from an amorphous phase to a ceramic metal oxide and cooled.

  6. An ultra-thin, un-doped NiO hole transporting layer of highly efficient (16.4%) organic-inorganic hybrid perovskite solar cells.

    PubMed

    Seo, Seongrok; Park, Ik Jae; Kim, Myungjun; Lee, Seonhee; Bae, Changdeuck; Jung, Hyun Suk; Park, Nam-Gyu; Kim, Jin Young; Shin, Hyunjung

    2016-06-02

    NiO is a wide band gap p-type oxide semiconductor and has potential for applications in solar energy conversion as a hole-transporting layer (HTL). It also has good optical transparency and high chemical stability, and the capability of aligning the band edges to the perovskite (CH3NH3PbI3) layers. Ultra-thin and un-doped NiO films with much less absorption loss were prepared by atomic layer deposition (ALD) with highly precise control over thickness without any pinholes. Thin enough (5-7.5 nm in thickness) NiO films with the thickness of few time the Debye length (LD = 1-2 nm for NiO) show enough conductivities achieved by overlapping space charge regions. The inverted planar perovskite solar cells with NiO films as HTLs exhibited the highest energy conversion efficiency of 16.40% with high open circuit voltage (1.04 V) and fill factor (0.72) with negligible current-voltage hysteresis.

  7. Spin-coated epoxy resin embedding technique enables facile SEM/FIB thickness determination of porous metal oxide ultra-thin films.

    PubMed

    Peña, B; Owen, G Rh; Dettelbach, K E; Berlinguette, C P

    2018-01-25

    A facile nonsubjective method was designed to measure porous nonconductive iron oxide film thickness using a combination of a focused ion beam (FIB) and scanning electron microscopy. Iron oxide films are inherently nonconductive and porous, therefore the objective of this investigation was to optimize a methodology that would increase the conductivity of the film to facilitate high resolution imaging with a scanning electron microscopy and to preserve the porous nature of the film that could potentially be damaged by the energy of the FIB. Sputter coating the sample with a thin layer of iridium before creating the cross section with the FIB decreased sample charging and drifting, but differentiating the iron layer from the iridium coating with backscattered electron imaging was not definitive, making accurate assumptions of the delineation between the two metals difficult. Moreover, the porous nature of the film was lost due to beam damage following the FIB process. A thin layer plastication technique was therefore used to embed the porous film in epoxy resin that would provide support for the film during the FIB process. However, the thickness of the resin created using conventional thin layer plastication processing varied across the sample, making the measuring process only possible in areas where the resin layer was at its thinnest. Such variation required navigating the area for ideal milling areas, which increased the subjectivity of the process. We present a method to create uniform thin resin layers, of controlled thickness, that are ideal for quantifying the thickness of porous nonconductive films with FIB/scanning electron microscopy. © 2018 The Authors Journal of Microscopy © 2018 Royal Microscopical Society.

  8. Ultra-thin optical vortex phase plate based on the metasurface and the angular momentum transformation

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Li, Yan; Guo, Zhongyi; Li, Rongzhen; Zhang, Jingran; Zhang, Anjun; Qu, Shiliang

    2015-04-01

    The ultra-thin optical vortex phase plate (VPP) has been designed and investigated based on the metasurface of the metal rectangular split-ring resonators (MRSRRs) array. The circularly polarized incident light can convert into corresponding cross-polarization transmission light, and the phase and the amplitude of cross-polarization transmission light can be simultaneously governed by modulating two arms of the MRSRR. The MRSRR has been arranged in a special order for forming an ultra-thin optical VPP that can covert a plane wave into a vortex beam with a variety of the topological charges, and the transformation between spin angular momentum (SAM) and orbital angular momentum (OAM) has been discussed in detail. The multi-spectral characteristics of the VPP have also been investigated, and the operating bandwidth of the designed VPP is 190 nm (in the range of 710-900 nm), which enable a potential implication for integrated optics and vortex optics.

  9. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  10. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    NASA Astrophysics Data System (ADS)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin

    2017-02-01

    This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm2/V·s) compared with the ITZO-only TFTs (∼34 cm2/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and -2.39 V compared with 6.10 and -6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of EA were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO2 reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  11. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  12. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  13. High Efficient Ultra-Thin Flat Optics Based on Dielectric Metasurfaces

    NASA Astrophysics Data System (ADS)

    Ozdemir, Aytekin

    Metasurfaces which emerged as two-dimensional counterparts of metamaterials, facilitate the realization of arbitrary phase distributions using large arrays with subwavelength and ultra-thin features. Even if metasurfaces are ultra-thin, they still effectively manipulate the phase, amplitude, and polarization of light in transmission or reflection mode. In contrast, conventional optical components are bulky, and they lose their functionality at sub-wavelength scales, which requires conceptually new types of nanoscale optical devices. On the other hand, as the optical systems shrink in size day by day, conventional bulky optical components will have tighter alignment and fabrication tolerances. Since metasurfaces can be fabricated lithographically, alignment can be done during lithographic fabrication, thus eliminating the need for post-fabrication alignments. In this work, various types of metasurface applications are thoroughly investigated for robust wavefront engineering with enhanced characteristics in terms of broad bandwidth, high efficiency and active tunability, while beneficial for application. Plasmonic metasurfaces are not compatible with the CMOS process flow, and, additionally their high absorption and ohmic loss is problematic in transmission based applications. Dielectric metasurfaces, however, offer a strong magnetic response at optical frequencies, and thus they can offer great opportunities for interacting not only with the electric component of a light field, but also with its magnetic component. They show great potential to enable practical device functionalities at optical frequencies, which motivates us to explore them one step further on wavefront engineering and imaging sensor platforms. Therefore, we proposed an efficient ultra-thin flat metalens at near-infrared regime constituted by silicon nanodisks which can support both electric and magnetic dipolar Mie-type resonances. These two dipole resonances can be overlapped at the same frequency

  14. Nanosecond Time-Resolved Microscopic Gate-Modulation Imaging of Polycrystalline Organic Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Matsuoka, Satoshi; Tsutsumi, Jun'ya; Matsui, Hiroyuki; Kamata, Toshihide; Hasegawa, Tatsuo

    2018-02-01

    We develop a time-resolved microscopic gate-modulation (μ GM ) imaging technique to investigate the temporal evolution of the channel current and accumulated charges in polycrystalline pentacene thin-film transistors (TFTs). A time resolution of as high as 50 ns is achieved by using a fast image-intensifier system that could amplify a series of instantaneous optical microscopic images acquired at various time intervals after the stepped gate bias is switched on. The differential images obtained by subtracting the gate-off image allows us to acquire a series of temporal μ GM images that clearly show the gradual propagation of both channel charges and leaked gate fields within the polycrystalline channel layers. The frontal positions for the propagations of both channel charges and leaked gate fields coincide at all the time intervals, demonstrating that the layered gate dielectric capacitors are successively transversely charged up along the direction of current propagation. The initial μ GM images also indicate that the electric field effect is originally concentrated around a limited area with a width of a few micrometers bordering the channel-electrode interface, and that the field intensity reaches a maximum after 200 ns and then decays. The time required for charge propagation over the whole channel region with a length of 100 μ m is estimated at about 900 ns, which is consistent with the measured field-effect mobility and the temporal-response model for organic TFTs. The effect of grain boundaries can be also visualized by comparison of the μ GM images for the transient and the steady states, which confirms that the potential barriers at the grain boundaries cause the transient shift in the accumulated charges or the transient accumulation of additional charges around the grain boundaries.

  15. High Resolution X-Ray Micro-CT of Ultra-Thin Wall Space Components

    NASA Technical Reports Server (NTRS)

    Roth, Don J.; Rauser, R. W.; Bowman, Randy R.; Bonacuse, Peter; Martin, Richard E.; Locci, I. E.; Kelley, M.

    2012-01-01

    A high resolution micro-CT system has been assembled and is being used to provide optimal characterization for ultra-thin wall space components. The Glenn Research Center NDE Sciences Team, using this CT system, has assumed the role of inspection vendor for the Advanced Stirling Convertor (ASC) project at NASA. This article will discuss many aspects of the development of the CT scanning for this type of component, including CT system overview; inspection requirements; process development, software utilized and developed to visualize, process, and analyze results; calibration sample development; results on actual samples; correlation with optical/SEM characterization; CT modeling; and development of automatic flaw recognition software. Keywords: Nondestructive Evaluation, NDE, Computed Tomography, Imaging, X-ray, Metallic Components, Thin Wall Inspection

  16. Achieving high carrier mobility exceeding 70 cm2/Vs in amorphous zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Sang Tae; Shin, Yeonwoo; Yun, Pil Sang; Bae, Jong Uk; Chung, In Jae; Jeong, Jae Kyeong

    2017-09-01

    This paper proposes a new defect engineering concept for low-cost In- and Ga-free zinc tin oxide (ZTO) thin-film transistors (TFTs). This concept is comprised of capping ZTO films with tantalum (Ta) and a subsequent modest thermal annealing treatment at 200 °C. The Ta-capped ZTO TFTs exhibited a remarkably high carrier mobility of 70.8 cm2/Vs, low subthreshold gate swing of 0.18 V/decade, threshold voltage of -1.3 V, and excellent ION/OFF ratio of 2 × 108. The improvement (> two-fold) in the carrier mobility compared to the uncapped ZTO TFT can be attributed to the effective reduction of the number of adverse tailing trap states, such as hydroxyl groups or oxygen interstitial defects, which stems from the scavenging effect of the Ta capping layer on the ZTO channel layer. Furthermore, the Ta-capped ZTO TFTs showed excellent positive and negative gate bias stress stabilities. [Figure not available: see fulltext.

  17. Ultra-high performance supercritical fluid chromatography of lignin-derived phenols from alkaline cupric oxide oxidation.

    PubMed

    Sun, Mingzhe; Lidén, Gunnar; Sandahl, Margareta; Turner, Charlotta

    2016-08-01

    Traditional chromatographic methods for the analysis of lignin-derived phenolic compounds in environmental samples are generally time consuming. In this work, an ultra-high performance supercritical fluid chromatography method with a diode array detector for the analysis of major lignin-derived phenolic compounds produced by alkaline cupric oxide oxidation was developed. In an analysis of a collection of 11 representative monomeric lignin phenolic compounds, all compounds were clearly separated within 6 min with excellent peak shapes, with a limit of detection of 0.5-2.5 μM, a limit of quantification of 2.5-5.0 μM, and a dynamic range of 5.0-2.0 mM (R(2) > 0.997). The new ultra-high performance supercritical fluid chromatography method was also applied for the qualitative and quantitative analysis of lignin-derived phenolic compounds obtained upon alkaline cupric oxide oxidation of a commercial humic acid. Ten out of the previous eleven model compounds could be quantified in the oxidized humic acid sample. The high separation power and short analysis time obtained demonstrate for the first time that supercritical fluid chromatography is a fast and reliable technique for the analysis of lignin-derived phenols in complex environmental samples. © 2016 The Authors, Journal of Separation Science Published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Contact resistance and overlapping capacitance in flexible sub-micron long oxide thin-film transistors for above 100 MHz operation

    NASA Astrophysics Data System (ADS)

    Münzenrieder, Niko; Salvatore, Giovanni A.; Petti, Luisa; Zysset, Christoph; Büthe, Lars; Vogt, Christian; Cantarella, Giuseppe; Tröster, Gerhard

    2014-12-01

    In recent years new forms of electronic devices such as electronic papers, flexible displays, epidermal sensors, and smart textiles have become reality. Thin-film transistors (TFTs) are the basic blocks of the circuits used in such devices and need to operate above 100 MHz to efficiently treat signals in RF systems and address pixels in high resolution displays. Beyond the choice of the semiconductor, i.e., silicon, graphene, organics, or amorphous oxides, the junctionless nature of TFTs and its geometry imply some limitations which become evident and important in devices with scaled channel length. Furthermore, the mechanical instability of flexible substrates limits the feature size of flexible TFTs. Contact resistance and overlapping capacitance are two parasitic effects which limit the transit frequency of transistors. They are often considered independent, while a deeper analysis of TFTs geometry imposes to handle them together; in fact, they both depend on the overlapping length (LOV) between source/drain and the gate contacts. Here, we conduct a quantitative analysis based on a large number of flexible ultra-scaled IGZO TFTs. Devices with three different values of overlap length and channel length down to 0.5 μm are fabricated to experimentally investigate the scaling behavior of the transit frequency. Contact resistance and overlapping capacitance depend in opposite ways on LOV. These findings establish routes for the optimization of the dimension of source/drain contact pads and suggest design guidelines to achieve megahertz operation in flexible IGZO TFTs and circuits.

  19. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  20. 3.4-Inch Quarter High Definition Flexible Active Matrix Organic Light Emitting Display with Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Hatano, Kaoru; Chida, Akihiro; Okano, Tatsuya; Sugisawa, Nozomu; Inoue, Tatsunori; Seo, Satoshi; Suzuki, Kunihiko; Oikawa, Yoshiaki; Miyake, Hiroyuki; Koyama, Jun; Yamazaki, Shunpei; Eguchi, Shingo; Katayama, Masahiro; Sakakura, Masayuki

    2011-03-01

    In this paper, we report a 3.4-in. flexible active matrix organic light emitting display (AMOLED) display with remarkably high definition (quarter high definition: QHD) in which oxide thin film transistors (TFTs) are used. We have developed a transfer technology in which a TFT array formed on a glass substrate is separated from the substrate by physical force and then attached to a flexible plastic substrate. Unlike a normal process in which a TFT array is directly fabricated on a thin plastic substrate, our transfer technology permits a high integration of high performance TFTs, such as low-temperature polycrystalline silicon TFTs (LTPS TFTs) and oxide TFTs, on a plastic substrate, because a flat, rigid, and thermally-stable glass substrate can be used in the TFT fabrication process in our transfer technology. As a result, this technology realized an oxide TFT array for an AMOLED on a plastic substrate. Furthermore, in order to achieve a high-definition AMOLED, color filters were incorporated in the TFT array and a white organic light-emitting diode (OLED) was combined. One of the features of this device is that the whole body of the device can be bent freely because a source driver and a gate driver can be integrated on the substrate due to the high mobility of an oxide TFT. This feature means “true” flexibility.

  1. Low temperature mobility in hafnium-oxide gated germanium p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Beer, Chris; Whall, Terry; Parker, Evan; Leadley, David; De Jaeger, Brice; Nicholas, Gareth; Zimmerman, Paul; Meuris, Marc; Szostak, Slawomir; Gluszko, Grzegorz; Lukasiak, Lidia

    2007-12-01

    Effective mobility measurements have been made at 4.2K on high performance high-k gated germanium p-type metal-oxide-semiconductor field effect transistors with a range of Ge/gate dielectric interface state densities. The mobility is successfully modelled by assuming surface roughness and interface charge scattering at the SiO2 interlayer/Ge interface. The deduced interface charge density is approximately equal to the values obtained from the threshold voltage and subthreshold slope measurements on each device. A hydrogen anneal reduces both the interface state density and the surface root mean square roughness by 20%.

  2. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulationmore » by the gate and pinch off.« less

  3. Thin film transistors for flexible electronics: contacts, dielectrics and semiconductors.

    PubMed

    Quevedo-Lopez, M A; Wondmagegn, W T; Alshareef, H N; Ramirez-Bon, R; Gnade, B E

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed.

  4. Ultra-thin multilayer capacitors.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Renk, Timothy Jerome; Monson, Todd C.

    2009-06-01

    The fabrication of ultra-thin lanthanum-doped lead zirconium titanate (PLZT) multilayer ceramic capacitors (MLCCs) using a high-power pulsed ion beam was studied. The deposition experiments were conducted on the RHEPP-1 facility at Sandia National Laboratories. The goal of this work was to increase the energy density of ceramic capacitors through the formation of a multilayer device with excellent materials properties, dielectric constant, and standoff voltage. For successful device construction, there are a number of challenging requirements including achieving correct stoichiometric and crystallographic composition of the deposited PLZT, as well as the creation of a defect free homogenous film. This report detailsmore » some success in satisfying these requirements, although 900 C temperatures were necessary for PLZT perovskite phase formation. These temperatures were applied to a previously deposited multi-layer film which was then post-annealed to this temperature. The film exhibited mechanical distress attributable to differences in the coefficient of thermal expansion (CTE) of the various layers. This caused significant defects in the deposited films that led to shorts across devices. A follow-on single layer deposition without post-anneal produced smooth layers with good interface behavior, but without the perovskite phase formation. These issues will need to be addressed in order for ion beam deposited MLCCs to become a viable technology. It is possible that future in-situ heating during deposition may address both the CTE issue, and result in lowered processing temperatures, which in turn could raise the probability of successful MLCC formation.« less

  5. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down tomore » the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.« less

  6. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for AdvancedCMOS Devices

    PubMed Central

    Suzuki, Masamichi

    2012-01-01

    A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3) high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT) of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al) atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process. PMID:28817057

  7. Limitation of Optical Enhancement in Ultra-thin Solar Cells Imposed by Contact Selectivity.

    PubMed

    Islam, Raisul; Saraswat, Krishna

    2018-06-11

    Ultra-thin crystalline silicon (c-Si) solar cell suffers both from poor light absorption and minority carrier recombination at the contacts resulting in low contact selectivity. Yet most of the research focuses on improving the light absorption by introducing novel light trapping technique. Our work shows that for ultra-thin absorber, the benefit of optical enhancement is limited by low contact selectivity. Using simulation we observe that performance enhancement from light trapping starts to saturate as the absorber scales down because of the increase in probability of the photo-generated carriers to recombine at the metal contact. Therefore, improving the carrier selectivity of the contacts, which reduces the recombination at contacts, is important to improve the performance of the solar cell beyond what is possible by enhancing light absorption only. The impact of improving contact selectivity increases as the absorber thickness scales below 20 micrometer (μm). Light trapping provides better light management and improving contact selectivity provides better photo-generated carrier management. When better light management increases the number of photo-generated carriers, better carrier management is a useful optimization knob to achieve the efficiency close to the thermodynamic limit. Our work explores a design trade-off in detail which is often overlooked by the research community.

  8. Ultra-thin flexible GaAs photovoltaics in vertical forms printed on metal surfaces without interlayer adhesives

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Juho; Song, Kwangsun; Kim, Namyun

    2016-06-20

    Wearable flexible electronics often require sustainable power sources that are also mechanically flexible to survive the extreme bending that accompanies their general use. In general, thinner microelectronic devices are under less strain when bent. This paper describes strategies to realize ultra-thin GaAs photovoltaics through the interlayer adhesiveless transfer-printing of vertical-type devices onto metal surfaces. The vertical-type GaAs photovoltaic devices recycle reflected photons by means of bottom electrodes. Systematic studies with four different types of solar microcells indicate that the vertical-type solar microcells, at only a quarter of the thickness of similarly designed lateral-type cells, generate a level of electric powermore » similar to that of thicker cells. The experimental results along with the theoretical analysis conducted here show that the ultra-thin vertical-type solar microcells are durable under extreme bending and thus suitable for use in the manufacturing of wearable flexible electronics.« less

  9. Insulator to metal transition in WO 3 induced by electrolyte gating

    DOE PAGES

    Leng, X.; Pereiro, J.; Strle, J.; ...

    2017-07-03

    Tungsten oxide and its associated bronzes (compounds of tungsten oxide and an alkali metal) are well known for their interesting optical and electrical characteristics. We have modified the transport properties of thin WO 3 films by electrolyte gating using both ionic liquids and polymer electrolytes. We are able to tune the resistivity of the gated film by more than five orders of magnitude, and a clear insulator-to-metal transition is observed. To clarify the doping mechanism, we have performed a series of incisive operando experiments, ruling out both a purely electronic effect (charge accumulation near the interface) and oxygen-related mechanisms. Wemore » propose instead that hydrogen intercalation is responsible for doping WO 3 into a highly conductive ground state and provide evidence that it can be described as a dense polaronic gas.« less

  10. An All Oxide-Based Imperceptible Thin-Film Transistor with Humidity Sensing Properties

    PubMed Central

    Kim, Kyung Su; Ahn, Cheol Hyoun; Kang, Won Jun; Cho, Sung Woon; Jung, Sung Hyeon; Yoon, Dae Ho; Cho, Hyung Koun

    2017-01-01

    We have examined the effects of oxygen content and thickness in sputtered InSnO (ITO) electrodes, especially for the application of imperceptible amorphous-InGaZnO (a-IGZO) thin-film transistors (TFTs) in humidity sensors. The imperceptible a-IGZO TFT with 50-nm ITO electrodes deposited at Ar:O2 = 29:0.3 exhibited good electrical performances with Vth of −0.23 V, SS of 0.34 V/dec, µFE of 7.86 cm2/V∙s, on/off ratio of 8.8 × 107, and has no degradation for bending stress up to a 3.5-mm curvature. The imperceptible oxide TFT sensors showed the highest sensitivity for the low and wide gate bias of −1~2 V under a wide range of relative humidity (40–90%) at drain voltage 1 V, resulting in low power consumption by the sensors. Exposure to water vapor led to a negative shift in the threshold voltage (or current enhancement), and an increase in relative humidity induced continuous threshold voltage shift. In particular, compared to conventional resistor-type sensors, the imperceptible oxide TFT sensors exhibited extremely high sensitivity from a current amplification of >103. PMID:28772888

  11. An All Oxide-Based Imperceptible Thin-Film Transistor with Humidity Sensing Properties.

    PubMed

    Kim, Kyung Su; Ahn, Cheol Hyoun; Kang, Won Jun; Cho, Sung Woon; Jung, Sung Hyeon; Yoon, Dae Ho; Cho, Hyung Koun

    2017-05-13

    We have examined the effects of oxygen content and thickness in sputtered InSnO (ITO) electrodes, especially for the application of imperceptible amorphous-InGaZnO ( a -IGZO) thin-film transistors (TFTs) in humidity sensors. The imperceptible a -IGZO TFT with 50-nm ITO electrodes deposited at Ar:O₂ = 29:0.3 exhibited good electrical performances with V th of -0.23 V, SS of 0.34 V/dec, µ FE of 7.86 cm²/V∙s, on/off ratio of 8.8 × 10⁷, and has no degradation for bending stress up to a 3.5-mm curvature. The imperceptible oxide TFT sensors showed the highest sensitivity for the low and wide gate bias of -1~2 V under a wide range of relative humidity (40-90%) at drain voltage 1 V, resulting in low power consumption by the sensors. Exposure to water vapor led to a negative shift in the threshold voltage (or current enhancement), and an increase in relative humidity induced continuous threshold voltage shift. In particular, compared to conventional resistor-type sensors, the imperceptible oxide TFT sensors exhibited extremely high sensitivity from a current amplification of >10³.

  12. Influence of high energy electron irradiation on the characteristics of polysilicon thin film transistors

    NASA Astrophysics Data System (ADS)

    Aleksandrova, P. V.; Gueorguiev, V. K.; Ivanov, Tz. E.; Kaschieva, S.

    2006-08-01

    The influence of high energy electron (23 MeV) irradiation on the electrical characteristics of p-channel polysilicon thin film transistors (PSTFTs) was studied. The channel 220 nm thick LPCVD (low pressure chemical vapor deposition) deposited polysilicon layer was phosphorus doped by ion implantation. A 45 nm thick, thermally grown, SiO2 layer served as gate dielectric. A self-alignment technology for boron doping of the source and drain regions was used. 200 nm thick polysilicon film was deposited as a gate electrode. The obtained p-channel PSTFTs were irradiated with different high energy electron doses. Leakage currents through the gate oxide and transfer characteristics of the transistors were measured. A software model describing the field enhancement and the non-uniform current distribution at textured polysilicon/oxide interface was developed. In order to assess the irradiation-stimulated changes of gate oxide parameters the gate oxide tunneling conduction and transistor characteristics were studied. At MeV dose of 6×1013 el/cm2, a negligible degradation of the transistor properties was found. A significant deterioration of the electrical properties of PSTFTs at MeV irradiation dose of 3×1014 el/cm2 was observed.

  13. Aerosol jet printed p- and n-type electrolyte-gated transistors with a variety of electrode materials: exploring practical routes to printed electronics.

    PubMed

    Hong, Kihyon; Kim, Se Hyun; Mahajan, Ankit; Frisbie, C Daniel

    2014-11-12

    Printing electrically functional liquid inks is a promising approach for achieving low-cost, large-area, additive manufacturing of flexible electronic circuits. To print thin-film transistors, a basic building block of thin-film electronics, it is important to have several options for printable electrode materials that exhibit high conductivity, high stability, and low-cost. Here we report completely aerosol jet printed (AJP) p- and n-type electrolyte-gated transistors (EGTs) using a variety of different electrode materials including highly conductive metal nanoparticles (Ag), conducting polymers (polystyrenesulfonate doped poly(3,4-ethylendedioxythiophene, PEDOT:PSS), transparent conducting oxides (indium tin oxide), and carbon-based materials (reduced graphene oxide). Using these source-drain electrode materials and a PEDOT:PSS/ion gel gate stack, we demonstrated all-printed p- and n-type EGTs in combination with poly(3-hexythiophene) and ZnO semiconductors. All transistor components (including electrodes, semiconductors, and gate insulators) were printed by AJP. Both kinds of devices showed typical p- and n-type transistor characteristics, and exhibited both low-threshold voltages (<2 V) and high hole and electron mobilities. Our assessment suggests Ag electrodes may be the best option in terms of overall performance for both types of EGTs.

  14. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    NASA Astrophysics Data System (ADS)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  15. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  16. Electrically Tunable Energy Bandgap in Dual-Gated Ultra-Thin Black Phosphorus Field Effect Transistors

    NASA Astrophysics Data System (ADS)

    Yan, Shi-Li; Xie, Zhi-Jian; Chen, Jian-Hao; Taniguchi, Takashi; Watanabe, Kenji

    2017-03-01

    The energy bandgap is an intrinsic character of semiconductors, which largely determines their properties. The ability to continuously and reversibly tune the bandgap of a single device during real time operation is of great importance not only to device physics but also to technological applications. Here we demonstrate a widely tunable bandgap of few-layer black phosphorus (BP) by the application of vertical electric field in dual-gated BP field-effect transistors. A total bandgap reduction of 124 meV is observed when the electrical displacement field is increased from 0.10V/nm to 0.83V/nm. Our results suggest appealing potential for few-layer BP as a tunable bandgap material in infrared optoelectronics, thermoelectric power generation and thermal imaging.

  17. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fakhri, M.; Theisen, M.; Behrendt, A.

    Top gated metal-oxide thin-film transistors (TFTs) provide two benefits compared to their conventional bottom-gate counterparts: (i) The gate dielectric may concomitantly serve as encapsulation layer for the TFT channel. (ii) Damage of the dielectric due to high-energetic particles during channel deposition can be avoided. In our work, the top-gate dielectric is prepared by ozone based atomic layer deposition at low temperatures. For ultra-low gas permeation rates, we introduce nano-laminates of Al{sub 2}O{sub 3}/ZrO{sub 2} as dielectrics. The resulting TFTs show a superior environmental stability even at elevated temperatures. Their outstanding stability vs. bias stress is benchmarked against bottom-gate devices withmore » encapsulation.« less

  18. Control of magnetism by electrical charge doping or redox reactions in a surface-oxidized Co thin film with a solid-state capacitor structure

    NASA Astrophysics Data System (ADS)

    Hirai, T.; Koyama, T.; Chiba, D.

    2018-03-01

    We have investigated the electric field (EF) effect on magnetism in a Co thin film with a naturally oxidized surface. The EF was applied to the oxidized Co surface through a gate insulator layer made of HfO2, which was formed using atomic layer deposition (ALD). The efficiency of the EF effect on the magnetic anisotropy in the sample with the HfO2 layer deposited at the appropriate temperature for the ALD process was relatively large compared to the previously reported values with an unoxidized Co film. The coercivity promptly and reversibly followed the variation in gate voltage. The modulation of the channel resistance was at most ˜0.02%. In contrast, a dramatic change in the magnetic properties including the large change in the saturation magnetic moment and a much larger EF-induced modulation of the channel resistance (˜10%) were observed in the sample with a HfO2 layer deposited at a temperature far below the appropriate temperature range. The response of these properties to the gate voltage was very slow, suggesting that a redox reaction dominated the EF effect on the magnetism in this sample. The frequency response for the capacitive properties was examined to discuss the difference in the mechanism of the EF effect observed here.

  19. The piezoelectric gating effect in a thin bent membrane with a two-dimensional electron gas

    NASA Astrophysics Data System (ADS)

    Shevyrin, Andrey A.; Pogosov, Arthur G.

    2018-05-01

    Thin suspended nanostructures with a two-dimensional electron gas can be used as nanoelectromechanical systems in which electron transport is piezoelectrically coupled to mechanical motion and vibrations. Apart from practical applications, these systems are interesting for studying electron transport under unusual conditions, namely, in the presence of additional mechanical degrees of freedom. In the present paper, we analyze the influence of the bending on the density of a gated two-dimensional electron gas contained in a suspended membrane using the Thomas–Fermi approach and the model of pure electrostatic screening. We show that a small bending is analogous to a small change in gate voltages. Our calculations demonstrate that the density change is most prominent near the edges of the conductive channel created by negatively biased gates. When moving away from these edges, the bending-induced density change rapidly decays. We propose several methods to increase the magnitude of the effect, with the largest benefit obtained from coverage of the conductive channel with an additional grounded gate. It is shown that, for a conductive channel under a bare surface, the largest effect can be achieved if the two-dimensional electron gas is placed near the middle of the membrane thickness, despite the bending-induced strain is zero there.

  20. Thin film three-dimensional topological insulator metal-oxide-semiconductor field-effect-transistors: A candidate for sub-10 nm devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akhavan, N. D., E-mail: nima.dehdashti@uwa.edu.au; Jolley, G.; Umana-Membreno, G. A.

    2014-08-28

    Three-dimensional (3D) topological insulators (TI) are a new state of quantum matter in which surface states reside in the bulk insulating energy bandgap and are protected by time-reversal symmetry. It is possible to create an energy bandgap as a consequence of the interaction between the conduction band and valence band surface states from the opposite surfaces of a TI thin film, and the width of the bandgap can be controlled by the thin film thickness. The formation of an energy bandgap raises the possibility of thin-film TI-based metal-oxide-semiconductor field-effect-transistors (MOSFETs). In this paper, we explore the performance of MOSFETs basedmore » on thin film 3D-TI structures by employing quantum ballistic transport simulations using the effective continuous Hamiltonian with fitting parameters extracted from ab-initio calculations. We demonstrate that thin film transistors based on a 3D-TI structure provide similar electrical characteristics compared to a Si-MOSFET for gate lengths down to 10 nm. Thus, such a device can be a potential candidate to replace Si-based MOSFETs in the sub-10 nm regime.« less

  1. Suppression of surface segregation of the phosphorous δ-doping layer by insertion of an ultra-thin silicon layer for ultra-shallow Ohmic contacts on n-type germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamada, Michihiro; Uematsu, Masashi; Itoh, Kohei M., E-mail: kitoh@appi.keio.ac.jp

    2015-09-28

    We demonstrate the formation of abrupt phosphorus (P) δ-doping profiles in germanium (Ge) by the insertion of ultra-thin silicon (Si) layers. The Si layers at the δ-doping region significantly suppress the surface segregation of P during the molecular beam epitaxial growth of Ge and high-concentration active P donors are confined within a few nm of the initial doping position. The current-voltage characteristics of the P δ-doped layers with Si insertion show excellent Ohmic behaviors with low enough resistivity for ultra-shallow Ohmic contacts on n-type Ge.

  2. Modeling drain current of indium zinc oxide thin film transistors prepared by solution deposition technique

    NASA Astrophysics Data System (ADS)

    Qiang, Lei; Liang, Xiaoci; Cai, Guangshuo; Pei, Yanli; Yao, Ruohe; Wang, Gang

    2018-06-01

    Indium zinc oxide (IZO) thin film transistor (TFT) deposited by solution method is of considerable technological interest as it is a key component for the fabrication of flexible and cheap transparent electronic devices. To obtain a principal understanding of physical properties of solution-processed IZO TFT, a new drain current model that account for the charge transport is proposed. The formulation is developed by incorporating the effect of gate voltage on mobility and threshold voltage with the carrier charges. It is demonstrated that in IZO TFTs the below threshold regime should be divided into two sections: EC - EF > 3kT and EC - EF ≤ 3kT, where kT is the thermal energy, EF and EC represent the Fermi level and the conduction band edge, respectively. Additionally, in order to describe conduction mechanisms more accurately, the extended mobility edge model is conjoined, which can also get rid of the complicated and lengthy computations. The good agreement between measured and calculated results confirms the efficiency of this model for the design of integrated large-area thin film circuits.

  3. Electrical properties of solution processed highly transparent ZnO TFT with organic gate dielectric

    NASA Astrophysics Data System (ADS)

    Pandya, Nirav C.; Joshi, Nikhil G.; Trivedi, U. N.; Joshi, U. S.

    2013-02-01

    All oxide thin film transistors (TFT) with zinc oxide active layer were fabricated by chemical solution deposition (CSD) using aqueous solutions on glass substrate. Thin film transistors (TFTs) with amorphous zinc oxide as channel layers and poly-vinyl alcohol as dielectric layers were fabricated at low temperatures by chemical solution deposition (CSD). Atomic force microscopy (AFM) confirmed nano grain size with fairly smooth surface topography. Very small leakage currents were achieved in the transfer curves, while soft saturation was observed in the output current voltage (I-V) characteristics of the device. Optical transmission of better than 87% in the visible region was estimated, which is better than the organic gate insulator based ZnO TFTs reported so far. Our results offer lot of promise to TFT based display and optoelectronics.

  4. Influence of gating design on microstructure and fluidity of thin sections AA320.0 cast hypo-eutectic Al-Si alloy

    NASA Astrophysics Data System (ADS)

    Ramadan, Mohamed

    2018-05-01

    Influence of gating design especially number of ingrates on microstructure and fluidity of thin sections of 2, 4, 6 mm AA320.0 cast hypo-eutectic Al-Si alloy was evaluated for sand casting molding technique. Increasing the number of ingates improves the microstructe to be fine and more globular. About 87 μm of α-Al grain size, 0.6 α-Al grain sphericity and 37 μm dendrite arm spacing DAS are achieved by using 4 ingates in gating system. Increasing the number of ingates up to 3 increases hardness, filling area and related fluditiy of all cast samples. The minimum thickness of 2.5 mm for each ingate should be considered in order to successfully production of high quality light weight thin sections castings in sand mold.

  5. Fabrication and stability investigation of ultra-thin transparent and flexible Cu-Ag-Au tri-layer film on PET

    NASA Astrophysics Data System (ADS)

    Prakasarao, Ch Surya; D'souza, Slavia Deeksha; Hazarika, Pratim; Karthiselva N., S.; Ramesh Babu, R.; Kovendhan, M.; Kumar, R. Arockia; Joseph, D. Paul

    2018-04-01

    The need for transparent conducting electrodes with high transmittance, low sheet resistance and flexibility to replace Indium Tin Oxide is ever growing. We have deposited and studied the performance of ultra-thin Cu-Ag-Au tri-layer films over a flexible poly-ethylene terephthalate substrate. Scotch tape test showed good adhesion of the metallic film. Transmittance of the tri-layer was around 40 % in visible region. Optical profiler measurements were done to study the surface features. The XRD pattern revealed that film was amorphous. Sheet resistance measured by four probe technique was around 7.7 Ohm/Δ and was stable up to 423 K. The transport parameters by Hall effect showed high conductivity and carrier concentration with a mobility of 5.58 cm2/Vs. Tests performed in an indigenously designed bending unit indicated the films to be stable both mechanically and electrically even after 50,000 bending cycles.

  6. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    NASA Astrophysics Data System (ADS)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  7. Review on analog/radio frequency performance of advanced silicon MOSFETs

    NASA Astrophysics Data System (ADS)

    Passi, Vikram; Raskin, Jean-Pierre

    2017-12-01

    Aggressive gate-length downscaling of the metal-oxide-semiconductor field-effect transistor (MOSFET) has been the main stimulus for the growth of the integrated circuit industry. This downscaling, which has proved beneficial to digital circuits, is primarily the result of the need for improved circuit performance and cost reduction and has resulted in tremendous reduction of the carrier transit time across the channel, thereby resulting in very high cut-off frequencies. It is only in recent decades that complementary metal-oxide-semiconductor (CMOS) field-effect transistor (FET) has been considered as the radio frequency (RF) technology of choice. In this review, the status of the digital, analog and RF figures of merit (FoM) of silicon-based FETs is presented. State-of-the-art devices with very good performance showing low values of drain-induced barrier lowering, sub-threshold swing, high values of gate transconductance, Early voltage, cut-off frequencies, and low minimum noise figure, and good low-frequency noise characteristic values are reported. The dependence of these FoM on the device gate length is also shown, helping the readers to understand the trends and challenges faced by shorter CMOS nodes. Device performance boosters including silicon-on-insulator substrates, multiple-gate architectures, strain engineering, ultra-thin body and buried-oxide and also III-V and 2D materials are discussed, highlighting the transistor characteristics that are influenced by these boosters. A brief comparison of the two main contenders in continuing Moore’s law, ultra-thin body buried-oxide and fin field-effect transistors are also presented. The authors would like to mention that despite extensive research carried out in the semiconductor industry, silicon-based MOSFET will continue to be the driving force in the foreseeable future.

  8. Suppression of surface-originated gate lag by a dual-channel AlN/GaN high electron mobility transistor architecture

    NASA Astrophysics Data System (ADS)

    Deen, David A.; Storm, David F.; Scott Katzer, D.; Bass, R.; Meyer, David J.

    2016-08-01

    A dual-channel AlN/GaN high electron mobility transistor (HEMT) architecture is demonstrated that leverages ultra-thin epitaxial layers to suppress surface-related gate lag. Two high-density two-dimensional electron gas (2DEG) channels are utilized in an AlN/GaN/AlN/GaN heterostructure wherein the top 2DEG serves as a quasi-equipotential that screens potential fluctuations resulting from distributed surface and interface states. The bottom channel serves as the transistor's modulated channel. Dual-channel AlN/GaN heterostructures were grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. HEMTs fabricated with 300 nm long recessed gates demonstrated a gate lag ratio (GLR) of 0.88 with no degradation in drain current after bias stressed in subthreshold. These structures additionally achieved small signal metrics ft/fmax of 27/46 GHz. These performance results are contrasted with the non-recessed gate dual-channel HEMT with a GLR of 0.74 and 82 mA/mm current collapse with ft/fmax of 48/60 GHz.

  9. Ultra-wideband radar motion sensor

    DOEpatents

    McEwan, Thomas E.

    1994-01-01

    A motion sensor is based on ultra-wideband (UWB) radar. UWB radar range is determined by a pulse-echo interval. For motion detection, the sensors operate by staring at a fixed range and then sensing any change in the averaged radar reflectivity at that range. A sampling gate is opened at a fixed delay after the emission of a transmit pulse. The resultant sampling gate output is averaged over repeated pulses. Changes in the averaged sampling gate output represent changes in the radar reflectivity at a particular range, and thus motion.

  10. Ultra-wideband radar motion sensor

    DOEpatents

    McEwan, T.E.

    1994-11-01

    A motion sensor is based on ultra-wideband (UWB) radar. UWB radar range is determined by a pulse-echo interval. For motion detection, the sensors operate by staring at a fixed range and then sensing any change in the averaged radar reflectivity at that range. A sampling gate is opened at a fixed delay after the emission of a transmit pulse. The resultant sampling gate output is averaged over repeated pulses. Changes in the averaged sampling gate output represent changes in the radar reflectivity at a particular range, and thus motion. 15 figs.

  11. Ultra-sensitive suspended atomically thin-layered black phosphorus mercury sensors.

    PubMed

    Li, Peng; Zhang, Dongzhi; Jiang, Chuanxing; Zong, Xiaoqi; Cao, Yuhua

    2017-12-15

    The extraordinary properties of black phosphorus (BP) make it a promising candidate for next-generation transistor chemical sensors. However, BP films reported so far are supported on substrate, and substrate scattering drastically deteriorates its electrical properties. Consequentially, the potential sensing capability of intrinsic BP is highly underestimated and its sensing mechanism is masked. Additionally, the optimum sensing regime of BP remains unexplored. This article is the first demonstration of suspended BP sensor operated in subthreshold regime. BP exhibited significant enhancement of sensitivity for ultra-low-concentration mercury detection in the absence of substrate, and the sensitivity reached maximum in subthreshold regime. Without substrate scattering, the suspended BP device demonstrated 10 times lower 1/f noise which contributed to better signal-to-noise ratio. Therefore, rapid label-free trace detection of Hg 2+ was achieved with detection limit of 0.01 ppb, lower than the world health organization (WHO) tolerance level (1 ppb). The time constant for ion detection extracted was 3s. Additionally, experimental results revealed that good stability, repeatability, and selectivity were achieved. BP sensors also demonstrated the ability of detecting mercury ions in environment water samples. The underling sensing mechanism of intrinsic BP was ascribed to the carrier density variation resulted from surface charge gating effect, so suspended BP in subthreshold regime with optimum gating effect demonstrated the best sensitivity. Our results show the prominent advantages of intrinsic BP as a sensing material. Copyright © 2017 The Authors. Published by Elsevier B.V. All rights reserved.

  12. Current Modulation of a Heterojunction Structure by an Ultra-Thin Graphene Base Electrode.

    PubMed

    Alvarado Chavarin, Carlos; Strobel, Carsten; Kitzmann, Julia; Di Bartolomeo, Antonio; Lukosius, Mindaugas; Albert, Matthias; Bartha, Johann Wolfgang; Wenger, Christian

    2018-02-27

    Graphene has been proposed as the current controlling element of vertical transport in heterojunction transistors, as it could potentially achieve high operation frequencies due to its metallic character and 2D nature. Simulations of graphene acting as a thermionic barrier between the transport of two semiconductor layers have shown cut-off frequencies larger than 1 THz. Furthermore, the use of n-doped amorphous silicon, (n)-a-Si:H, as the semiconductor for this approach could enable flexible electronics with high cutoff frequencies. In this work, we fabricated a vertical structure on a rigid substrate where graphene is embedded between two differently doped (n)-a-Si:H layers deposited by very high frequency (140 MHz) plasma-enhanced chemical vapor deposition. The operation of this heterojunction structure is investigated by the two diode-like interfaces by means of temperature dependent current-voltage characterization, followed by the electrical characterization in a three-terminal configuration. We demonstrate that the vertical current between the (n)-a-Si:H layers is successfully controlled by the ultra-thin graphene base voltage. While current saturation is yet to be achieved, a transconductance of ~230 μ S was obtained, demonstrating a moderate modulation of the collector-emitter current by the ultra-thin graphene base voltage. These results show promising progress towards the application of graphene base heterojunction transistors.

  13. Ultra-long Pt nanolawns supported on TiO2-coated carbon fibers as 3D hybrid catalyst for methanol oxidation

    PubMed Central

    2012-01-01

    In this study, TiO2 thin film photocatalyst on carbon fibers was used to synthesize ultra-long single crystalline Pt nanowires via a simple photoreduction route (thermally activated photoreduction). It also acted as a co-catalytic material with Pt. Taking advantage of the high-aspect ratio of the Pt nanostructure as well as the excellent catalytic activity of TiO2, this hybrid structure has the great potential as the active anode in direct methanol fuel cells. The electrochemical results indicate that TiO2 is capable of transforming CO-like poisoning species on the Pt surface during methanol oxidation and contributes to a high CO tolerance of this Pt nanowire/TiO2 hybrid structure. PMID:22546416

  14. Ultra-long Pt nanolawns supported on TiO2-coated carbon fibers as 3D hybrid catalyst for methanol oxidation

    NASA Astrophysics Data System (ADS)

    Shen, Yu-Lin; Chen, Shih-Yun; Song, Jenn-Ming; Chen, In-Gann

    2012-06-01

    In this study, TiO2 thin film photocatalyst on carbon fibers was used to synthesize ultra-long single crystalline Pt nanowires via a simple photoreduction route (thermally activated photoreduction). It also acted as a co-catalytic material with Pt. Taking advantage of the high-aspect ratio of the Pt nanostructure as well as the excellent catalytic activity of TiO2, this hybrid structure has the great potential as the active anode in direct methanol fuel cells. The electrochemical results indicate that TiO2 is capable of transforming CO-like poisoning species on the Pt surface during methanol oxidation and contributes to a high CO tolerance of this Pt nanowire/TiO2 hybrid structure.

  15. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    DOEpatents

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  16. Operando SXRD of E-ALD deposited sulphides ultra-thin films: Crystallite strain and size

    NASA Astrophysics Data System (ADS)

    Giaccherini, Andrea; Russo, Francesca; Carlà, Francesco; Guerri, Annalisa; Picca, Rosaria Anna; Cioffi, Nicola; Cinotti, Serena; Montegrossi, Giordano; Passaponti, Maurizio; Di Benedetto, Francesco; Felici, Roberto; Innocenti, Massimo

    2018-02-01

    Electrochemical Atomic Layer Deposition (E-ALD), exploiting surface limited electrodeposition of atomic layers, can easily grow highly ordered ultra-thin films and 2D structures. Among other compounds CuxZnyS grown by means of E-ALD on Ag(111) has been found particularly suitable for the solar energy conversion due to its band gap (1.61 eV). However its growth seems to be characterized by a micrometric thread-like structure, probably overgrowing a smooth ultra-thin films. On this ground, a SXRD investigation has been performed, to address the open questions about the structure and the growth of CuxZnyS by means of E-ALD. The experiment shows a pseudo single crystal pattern as well as a powder pattern, confirming that part of the sample grows epitaxially on the Ag(111) substrate. The growth of the film was monitored by following the evolution of the Bragg peaks and Debye rings during the E-ALD steps. Breadth and profile analysis of the Bragg peaks lead to a qualitative interpretation of the growth mechanism. This study confirms that Zn lead to the growth of a strained Cu2S-like structure, while the growth of the thread-like structure is probably driven by the release of the stress from the epitaxial phase.

  17. Influence of hydrogen on the structure and stability of ultra-thin ZnO on metal substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bieniek, Bjoern; Hofmann, Oliver T.; Institut für Festkörperphysik, TU Graz, 8010 Graz

    2015-03-30

    We investigate the atomic and electronic structure of ultra-thin ZnO films (1 to 4 layers) on the (111) surfaces of Ag, Cu, Pd, Pt, Ni, and Rh by means of density-functional theory. The ZnO monolayer is found to adopt an α-BN structure on the metal substrates with coincidence structures in good agreement with experiment. Thicker ZnO layers change into a wurtzite structure. The films exhibit a strong corrugation, which can be smoothed by hydrogen (H) adsorption. An H over-layer with 50% coverage is formed at chemical potentials that range from low to ultra-high vacuum H{sub 2} pressures. For the Agmore » substrate, both α-BN and wurtzite ZnO films are accessible in this pressure range, while for Cu, Pd, Pt, Rh, and Ni wurtzite films are favored. The surface structure and the density of states of these H passivated ZnO thin films agree well with those of the bulk ZnO(0001{sup ¯})-2×1-H surface.« less

  18. Operational stability of solution-processed indium-oxide thin-film transistors: Environmental condition and electrical stress

    NASA Astrophysics Data System (ADS)

    Baang, Sungkeun; Lee, Hyeonju; Zhang, Xue; Park, Jaehoon; Kim, Won-Pyo; Ko, Young-Woong; Piao, Shang Hao; Choi, Hyoung Jin; Kwon, Jin-Hyuk; Bae, Jin-Hyuk

    2018-01-01

    We investigate the operational stability of bottom-gate/top-contact-structured indium-oxide (In2O3) thin-film transistors (TFTs) in atmospheric air and under vacuum. Based on the thermogravimetric analysis of the In2O3 precursor solution, we utilize a thermal annealing process at 400 °C for 40 min to prepare the In2O3 films. The results of X-ray photoemission spectroscopy and field-emission scanning electron microscopy show that the electron is the majority carrier in the In2O3 semiconductor film prepared by a spin-coating method and that the film has a polycrystalline morphology with grain boundaries. The fabricated In2O3 TFTs operate in an n-type enhancement mode. When constant drain and gate voltages are applied, these TFTs in atmospheric air exhibit a more acute decay in the drain currents with time compared to that observed under vacuum. In the positive gate-bias stress experiments, a decrease in the field-effect mobility and a positive shift in the threshold voltage are invariably observed both in atmospheric air and under vacuum, but such characteristic variations are also found to be more pronounced for the atmospheric-air case. These results are explained in terms of the electron-trapping phenomenon at the grain boundaries in the In2O3 semiconductor, as well as the electrostatic interactions between electrons and polar water molecules.

  19. Bi-layer channel structure-based oxide thin-film transistors consisting of ZnO and Al-doped ZnO with different Al compositions and stacking sequences

    NASA Astrophysics Data System (ADS)

    Cho, Sung Woon; Yun, Myeong Gu; Ahn, Cheol Hyoun; Kim, So Hee; Cho, Hyung Koun

    2015-03-01

    Zinc oxide (ZnO)-based bi-layers, consisting of ZnO and Al-doped ZnO (AZO) layers grown by atomic layer deposition, were utilized as the channels of oxide thin-film transistors (TFTs). Thin AZO layers (5 nm) with different Al compositions (5 and 14 at. %) were deposited on top of and beneath the ZnO layers in a bi-layer channel structure. All of the bi-layer channel TFTs that included the AZO layers showed enhanced stability (Δ V Th ≤ 3.2 V) under a positive bias stress compared to the ZnO single-layer channel TFT (Δ V Th = 4.0 V). However, the AZO/ZnO bi-layer channel TFTs with an AZO interlayer between the gate dielectric and the ZnO showed a degraded field effect mobility (0.3 cm2/V·s for 5 at. % and 1.8 cm2/V·s for 14 at. %) compared to the ZnO single-layer channel TFT (5.5 cm2/V·s) due to increased scattering caused by Al-related impurities near the gate dielectric/channel interface. In contrast, the ZnO/AZO bi-layer channel TFTs with an AZO layer on top of the ZnO layer exhibited an improved field effect mobility (7.8 cm2/V·s for 14 at. %) and better stability. [Figure not available: see fulltext.

  20. Synergistic approach to high-performance oxide thin film transistors using a bilayer channel architecture.

    PubMed

    Yu, Xinge; Zhou, Nanjia; Smith, Jeremy; Lin, Hui; Stallings, Katie; Yu, Junsheng; Marks, Tobin J; Facchetti, Antonio

    2013-08-28

    We report here a bilayer metal oxide thin film transistor concept (bMO TFT) where the channel has the structure: dielectric/semiconducting indium oxide (In2O3) layer/semiconducting indium gallium oxide (IGO) layer. Both semiconducting layers are grown from solution via a low-temperature combustion process. The TFT mobilities of bottom-gate/top-contact bMO TFTs processed at T = 250 °C are ~5tmex larger (~2.6 cm(2)/(V s)) than those of single-layer IGO TFTs (~0.5 cm(2)/(V s)), reaching values comparable to single-layer combustion-processed In2O3 TFTs (~3.2 cm(2)/(V s)). More importantly, and unlike single-layer In2O3 TFTs, the threshold voltage of the bMO TFTs is ~0.0 V, and the current on/off ratio is significantly enhanced to ~1 × 10(8) (vs ~1 × 10(4) for In2O3). The microstructure and morphology of the In2O3/IGO bilayers are analyzed by X-ray diffraction, atomic force microscopy, X-ray photoelectron spectroscopy, and transmission electron microscopy, revealing the polycrystalline nature of the In2O3 layer and the amorphous nature of the IGO layer. This work demonstrates that solution-processed metal oxides can be implemented in bilayer TFT architectures with significantly enhanced performance.

  1. Approximating the near-edge mass absorption coefficients for Ni using an ultra-thin bimetal foil

    DOE PAGES

    Alkire, Randall W.

    2016-11-01

    In an effort to improve the characteristics of a fluorescing metal-foil-based beam position monitor, a new bimetal ultra-thin (0.98/0.67 µm) Ti–Ni foil was introduced to replace an existing single-element ultra-thin 0.5 µm thick Cr foil. During characterization it was determined that absorption measurements on the bimetal foil could be used to fit the Ni mass absorption coefficients accurately in the vicinity of the NiKedge. Comparison with experimental results from the literature demonstrated that the fitting procedure produced coefficients with uncertainties of the order of ±1%. Once determined, these fit coefficients allowed the thickness of an independently mounted 8 µm thickmore » Ni foil to be computed from absorption measurements instead of relying on a tool-based measurement of the foil thickness. Using the 8 µm thick foil, a continuous map of Ni mass absorption coefficients was produced at 1 eV resolution throughout the near-edge region. Lastly, this high-resolution map marks a significant improvement over the existing NIST XCOM or FFAST database mass absorption coefficients, which have estimated errors of 10–20% for the near-edge region.« less

  2. Solution-processed high-mobility neodymium-substituted indium oxide thin-film transistors formed by facile patterning based on aqueous precursors

    NASA Astrophysics Data System (ADS)

    Lin, Zhenguo; Lan, Linfeng; Sun, Sheng; Li, Yuzhi; Song, Wei; Gao, Peixiong; Song, Erlong; Zhang, Peng; Li, Meiling; Wang, Lei; Peng, Junbiao

    2017-03-01

    Solution-processed neodymium-substituted indium oxide (InNdO) thin-film transistors (TFTs) based on gel-like aqueous precursors were fabricated with a surface-selective deposition technique associated with ultraviolet irradiation. The Nd concentration can be easily tuned by changing the ratio of Nd2O3 to In2O3 precursors. It was found that Nd played roles of suppressing grain growth, suppressing oxygen vacancy formation, and increasing the electrical stability of TFTs. The InNdO TFT with a Nd:In ratio of 0.02:1 exhibited a mobility of as high as 15.6 cm2 V-1 s-1 with improved stability under gate-bias stress.

  3. Precursor-route ZnO films from a mixed casting solvent for high performance aqueous electrolyte-gated transistors.

    PubMed

    Althagafi, Talal M; Algarni, Saud A; Al Naim, Abdullah; Mazher, Javed; Grell, Martin

    2015-12-14

    We significantly improved the performance of precursor-route semiconducting zinc oxide (ZnO) films in electrolyte-gated thin film transistors (TFTs). We find that the organic precursor to ZnO, zinc acetate (ZnAc), dissolves more readily in a 1 : 1 mixture of ethanol (EtOH) and acetone than in pure EtOH, pure acetone, or pure isopropanol. XPS and SEM characterisation show improved morphology of ZnO films converted from a mixed solvent cast ZnAc precursor compared to the EtOH cast precursor. When gated with a biocompatible electrolyte, phosphate buffered saline (PBS), ZnO thin film transistors (TFTs) derived from mixed solvent cast ZnAc give 4 times larger field effect current than similar films derived from ZnAc cast from pure EtOH. The sheet resistance at VG = VD = 1 V is 30 kΩ □(-1), lower than for any organic TFT, and lower than for any electrolyte-gated ZnO TFT reported to date.

  4. STIR: Novel Electronic States by Gating Strongly Correlated Materials

    DTIC Science & Technology

    2016-03-01

    plan built on my group’s recent demonstration of electrolyte gating in Strontium Titanate, using an atomically thin hexagonal Boron Nitride barrier to...demonstration of electrolyte gating in Strontium Titanate, using an atomically thin hexagonal Boron Nitride barrier to prevent disorder and chemical...techniques and learned to apply thin hexagonal Boron Nitride to single crystals of materials expected to show some of the most exciting correlated

  5. Oxide-based materials by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek; Pietruszka, Rafał; Kaszewski, Jarosław; Witkowski, Bartłomiej S.; Gierałtowska, Sylwia; Wachnicki, Łukasz; Godlewski, Michał M.; Slonska, Anna; Gajewski, Zdzisław

    2017-02-01

    Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for a range of applications. Some of these applications will be presented. First of all, ALD-grown high-k HfO2 is used as a gate oxide in the electronic devices. Moreover, ALD-grown oxides can be used in memory devices, in transparent transistors, or as elements of solar cells. Regarding photovoltaics (PV), ALD-grown thin films of Al2O3 are already used as anti-reflection layers. In addition, thin films of ZnO are tested as replacement of ITO in PV devices. New applications in organic photovoltaics, electronics and optoelectronics are also demonstrated Considering new applications, the same layers, as used in electronics, can also find applications in biology, medicine and in a food industry. This is because layers of high-k oxides show antibacterial activity, as discussed in this work.

  6. UV light induced insulator-metal transition in ultra-thin ZnO/TiOx stacked layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Saha, D.; Misra, P.; Joshi, M. P.; Kukreja, L. M.

    2016-08-01

    In the present study, atomic layer deposition has been used to grow a series of Ti incorporated ZnO thin films by vertically stacking different numbers (n = 1-7) of ZnO/TiOx layers on (0001) sapphire substrates. The effects of defect states mediated chemisorption of O2 and/OH groups on the electrical properties of these films have been investigated by illuminating the samples under UV light inside a high vacuum optical cryostat. The ultra-thin film having one stacked layer (n = 1) did not show any change in its electrical resistance upon UV light exposure. On the contrary, marginal drop in the electrical resistivity was measured for the samples with n ≥ 3. Most surprisingly, the sample with n = 2 (thickness ˜ 12 nm) showed an insulator to metal transition upon UV light exposure. The temperature dependent electrical resistivity measurement on the as grown film (n = 2) showed insulating behaviour, i.e., diverging resistivity on extrapolation to T→ 0 K. However, upon UV light exposure, it transformed to a metallic state, i.e., finite resistivity at T → 0 K. Such an insulator-metal transition plausibly arises due to the de-trapping of conduction electrons from the surface defect sites which resulted in an upward shift of the Fermi level above the mobility edge. The low-temperature electron transport properties on the insulating film (n = 2) were investigated by a combined study of zero field electrical resistivity ρ(T) and magnetoresistance (MR) measurements. The observed negative MR was found to be in good agreement with the magnetic field induced suppression of quantum interference between forward-going paths of tunnelling electrons. Both ρ(T) and MR measurements provided strong evidence for the Efros-Shklovskii type variable range hopping conduction in the low-temperature (≤40 K) regime. Such studies on electron transport in ultra-thin n-type doped ZnO films are crucial to achieve optimum functionality with long term reliability of ZnO based transparent

  7. Bandgap Shifting of an Ultra-Thin InGaAs/InP Quantum Well Infrared Photodetector

    NASA Technical Reports Server (NTRS)

    Sengupta, D. K.; Gunapala, S. D.; Bandara, S. V.; Liu, J. K.; Luong, E.; Hong, W.; Mumolo, J.; Bae, Y.; Stillman, G. E.; Jackson, S. L.; hide

    1998-01-01

    We demonstrate that SiO(sub 2) cap annealing in the ultra-thin p-type InGaAs/InP quantum wells can be used to produce large blue shifts of the band edge. A substantial bandgap blue shift, as much a 292.5 meV at 900 degrees C have been measured and the value of the bandgap shift can be controlled by the anneal time.

  8. Thin-Film Solid Oxide Fuel Cells

    NASA Technical Reports Server (NTRS)

    Chen, Xin; Wu, Nai-Juan; Ignatiev, Alex

    2009-01-01

    The development of thin-film solid oxide fuel cells (TFSOFCs) and a method of fabricating them have progressed to the prototype stage. This can result in the reduction of mass, volume, and the cost of materials for a given power level.

  9. Application of calendering for improving the electrical characteristics of a printed top-gate, bottom-contact organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Sang Hoon; Lee, Dong Geun; Jung, Hoeryong; Lee, Sangyoon

    2018-05-01

    Interface between the channel and the gate dielectric of organic thin film transistors (OTFTs) needs to be smoothed in order to improve the electrical characteristics. In this study, an optimized calendering process was proposed to improve the surface roughness of the channel. Top-gate, bottom-contact structural p-type OTFT samples were fabricated using roll-to-roll gravure printing (source/drain, channel), spin coating (gate dielectric), and inkjet printing (gate electrode). The calendering process was optimized using the grey-based Taguchi method. The channel surface roughness and electrical characteristics of calendered and non-calendered samples were measured and compared. As a result, the average improvement in the surface roughness of the calendered samples was 26.61%. The average on–off ratio and field-effect mobility of the calendered samples were 3.574 × 104 and 0.1113 cm2 V‑1 s‑1, respectively, which correspond to the improvements of 16.72 and 10.20%, respectively.

  10. Naphthacene Based Organic Thin Film Transistor With Rare Earth Oxide

    NASA Astrophysics Data System (ADS)

    Konwar, K.; Baishya, B.

    2010-12-01

    Naphthacene based organic thin film transistors (OTFTs) have been fabricated using La2O3, as the gate insulator. All the OTFTs have been fabricated by the process of thermal evaporation in vacuum on perfectly cleaned glass substrates with aluminium as source-drain and gate electrodes. The naphthacene film morphology on the glass substrate has been studied by XRD and found to be polycrystalline in nature. The field effect mobility, output resistance, amplification factor, transconductance and gain bandwidth product of the OTFTs have been calculated by using theoretical TFT model. The highest value of field effect mobility is found to be 0.07×10-3 cm2V-1s-1 for the devices annealed in vacuum at 90° C for 5 hours.

  11. Visible-light-induced instability in amorphous metal-oxide based TFTs for transparent electronics

    NASA Astrophysics Data System (ADS)

    Ha, Tae-Jun

    2014-10-01

    We investigate the origin of visible-light-induced instability in amorphous metal-oxide based thin film transistors (oxide-TFTs) for transparent electronics by exploring the shift in threshold voltage (Vth). A large hysteresis window in amorphous indium-gallium-zinc-oxide (a-IGZO) TFTs possessing large optical band-gap (≈3 eV) was observed in a visible-light illuminated condition whereas no hysteresis window was shown in a dark measuring condition. We also report the instability caused by photo irradiation and prolonged gate bias stress in oxide-TFTs. Larger Vth shift was observed after photo-induced stress combined with a negative gate bias than the sum of that after only illumination stress and only negative gate bias stress. Such results can be explained by trapped charges at the interface of semiconductor/dielectric and/or in the gate dielectric which play a role in a screen effect on the electric field applied by gate voltage, for which we propose that the localized-states-assisted transitions by visible-light absorption can be responsible.

  12. Optically transparent thin-film transistors based on 2D multilayer MoS₂ and indium zinc oxide electrodes.

    PubMed

    Kwon, Junyeon; Hong, Young Ki; Kwon, Hyuk-Jun; Park, Yu Jin; Yoo, Byungwook; Kim, Jiwan; Grigoropoulos, Costas P; Oh, Min Suk; Kim, Sunkook

    2015-01-21

    We report on optically transparent thin film transistors (TFTs) fabricated using multilayered molybdenum disulfide (MoS2) as the active channel, indium tin oxide (ITO) for the back-gated electrode and indium zinc oxide (IZO) for the source/drain electrodes, respectively, which showed more than 81% transmittance in the visible wavelength. In spite of a relatively large Schottky barrier between MoS2 and IZO, the n-type behavior with a field-effect mobility (μ(eff)) of 1.4 cm(2) V(-1) s(-1) was observed in as-fabricated transparent MoS2 TFT. In order to enhance the performances of transparent MoS2 TFTs, a picosecond pulsed laser was selectively irradiated onto the contact region of the IZO electrodes. Following laser annealing, μ(eff) increased to 4.5 cm(2) V(-1) s(-1), and the on-off current ratio (I(on)/I(off)) increased to 10(4), which were attributed to the reduction of the contact resistance between MoS2 and IZO.

  13. Fabrication of 4H-SiC n-channel IGBTs with ultra high blocking voltage

    NASA Astrophysics Data System (ADS)

    Yang, Xiaolei; Tao, Yonghong; Yang, Tongtong; Huang, Runhua; Song, Bai

    2018-03-01

    Owing to the conductivity modulation of silicon carbide (SiC) bipolar devices, n-channel insulated gate bipolar transistors (n-IGBTs) have a significant advantage over metal oxide semiconductor field effect transistors (MOSFETs) in ultra high voltage (UHV) applications. In this paper, backside grinding and laser annealing process were carried out to fabricate 4H-SiC n-IGBTs. The thickness of a drift layer was 120 μm, which was designed for a blocking voltage of 13 kV. The n-IGBTs carried a collector current density of 24 A/cm2 at a power dissipation of 300 W/cm2 when the gate voltage was 20 V, with a differential specific on-resistance of 140 mΩ·cm2.

  14. Single crystal functional oxides on silicon

    PubMed Central

    Bakaul, Saidur Rahman; Serrao, Claudy Rayan; Lee, Michelle; Yeung, Chun Wing; Sarker, Asis; Hsu, Shang-Lin; Yadav, Ajay Kumar; Dedon, Liv; You, Long; Khan, Asif Islam; Clarkson, James David; Hu, Chenming; Ramesh, Ramamoorthy; Salahuddin, Sayeef

    2016-01-01

    Single-crystalline thin films of complex oxides show a rich variety of functional properties such as ferroelectricity, piezoelectricity, ferro and antiferromagnetism and so on that have the potential for completely new electronic applications. Direct synthesis of such oxides on silicon remains challenging because of the fundamental crystal chemistry and mechanical incompatibility of dissimilar interfaces. Here we report integration of thin (down to one unit cell) single crystalline, complex oxide films onto silicon substrates, by epitaxial transfer at room temperature. In a field-effect transistor using a transferred lead zirconate titanate layer as the gate insulator, we demonstrate direct reversible control of the semiconductor channel charge with polarization state. These results represent the realization of long pursued but yet to be demonstrated single-crystal functional oxides on-demand on silicon. PMID:26853112

  15. Designing hybrid gate dielectric for fully printing high-performance carbon nanotube thin film transistors

    NASA Astrophysics Data System (ADS)

    Li, Qian; Li, Shilong; Yang, Dehua; Su, Wei; Wang, Yanchun; Zhou, Weiya; Liu, Huaping; Xie, Sishen

    2017-10-01

    The electrical characteristics of carbon nanotube (CNT) thin-film transistors (TFTs) strongly depend on the properties of the gate dielectric that is in direct contact with the semiconducting CNT channel materials. Here, we systematically investigated the dielectric effects on the electrical characteristics of fully printed semiconducting CNT-TFTs by introducing the organic dielectrics of poly(methyl methacrylate) (PMMA) and octadecyltrichlorosilane (OTS) to modify SiO2 dielectric. The results showed that the organic-modified SiO2 dielectric formed a favorable interface for the efficient charge transport in s-SWCNT-TFTs. Compared to single-layer SiO2 dielectric, the use of organic-inorganic hybrid bilayer dielectrics dramatically improved the performances of SWCNT-TFTs such as mobility, threshold voltage, hysteresis and on/off ratio due to the suppress of charge scattering, gate leakage current and charge trapping. The transport mechanism is related that the dielectric with few charge trapping provided efficient percolation pathways for charge carriers, while reduced the charge scattering. High density of charge traps which could directly act as physical transport barriers and significantly restrict the charge carrier transport and, thus, result in decreased mobile carriers and low device performance. Moreover, the gate leakage phenomenon is caused by conduction through charge traps. So, as a component of TFTs, the gate dielectric is of crucial importance to the manufacture of high quality TFTs from the aspects of affecting the gate leakage current and device operation voltage, as well as the charge carrier transport. Interestingly, the OTS-modified SiO2 allows to directly print horizontally aligned CNT film, and the corresponding devices exhibited a higher mobility than that of the devices with the hybrid PMMA/SiO2 dielectric although the thickness of OTS layer is only ˜2.5 nm. Our present result may provide key guidance for the further development of printed

  16. Solution processed flexible organic thin film back-gated transistors based on polyimide dielectric films

    NASA Astrophysics Data System (ADS)

    Park, Janghoon; Min, Yoonki; Lee, Dongjin

    2018-04-01

    An organic thin film back-gated transistor (OBGT) was fabricated and characterized. The gate electrode was printed on the back side of substrate, and the dielectric layer was omitted by substituting the dielectric layer with the polyimide (PI) film substrate. Roll-to-roll (R2R) gravure printing, doctor blading, and drop casting methods were used to fabricate the OBGT. The printed OBGT device shows better performance compared with an OTFT device based on dielectric layer of BaTiO3. Additionally, a calendering process enhanced the performance by a factor of 3 to 7 (mobility: 0.016 cm2/V.s, on/off ratio: 9.17×103). A bending test was conducted to confirm the flexibility and durability of the OBGT device. The results show the fabricated device endures 20000-cyclic motions. The realized OBGT device was successfully fabricated and working, which is meaningful for production engineering from the viewpoint of process development.

  17. Investigations into the impact of various substrates and ZnO ultra thin seed layers prepared by atomic layer deposition on growth of ZnO nanowire array

    PubMed Central

    2012-01-01

    The impact of various substrates and zinc oxide (ZnO) ultra thin seed layers prepared by atomic layer deposition on the geometric morphology of subsequent ZnO nanowire arrays (NWs) fabricated by the hydrothermal method was investigated. The investigated substrates included B-doped ZnO films, indium tin oxide films, single crystal silicon (111), and glass sheets. Scanning electron microscopy and X-ray diffraction measurements revealed that the geometry and aligment of the NWs were controlled by surface topography of the substrates and thickness of the ZnO seed layers, respectively. According to atomic force microscopy data, we suggest that the substrate, fluctuate amplitude and fluctuate frequency of roughness on ZnO seed layers have a great impact on the alignment of the resulting NWs, whereas the influence of the seed layers' texture was negligible. PMID:22759838

  18. Balancing Hole and Electron Conduction in Ambipolar Split-Gate Thin-Film Transistors.

    PubMed

    Yoo, Hocheon; Ghittorelli, Matteo; Lee, Dong-Kyu; Smits, Edsger C P; Gelinck, Gerwin H; Ahn, Hyungju; Lee, Han-Koo; Torricelli, Fabrizio; Kim, Jae-Joon

    2017-07-10

    Complementary organic electronics is a key enabling technology for the development of new applications including smart ubiquitous sensors, wearable electronics, and healthcare devices. High-performance, high-functionality and reliable complementary circuits require n- and p-type thin-film transistors with balanced characteristics. Recent advancements in ambipolar organic transistors in terms of semiconductor and device engineering demonstrate the great potential of this route but, unfortunately, the actual development of ambipolar organic complementary electronics is currently hampered by the uneven electron (n-type) and hole (p-type) conduction in ambipolar organic transistors. Here we show ambipolar organic thin-film transistors with balanced n-type and p-type operation. By manipulating air exposure and vacuum annealing conditions, we show that well-balanced electron and hole transport properties can be easily obtained. The method is used to control hole and electron conductions in split-gate transistors based on a solution-processed donor-acceptor semiconducting polymer. Complementary logic inverters with balanced charging and discharging characteristics are demonstrated. These findings may open up new opportunities for the rational design of complementary electronics based on ambipolar organic transistors.

  19. Consequence of oxidant concentration on XPS properties of chemically synthesized polythiophene thin films

    NASA Astrophysics Data System (ADS)

    Kamat, Sandip V.; Chhabra, Jasvinder; Patil, V. S.; Yadav, J. B.; Puri, R. K.; Puri, Vijaya

    2018-05-01

    The polythiophene thin films were prepared by a wellknown chemical bath deposition technique. The deposited thin films were characterized for structural morphological properties and the adhesion of these thin films were measured by direct pull off (DPO) method, the effect of oxidant concentration on these thin films also studied. The FTIR spectra of chemically deposited polythiophene thin films shows the absorption peak at 836 cm-1 which represents c-s stretching vibrations, shifts to 869 cm-1 as the oxidant concentration increases. The band at 666 cm-1 representing c-s-c ring deformation becomes sharper and appears with a shoulder peak due to increase in oxidant concentration.

  20. Polymer-electrolyte-gated nanowire synaptic transistors for neuromorphic applications

    NASA Astrophysics Data System (ADS)

    Zou, Can; Sun, Jia; Gou, Guangyang; Kong, Ling-An; Qian, Chuan; Dai, Guozhang; Yang, Junliang; Guo, Guang-hua

    2017-09-01

    Polymer-electrolytes are formed by dissolving a salt in polymer instead of water, the conducting mechanism involves the segmental motion-assisted diffusion of ion in the polymer matrix. Here, we report on the fabrication of tin oxide (SnO2) nanowire synaptic transistors using polymer-electrolyte gating. A thin layer of poly(ethylene oxide) and lithium perchlorate (PEO/LiClO4) was deposited on top of the devices, which was used to boost device performances. A voltage spike applied on the in-plane gate attracts ions toward the polymer-electrolyte/SnO2 nanowire interface and the ions are gradually returned after the pulse is removed, which can induce a dynamic excitatory postsynaptic current in the nanowire channel. The SnO2 synaptic transistors exhibit the behavior of short-term plasticity like the paired-pulse facilitation and self-adaptation, which is related to the electric double-effect regulation. In addition, the synaptic logic functions and the logical function transformation are also discussed. Such single SnO2 nanowire-based synaptic transistors are of great importance for future neuromorphic devices.

  1. Intrinsic hydrophilic nature of epitaxial thin-film of rare-earth oxide grown by pulsed laser deposition.

    PubMed

    Prakash, Saurav; Ghosh, Siddhartha; Patra, Abhijeet; Annamalai, Meenakshi; Motapothula, Mallikarjuna Rao; Sarkar, Soumya; Tan, Sherman J R; Zhunan, Jia; Loh, Kian Ping; Venkatesan, T

    2018-02-15

    Herein, we report a systematic study of water contact angle (WCA) of rare-earth oxide thin-films. These ultra-smooth and epitaxial thin-films were grown using pulsed laser deposition and then characterized using X-Ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS), and atomic force microscopy (AFM). Through both the traditional sessile drop and the novel f-d method, we found that the films were intrinsically hydrophilic (WCA < 10°) just after being removed from the growth chamber, but their WCAs evolved with an exposure to the atmosphere with time to reach their eventual saturation values near 90° (but always stay 'technically' hydrophilic). X-Ray photoelectron spectroscopy analysis was used to further investigate qualitatively the nature of hydrocarbon contamination on the freshly prepared as well as the environmentally exposed REO thin-film samples as a function of the exposure time after they were removed from the deposition chamber. A clear correlation between the carbon coverage of the surface and the increase in WCA was observed for all of the rare-earth films, indicating the extrinsic nature of the surface wetting properties of these films and having no relation to the electronic configuration of the rare-earth atoms as proposed by Azimi et al.

  2. Lanthanum aluminum oxide thin-film dielectrics from aqueous solution.

    PubMed

    Plassmeyer, Paul N; Archila, Kevin; Wager, John F; Page, Catherine J

    2015-01-28

    Amorphous LaAlO3 dielectric thin films were fabricated via solution processing from inorganic nitrate precursors. Precursor solutions contained soluble oligomeric metal-hydroxyl and/or -oxo species as evidenced by dynamic light scattering (DLS) and Raman spectroscopy. Thin-film formation was characterized as a function of annealing temperature using Fourier transform infrared (FTIR), X-ray diffraction (XRD), X-ray reflectivity (XRR), scanning electron microscopy (SEM), and an array of electrical measurements. Annealing temperatures ≥500 °C result in thin films with low leakage-current densities (∼1 × 10(-8) A·cm(-2)) and dielectric constants ranging from 11.0 to 11.5. When incorporated as the gate dielectric layer in a-IGZO thin-film transistors (TFTs), LaAlO3 thin films annealed at 600 °C in air yielded TFTs with relatively low average mobilities (∼4.5 cm(2)·V(-1)·s(-1)) and high turn-on voltages (∼26 V). Interestingly, reannealing the LaAlO3 in 5%H2/95%N2 at 300 °C before deposition of a-IGZO channel layers resulted in TFTs with increased average mobilities (11.1 cm(2)·V(-1)·s(-1)) and lower turn-on voltages (∼6 V).

  3. A study on the high temperature-dependence of the electrical properties in a solution-deposited zinc-tin-oxide thin-film transistor operated in the saturation region

    NASA Astrophysics Data System (ADS)

    Yu, Kyeong Min; Bae, Byung Seong; Jung, Myunghee; Yun, Eui-Jung

    2016-06-01

    We investigate the effects of high temperatures in the range of 292 - 393 K on the electrical properties of solution-processed amorphous zinc-tin-oxide (a-ZTO) thin-film transistors (TFTs) operated in the saturation region. The fabricated a-ZTO TFTs have a non-patterned bottom gate and top contact structure, and they use a heavily-doped Si wafer and SiO2 as a gate electrode and a gate insulator layer, respectively. In a-ZTO TFTs, the trap release energy ( E TR ) was deduced by using Maxwell-Boltzmann statistics. The decreasing E TR toward zero with increasing gate voltage (the density of trap states ( n s )) in the a-ZTO active layer can be attributed to a shift of the Fermi level toward the mobility edge with increasing gate voltage. The TFTs with low gate voltage (low n s ) exhibit multiple trap and release characteristics and show thermally-activated behavior. In TFTs with a high gate voltage (high n s ), however, we observe decreasing mobility and conductivity with increasing temperature at temperatures ranging from 303 to 363 K. This confirms that the E TR can drop to zero, indicating a shift of the Fermi level beyond the mobility edge. Hence, the mobility edge is detected at the cusp between thermally-activated transport and band transport.

  4. Effect of thin oxide layers incorporated in spin valve structures

    NASA Astrophysics Data System (ADS)

    Gillies, M. F.; Kuiper, A. E. T.; Leibbrandt, G. W. R.

    2001-06-01

    The enhancement of the magnetoresistance effect, induced by incorporating nano-oxide layers (NOLs) in a bottom-type spin valve, was studied for various preparation conditions. The effect of a NOL in the Co90Fe10 pinned layer was found to depend critically on the oxygen pressure applied to form the thin oxide film. Pressures over 10-3 Torr O2 yield oxides thicker than about 0.7 nm, which apparently deteriorate the biasing field which exists over the oxide. The magnetoresistance values can further be raised by forming a specular reflecting oxide on top of the sense layer. Promising results were obtained with an Al2O3 capping layer formed in a solid-state oxidation reaction that occurs spontaneously when a thin Al layer is deposited on the oxidized surface of the Co90Fe10 sense layer.

  5. Low-cost label-free electrical detection of artificial DNA nanostructures using solution-processed oxide thin-film transistors.

    PubMed

    Kim, Si Joon; Jung, Joohye; Lee, Keun Woo; Yoon, Doo Hyun; Jung, Tae Soo; Dugasani, Sreekantha Reddy; Park, Sung Ha; Kim, Hyun Jae

    2013-11-13

    A high-sensitivity, label-free method for detecting deoxyribonucleic acid (DNA) using solution-processed oxide thin-film transistors (TFTs) was developed. Double-crossover (DX) DNA nanostructures with different concentrations of divalent Cu ion (Cu(2+)) were immobilized on an In-Ga-Zn-O (IGZO) back-channel surface, which changed the electrical performance of the IGZO TFTs. The detection mechanism of the IGZO TFT-based DNA biosensor is attributed to electron trapping and electrostatic interactions caused by negatively charged phosphate groups on the DNA backbone. Furthermore, Cu(2+) in DX DNA nanostructures generates a current path when a gate bias is applied. The direct effect on the electrical response implies that solution-processed IGZO TFTs could be used to realize low-cost and high-sensitivity DNA biosensors.

  6. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    PubMed

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  7. Ultra-thin narrow-band, complementary narrow-band, and dual-band metamaterial absorbers for applications in the THz regime

    NASA Astrophysics Data System (ADS)

    Astorino, Maria Denise; Frezza, Fabrizio; Tedeschi, Nicola

    2017-02-01

    In this paper, ultra-thin narrow-band, complementary narrow-band, and dual-band metamaterial absorbers (MMAs), exploiting the same electric ring resonator configuration, are investigated at normal and oblique incidence for both transverse electric (TE) and transverse magnetic (TM) polarizations, and with different physical properties in the THz regime. In the analysis of the ultra-thin narrow-band MMA, the limit of applicability of the transmission line model has been overcome with the introduction of a capacitance which considers the z component of the electric field. These absorbing structures have shown a wide angular response and a polarization-insensitive behavior due to the introduction of a conducting ground plane and to the four-fold rotational symmetry of the resonant elements around the propagation axis. We have adopted a retrieval procedure to extract the effective electromagnetic parameters of the proposed MMAs and we have compared the simulated and analytical results through the interference theory.

  8. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    PubMed

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  9. Leakage current suppression with a combination of planarized gate and overlap/off-set structure in metal-induced laterally crystallized polycrystalline-silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Chae, Hee Jae; Seok, Ki Hwan; Lee, Sol Kyu; Joo, Seung Ki

    2018-04-01

    A novel inverted staggered metal-induced laterally crystallized (MILC) polycrystalline-silicon (poly-Si) thin-film transistors (TFTs) with a combination of a planarized gate and an overlap/off-set at the source-gate/drain-gate structure were fabricated and characterized. While the MILC process is advantageous for fabricating inverted staggered poly-Si TFTs, MILC TFTs reveal higher leakage current than TFTs crystallized by other processes due to their high trap density of Ni contamination. Due to this drawback, the planarized gate and overlap/off-set structure were applied to inverted staggered MILC TFTs. The proposed device shows drastic suppression of leakage current and pinning phenomenon by reducing the lateral electric field and the space-charge limited current from the gate to the drain.

  10. DIFMOS - A floating-gate electrically erasable nonvolatile semiconductor memory technology. [Dual Injector Floating-gate MOS

    NASA Technical Reports Server (NTRS)

    Gosney, W. M.

    1977-01-01

    Electrically alterable read-only memories (EAROM's) or reprogrammable read-only memories (RPROM's) can be fabricated using a single-level metal-gate p-channel MOS technology with all conventional processing steps. Given the acronym DIFMOS for dual-injector floating-gate MOS, this technology utilizes the floating-gate technique for nonvolatile storage of data. Avalanche injection of hot electrons through gate oxide from a special injector diode in each bit is used to charge the floating gates. A second injector structure included in each bit permits discharge of the floating gate by avalanche injection of holes through gate oxide. The overall design of the DIFMOS bit is dictated by the physical considerations required for each of the avalanche injector types. The end result is a circuit technology which can provide fully decoded bit-erasable EAROM-type circuits using conventional manufacturing techniques.

  11. High-efficiency, broad-band and wide-angle optical absorption in ultra-thin organic photovoltaic devices.

    PubMed

    Wang, Wenyan; Hao, Yuying; Cui, Yanxia; Tian, Ximin; Zhang, Ye; Wang, Hua; Shi, Fang; Wei, Bin; Huang, Wei

    2014-03-10

    Metal nanogratings as one of the promising architectures for effective light trapping in organic photovoltaics (OPVs) have been actively studied over the past decade. Here we designed a novel metal nanowall grating with ultra-small period and ultra-high aspect-ratio as the back electrode of the OPV device. Such grating results in the strong hot spot effect in-between the neighboring nanowalls and the localized surface plasmon effect at the corners of nanowalls. These combined effects make the integrated absorption efficiency of light over the wavelength range from 400 to 650 nm in the active layer for the proposed structure, with respect to the equivalent planar structure, increases by 102% at TM polarization and by 36.5% at the TM/TE hybrid polarization, respectively. Moreover, it is noted that the hot spot effect in the proposed structure is more effective for ultra-thin active layers, which is very favorable for the exciton dissociation and charge collection. Therefore such a nanowall grating is expected to improve the overall performance of OPV devices.

  12. Flexible Ultra Moisture Barrier Film for Thin-Film Photovoltaic Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    David M. Dean

    2012-10-30

    Flexible Thin-film photovoltaic (TFPV) is a low cost alternative to incumbent c-Si PV products as it requires less volume of costly semiconductor materials and it can potentially reduce installation cost. Among the TFPV options, copper indium gallium diselenide (CIGS) has the highest efficiency and is believed to be one of the most attractive candidates to achieve PV cost reduction. However, CIGS cells are very moisture sensitive and require module water vapor transmission rate (WVTR) of less than 1x10-4 gram of water per square meter per day (g-H2O/m2/day). Successful development and commercialization of flexible transparent ultra moisture barrier film is themore » key to enable flexible CIGS TFPV products, and thus enable ultimate PV cost reduction. At DuPont, we have demonstrated at lab scale that we can successfully make polymer-based flexible transparent ultra moisture barrier film by depositing alumina on polymer films using atomic layer deposition (ALD) technology. The layer by layer ALD approach results in uniform and amorphous structure which effectively reduces pinhole density of the inorganic coating on the polymer, and thus allow the fabrication of flexible barrier film with WVTR of 10-5 g-H2O/m2/day. Currently ALD is a time-consuming process suitable only for high-value, relatively small substrates. To successfully commercialize the ALD-on-plastic technology for the PV industry, there is the need to scale up this technology and improve throughput. The goal of this contract work was to build a prototype demonstrating that the ALD technology could be scaled-up for commercial use. Unfortunately, the prototype failed to produce an ultra-barrier film by the close of the project.« less

  13. Using a Floating-Gate MOS Transistor as a Transducer in a MEMS Gas Sensing System

    PubMed Central

    Barranca, Mario Alfredo Reyes; Mendoza-Acevedo, Salvador; Flores-Nava, Luis M.; Avila-García, Alejandro; Vazquez-Acosta, E. N.; Moreno-Cadenas, José Antonio; Casados-Cruz, Gaspar

    2010-01-01

    Floating-gate MOS transistors have been widely used in diverse analog and digital applications. One of these is as a charge sensitive device in sensors for pH measurement in solutions or using gates with metals like Pd or Pt for hydrogen sensing. Efforts are being made to monolithically integrate sensors together with controlling and signal processing electronics using standard technologies. This can be achieved with the demonstrated compatibility between available CMOS technology and MEMS technology. In this paper an in-depth analysis is done regarding the reliability of floating-gate MOS transistors when charge produced by a chemical reaction between metallic oxide thin films with either reducing or oxidizing gases is present. These chemical reactions need temperatures around 200 °C or higher to take place, so thermal insulation of the sensing area must be assured for appropriate operation of the electronics at room temperature. The operation principle of the proposal here presented is confirmed by connecting the gate of a conventional MOS transistor in series with a Fe2O3 layer. It is shown that an electrochemical potential is present on the ferrite layer when reacting with propane. PMID:22163478

  14. Numerical experiments on evaporation and explosive boiling of ultra-thin liquid argon film on aluminum nanostructure substrate

    NASA Astrophysics Data System (ADS)

    Wang, Weidong; Zhang, Haiyan; Tian, Conghui; Meng, Xiaojie

    2015-04-01

    Evaporation and explosive boiling of ultra-thin liquid film are of great significant fundamental importance for both science and engineering applications. The evaporation and explosive boiling of ultra-thin liquid film absorbed on an aluminum nanostructure solid wall are investigated by means of molecular dynamics simulations. The simulated system consists of three regions: liquid argon, vapor argon, and an aluminum substrate decorated with nanostructures of different heights. Those simulations begin with an initial configuration for the complex liquid-vapor-solid system, followed by an equilibrating system at 90 K, and conclude with two different jump temperatures, including 150 and 310 K which are far beyond the critical temperature. The space and time dependences of temperature, pressure, density number, and net evaporation rate are monitored to investigate the phase transition process on a flat surface with and without nanostructures. The simulation results reveal that the nanostructures are of great help to raise the heat transfer efficiency and that evaporation rate increases with the nanostructures' height in a certain range.

  15. Numerical experiments on evaporation and explosive boiling of ultra-thin liquid argon film on aluminum nanostructure substrate.

    PubMed

    Wang, Weidong; Zhang, Haiyan; Tian, Conghui; Meng, Xiaojie

    2015-01-01

    Evaporation and explosive boiling of ultra-thin liquid film are of great significant fundamental importance for both science and engineering applications. The evaporation and explosive boiling of ultra-thin liquid film absorbed on an aluminum nanostructure solid wall are investigated by means of molecular dynamics simulations. The simulated system consists of three regions: liquid argon, vapor argon, and an aluminum substrate decorated with nanostructures of different heights. Those simulations begin with an initial configuration for the complex liquid-vapor-solid system, followed by an equilibrating system at 90 K, and conclude with two different jump temperatures, including 150 and 310 K which are far beyond the critical temperature. The space and time dependences of temperature, pressure, density number, and net evaporation rate are monitored to investigate the phase transition process on a flat surface with and without nanostructures. The simulation results reveal that the nanostructures are of great help to raise the heat transfer efficiency and that evaporation rate increases with the nanostructures' height in a certain range.

  16. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    NASA Astrophysics Data System (ADS)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  17. Intrinsic radiation tolerance of ultra-thin GaAs solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hirst, L. C.; Yakes, M. K.; Warner, J. H.

    2016-07-18

    Radiation tolerance is a critical performance criterion of photovoltaic devices for space power applications. In this paper we demonstrate the intrinsic radiation tolerance of an ultra-thin solar cell geometry. Device characteristics of GaAs solar cells with absorber layer thicknesses 80 nm and 800 nm were compared before and after 3 MeV proton irradiation. Both cells showed a similar degradation in V{sub oc} with increasing fluence; however, the 80 nm cell showed no degradation in I{sub sc} for fluences up to 10{sup 14 }p{sup +} cm{sup −2}. For the same exposure, the I{sub sc} of the 800 nm cell had severely degraded leaving a remaining factor ofmore » 0.26.« less

  18. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  19. Performance enhancement in organic photovoltaic solar cells using iridium (Ir) ultra-thin surface modifier (USM)

    NASA Astrophysics Data System (ADS)

    Pandey, Rina; Lim, Ju Won; Kim, Jung Hyuk; Angadi, Basavaraj; Choi, Ji Won; Choi, Won Kook

    2018-06-01

    In this study, Iridium (Ir) metallic layer as an ultra-thin surface modifier (USM) was deposited on ITO coated glass substrate using radio frequency magnetron sputtering for improving the photo-conversion efficiency of organic photovoltaic cells. Ultra-thin Ir acts as a surface modifier replacing the conventional hole transport layer (HTL) PEDOT:PSS in organic photovoltaic (OPV) cells with two different active layers P3HT:PC60BM and PTB7:PC70BM. The Ir USM (1.0 nm) coated on ITO glass substrate showed transmittance of 84.1% and work function of >5.0 eV, which is higher than that of ITO (4.5-4.7 eV). The OPV cells with Ir USM (1.0 nm) exhibits increased power conversion efficiency of 3.70% (for P3HT:PC60BM active layer) and 7.28% (for PTB7:PC70BM active layer) under 100 mW/cm2 illumination (AM 1.5G) which are higher than those of 3.26% and 6.95% for the same OPV cells but with PEDOT:PSS as HTL instead of Ir USM. The results reveal that the chemically stable Ir USM layer could be used as an alternative material for PEDOT:PSS in organic photovoltaic cells.

  20. Measuring thermal conductivity of thin films and coatings with the ultra-fast transient hot-strip technique

    NASA Astrophysics Data System (ADS)

    Belkerk, B. E.; Soussou, M. A.; Carette, M.; Djouadi, M. A.; Scudeller, Y.

    2012-07-01

    This paper reports the ultra-fast transient hot-strip (THS) technique for determining the thermal conductivity of thin films and coatings of materials on substrates. The film thicknesses can vary between 10 nm and more than 10 µm. Precise measurement of thermal conductivity was performed with an experimental device generating ultra-short electrical pulses, and subsequent temperature increases were electrically measured on nanosecond and microsecond time scales. The electrical pulses were applied within metallized micro-strips patterned on the sample films and the temperature increases were analysed within time periods selected in the window [100 ns-10 µs]. The thermal conductivity of the films was extracted from the time-dependent thermal impedance of the samples derived from a three-dimensional heat diffusion model. The technique is described and its performance demonstrated on different materials covering a large thermal conductivity range. Experiments were carried out on bulk Si and thin films of amorphous SiO2 and crystallized aluminum nitride (AlN). The present approach can assess film thermal resistances as low as 10-8 K m2 W-1 with a precision of about 10%. This has never been attained before with the THS technique.

  1. Molecular dynamics simulations of disjoining pressure effects in ultra-thin water films on a metal surface

    NASA Astrophysics Data System (ADS)

    Hu, Han; Sun, Ying

    2013-11-01

    Disjoining pressure, the excess pressure in an ultra-thin liquid film as a result of van der Waals interactions, is important in lubrication, wetting, flow boiling, and thin film evaporation. The classic theory of disjoining pressure is developed for simple monoatomic liquids. However, real world applications often utilize water, a polar liquid, for which fundamental understanding of disjoining pressure is lacking. In the present study, molecular dynamics (MD) simulations are used to gain insights into the effect of disjoining pressure in a water thin film. Our MD models were firstly validated against Derjaguin's experiments on gold-gold interactions across a water film and then verified against disjoining pressure in an argon thin film using the Lennard-Jones potential. Next, a water thin film adsorbed on a gold surface was simulated to examine the change of vapor pressure with film thickness. The results agree well with the classic theory of disjoining pressure, which implies that the polar nature of water molecules does not play an important role. Finally, the effects of disjoining pressure on thin film evaporation in nanoporous membrane and on bubble nucleation are discussed.

  2. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties.

    PubMed

    Dey, Arjun; Nayak, Manish Kumar; Esther, A Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A K; Bera, Parthasarathi; Barshilia, Harish C; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D Raghavendra; Sridhara, N; Sharma, Anand Kumar

    2016-11-17

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21-475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V 2 O 5 , V 2 O 3 and VO 2 along with MoO 3 . Reversible or smart transition was found to occur just above the room temperature i.e., at ~45-50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10 -5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films.

  3. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties

    NASA Astrophysics Data System (ADS)

    Dey, Arjun; Nayak, Manish Kumar; Esther, A. Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A. K.; Bera, Parthasarathi; Barshilia, Harish C.; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D. Raghavendra; Sridhara, N.; Sharma, Anand Kumar

    2016-11-01

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21-475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V2O5, V2O3 and VO2 along with MoO3. Reversible or smart transition was found to occur just above the room temperature i.e., at ~45-50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10-5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films.

  4. Nanocolumnar Crystalline Vanadium Oxide-Molybdenum Oxide Antireflective Smart Thin Films with Superior Nanomechanical Properties

    PubMed Central

    Dey, Arjun; Nayak, Manish Kumar; Esther, A. Carmel Mary; Pradeepkumar, Maurya Sandeep; Porwal, Deeksha; Gupta, A. K.; Bera, Parthasarathi; Barshilia, Harish C.; Mukhopadhyay, Anoop Kumar; Pandey, Ajoy Kumar; Khan, Kallol; Bhattacharya, Manjima; Kumar, D. Raghavendra; Sridhara, N.; Sharma, Anand Kumar

    2016-01-01

    Vanadium oxide-molybdenum oxide (VO-MO) thin (21–475 nm) films were grown on quartz and silicon substrates by pulsed RF magnetron sputtering technique by altering the RF power from 100 to 600 W. Crystalline VO-MO thin films showed the mixed phases of vanadium oxides e.g., V2O5, V2O3 and VO2 along with MoO3. Reversible or smart transition was found to occur just above the room temperature i.e., at ~45–50 °C. The VO-MO films deposited on quartz showed a gradual decrease in transmittance with increase in film thickness. But, the VO-MO films on silicon exhibited reflectance that was significantly lower than that of the substrate. Further, the effect of low temperature (i.e., 100 °C) vacuum (10−5 mbar) annealing on optical properties e.g., solar absorptance, transmittance and reflectance as well as the optical constants e.g., optical band gap, refractive index and extinction coefficient were studied. Sheet resistance, oxidation state and nanomechanical properties e.g., nanohardness and elastic modulus of the VO-MO thin films were also investigated in as-deposited condition as well as after the vacuum annealing treatment. Finally, the combination of the nanoindentation technique and the finite element modeling (FEM) was employed to investigate yield stress and von Mises stress distribution of the VO-MO thin films. PMID:27853234

  5. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors.

    PubMed

    Zhang, Xue; Lee, Hyeonju; Kwon, Jung-Hyok; Kim, Eui-Jik; Park, Jaehoon

    2017-07-31

    We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance.

  6. Highly reliable top-gated thin-film transistor memory with semiconducting, tunneling, charge-trapping, and blocking layers all of flexible polymers.

    PubMed

    Wang, Wei; Hwang, Sun Kak; Kim, Kang Lib; Lee, Ju Han; Cho, Suk Man; Park, Cheolmin

    2015-05-27

    The core components of a floating-gate organic thin-film transistor nonvolatile memory (OTFT-NVM) include the semiconducting channel layer, tunneling layer, floating-gate layer, and blocking layer, besides three terminal electrodes. In this study, we demonstrated OTFT-NVMs with all four constituent layers made of polymers based on consecutive spin-coating. Ambipolar charges injected and trapped in a polymer electret charge-controlling layer upon gate program and erase field successfully allowed for reliable bistable channel current levels at zero gate voltage. We have observed that the memory performance, in particular the reliability of a device, significantly depends upon the thickness of both blocking and tunneling layers, and with an optimized layer thickness and materials selection, our device exhibits a memory window of 15.4 V, on/off current ratio of 2 × 10(4), read and write endurance cycles over 100, and time-dependent data retention of 10(8) s, even when fabricated on a mechanically flexible plastic substrate.

  7. "Un-annealed and Annealed Pd Ultra-Thin Film on SiC Characterized by Scanning Probe Microscopy and X-ray Photoelectron Spectroscopy"

    NASA Technical Reports Server (NTRS)

    Lu, W. J.; Shi, D. T.; Elshot, K.; Bryant, E.; Lafate, K.; Chen, H.; Burger, A.; Collins, W. E.

    1998-01-01

    Pd/SiC has been used as a hydrogen and a hydrocarbon gas sensor operated at high temperature. UHV (Ultra High Vacuum)-Scanning Tunneling Microscopy (STM), Atomic Force Microscopy (AFM) and X-ray Photoelectron Spectroscopy (XPS) techniques were applied to study the relationship between the morphology and chemical compositions for Pd ultra-thin films on SiC (less than 30 angstroms) at different annealing temperatures. Pd ultra-thin film on 6H-SiC was prepared by the RF sputtering method. The morphology from UHV-STM and AFM shows that the Pd thin film was well deposited on SiC substrate, and the Pd was partially aggregated to round shaped participates at an annealing temperature of 300 C. At 400 C, the amount of surface participates decreases, and some strap shape participates appear. From XPS, Pd2Si was formed on the surface after annealing at 300 C, and all Pd reacted with SiC to form Pd2Si after annealing at 400 C. The intensity of the XPS Pd peak decreases enormously at 400 C. The Pd film diffused into SiC, and the Schottky barrier height has almost no changes. The work shows the Pd sicilides/SiC have the same electronic properties with Pd/SiC, and explains why the Pd/SiC sensor still responds to hydrogen at high operating temperatures.

  8. Metal Oxide Thin Film Transistors on Paper Substrate: Fabrication, Characterization, and Printing Process

    NASA Astrophysics Data System (ADS)

    Choi, Nack-Bong

    Flexible electronics is an emerging next-generation technology that offers many advantages such as light weight, durability, comfort, and flexibility. These unique features enable many new applications such as flexible display, flexible sensors, conformable electronics, and so forth. For decades, a variety of flexible substrates have been demonstrated for the application of flexible electronics. Most of them are plastic films and metal foils so far. For the fundamental device of flexible circuits, thin film transistors (TFTs) using poly silicon, amorphous silicon, metal oxide and organic semiconductor have been successfully demonstrated. Depending on application, low-cost and disposable flexible electronics will be required for convenience. Therefore it is important to study inexpensive substrates and to explore simple processes such as printing technology. In this thesis, paper is introduced as a new possible substrate for flexible electronics due to its low-cost and renewable property, and amorphous indium gallium zinc oxide (a-IGZO) TFTs are realized as the promising device on the paper substrate. The fabrication process and characterization of a-IGZO TFT on the paper substrate are discussed. a-IGZO TFTs using a polymer gate dielectric on the paper substrate demonstrate excellent performances with field effect mobility of ˜20 cm2 V-1 s-1, on/off current ratio of ˜106, and low leakage current, which show the enormous potential for flexible electronics application. In order to complement the n-channel a-IGZO TFTs and then enable complementary metal-oxide semiconductor (CMOS) circuit architectures, cuprous oxide is studied as a candidate material of p-channel oxide TFTs. In this thesis, a printing process is investigated as an alternative method for the fabrication of low-cost and disposable electronics. Among several printing methods, a modified offset roll printing that prints high resolution patterns is presented. A new method to fabricate a high resolution

  9. Off-line wafer level reliability control: unique measurement method to monitor the lifetime indicator of gate oxide validated within bipolar/CMOS/DMOS technology

    NASA Astrophysics Data System (ADS)

    Gagnard, Xavier; Bonnaud, Olivier

    2000-08-01

    We have recently published a paper on a new rapid method for the determination of the lifetime of the gate oxide involved in a Bipolar/CMOS/DMOS technology (BCD). Because this previous method was based on a current measurement with gate voltage as a parameter needing several stress voltages, it was applied only by lot sampling. Thus, we tried to find an indicator in order to monitor the gate oxide lifetime during the wafer level parametric test and involving only one measurement of the device on each wafer test cell. Using the Weibull law and Crook model, combined with our recent model, we have developed a new test method needing only one electrical measurement of MOS capacitor to monitor the quality of the gate oxide. Based also on a current measurement, the parameter is the lifetime indicator of the gate oxide. From the analysis of several wafers, we gave evidence of the possibility to detect a low performance wafer, which corresponds to the infantile failure on the Weibull plot. In order to insert this new method in the BCD parametric program, a parametric flowchart was established. This type of measurement is an important challenges, because the actual measurements, breakdown charge, Qbd, and breakdown electric field, Ebd, at parametric level and Ebd and interface states density, Dit during the process cannot guarantee the gate oxide lifetime all along fabrication process. This indicator measurement is the only one, which predicts the lifetime decrease.

  10. An in-depth analysis of temperature effect on DIBL in UTBB FD SOI MOSFETs based on experimental data, numerical simulations and analytical models

    NASA Astrophysics Data System (ADS)

    Pereira, A. S. N.; de Streel, G.; Planes, N.; Haond, M.; Giacomini, R.; Flandre, D.; Kilchytska, V.

    2017-02-01

    The Drain Induced Barrier Lowering (DIBL) behavior in Ultra-Thin Body and Buried oxide (UTBB) transistors is investigated in details in the temperature range up to 150 °C, for the first time to the best of our knowledge. The analysis is based on experimental data, physical device simulation, compact model (SPICE) simulation and previously published models. Contrary to MASTAR prediction, experiments reveal DIBL increase with temperature. Physical device simulations of different thin-film fully-depleted (FD) devices outline the generality of such behavior. SPICE simulations, with UTSOI DK2.4 model, only partially adhere to experimental trends. Several analytic models available in the literature are assessed for DIBL vs. temperature prediction. Although being the closest to experiments, Fasarakis' model overestimates DIBL(T) dependence for shortest devices and underestimates it for upsized gate lengths frequently used in ultra-low-voltage (ULV) applications. This model is improved in our work, by introducing a temperature-dependent inversion charge at threshold. The improved model shows very good agreement with experimental data, with high gain in precision for the gate lengths under test.

  11. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  12. Suppression of surface-originated gate lag by a dual-channel AlN/GaN high electron mobility transistor architecture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Scott Katzer, D.

    A dual-channel AlN/GaN high electron mobility transistor (HEMT) architecture is demonstrated that leverages ultra-thin epitaxial layers to suppress surface-related gate lag. Two high-density two-dimensional electron gas (2DEG) channels are utilized in an AlN/GaN/AlN/GaN heterostructure wherein the top 2DEG serves as a quasi-equipotential that screens potential fluctuations resulting from distributed surface and interface states. The bottom channel serves as the transistor's modulated channel. Dual-channel AlN/GaN heterostructures were grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. HEMTs fabricated with 300 nm long recessed gates demonstrated a gate lag ratio (GLR) of 0.88 with no degradation in drain currentmore » after bias stressed in subthreshold. These structures additionally achieved small signal metrics f{sub t}/f{sub max} of 27/46 GHz. These performance results are contrasted with the non-recessed gate dual-channel HEMT with a GLR of 0.74 and 82 mA/mm current collapse with f{sub t}/f{sub max} of 48/60 GHz.« less

  13. Ultra-Thin Dual-Band Polarization-Insensitive and Wide-Angle Perfect Metamaterial Absorber Based on a Single Circular Sector Resonator Structure

    NASA Astrophysics Data System (ADS)

    Luo, Hao; Cheng, Yong Zhi

    2018-01-01

    We present a simple design for an ultra-thin dual-band polarization-insensitive and wide-angle perfect metamaterial absorber (PMMA) based on a single circular sector resonator structure (CSRS). Both simulation and experimental results reveal that two resonance peaks with average absorption above 99% can be achieved. The dual-band PMMA is ultra-thin with total thickness of 0.5 mm, which is

  14. Ultra-violet absorption induced modifications in bulk and nanoscale electrical transport properties of Al-doped ZnO thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mohit; Basu, Tanmoy; Som, Tapobrata, E-mail: tsom@iopb.res.in

    Using conductive atomic force microscopy and Kelvin probe force microscopy, we study local electrical transport properties in aluminum-doped zinc oxide (ZnO:Al or AZO) thin films. Current mapping shows a spatial variation in conductivity which corroborates well with the local mapping of donor concentration (∼10{sup 20 }cm{sup −3}). In addition, a strong enhancement in the local current at grains is observed after exposing the film to ultra-violet (UV) light which is attributed to persistent photocurrent. Further, it is shown that UV absorption gives a smooth conduction in AZO film which in turn gives rise to an improvement in the bulk photoresponsivity ofmore » an n-AZO/p-Si heterojunction diode. This finding is in contrast to the belief that UV absorption in an AZO layer leads to an optical loss for the underneath absorbing layer of a heterojunction solar cell.« less

  15. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    NASA Astrophysics Data System (ADS)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  16. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks

    NASA Astrophysics Data System (ADS)

    Ritzenthaler, R.; Schram, T.; Bury, E.; Spessot, A.; Caillat, C.; Srividya, V.; Sebaai, F.; Mitard, J.; Ragnarsson, L.-Å.; Groeseneken, G.; Horiguchi, N.; Fazan, P.; Thean, A.

    2013-06-01

    In this work, the possibility of integration of High-k/Metal Gate (HKMG), Replacement Metal Gate (RMG) gate stacks for low power DRAM compatible transistors is studied. First, it is shown that RMG gate stacks used for Logic applications need to be seriously reconsidered, because of the additional anneal(s) needed in a DRAM process. New solutions are therefore developed. A PMOS stack HfO2/TiN with TiN deposited in three times combined with Work Function metal oxidations is demonstrated, featuring a very good Work Function of 4.95 eV. On the other hand, the NMOS side is shown to be a thornier problem to solve: a new solution based on the use of oxidized Ta as a diffusion barrier is proposed, and a HfO2/TiN/TaOX/TiAl/TiN/TiN gate stack featuring an aggressive Work Function of 4.35 eV (allowing a Work Function separation of 600 mV between NMOS and PMOS) is demonstrated. This work paves the way toward the integration of gate-last options for DRAM periphery transistors.

  17. Method for fabricating an ultra-low expansion mask blank having a crystalline silicon layer

    DOEpatents

    Cardinale, Gregory F.

    2002-01-01

    A method for fabricating masks for extreme ultraviolet lithography (EUVL) using Ultra-Low Expansion (ULE) substrates and crystalline silicon. ULE substrates are required for the necessary thermal management in EUVL mask blanks, and defect detection and classification have been obtained using crystalline silicon substrate materials. Thus, this method provides the advantages for both the ULE substrate and the crystalline silicon in an Extreme Ultra-Violet (EUV) mask blank. The method is carried out by bonding a crystalline silicon wafer or member to a ULE wafer or substrate and thinning the silicon to produce a 5-10 .mu.m thick crystalline silicon layer on the surface of the ULE substrate. The thinning of the crystalline silicon may be carried out, for example, by chemical mechanical polishing and if necessary or desired, oxidizing the silicon followed by etching to the desired thickness of the silicon.

  18. Performance investigation of bandgap, gate material work function and gate dielectric engineered TFET with device reliability improvement

    NASA Astrophysics Data System (ADS)

    Raad, Bhagwan Ram; Nigam, Kaushal; Sharma, Dheeraj; Kondekar, P. N.

    2016-06-01

    This script features a study of bandgap, gate material work function and gate dielectric engineering for enhancement of DC and Analog/RF performance, reduction in the hot carriers effect (HCEs) and drain induced barrier lowering (DIBL) for better device reliability. In this concern, the use of band gap and gate material work function engineering improves the device performance in terms of the ON-state current and suppressed ambipolar behaviour with maintaining the low OFF-state current. With these advantages, the use of gate material work function engineering imposes restriction on the high frequency performance due to increment in the parasitic capacitances and also introduces the hot carrier effects. Hence, the gate dielectric engineering with bandgap and gate material work function engineering are used in this paper to overcome the cons of the gate material work function engineering by obtaining a superior performance in terms of the current driving capability, ambipolar conduction, HCEs, DIBL and high frequency parameters of the device for ultra-low power applications. Finally, the optimization of length for different work function is performed to get the best out of this.

  19. Gate insulator effects on the electrical performance of ZnO thin film transistor on a polyethersulphone substrate.

    PubMed

    Lee, Jae-Kyu; Choi, Duck-Kyun

    2012-07-01

    Low temperature processing for fabrication of transistor backplane is a cost effective solution while fabrication on a flexible substrate offers a new opportunity in display business. Combination of both merits is evaluated in this investigation. In this study, the ZnO thin film transistor on a flexible Polyethersulphone (PES) substrate is fabricated using RF magnetron sputtering. Since the selection and design of compatible gate insulator is another important issue to improve the electrical properties of ZnO TFT, we have evaluated three gate insulator candidates; SiO2, SiNx and SiO2/SiNx. The SiO2 passivation on both sides of PES substrate prior to the deposition of ZnO layer was effective to enhance the mechanical and thermal stability. Among the fabricated devices, ZnO TFT employing SiNx/SiO2 stacked gate exhibited the best performance. The device parameters of interest are extracted and the on/off current ratio, field effect mobility, threshold voltage and subthreshold swing are 10(7), 22 cm2/Vs, 1.7 V and 0.4 V/decade, respectively.

  20. Anomalous bias-stress-induced unstable phenomena of InZnO thin-film transistors using Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Xu, Wangying; Dai, Mingzhi; Liang, Lingyan; Liu, Zhimin; Sun, Xilian; Wan, Qing; Cao, Hongtao

    2012-05-01

    InZnO thin-film transistors using high-κ Ta2O5 gate dielectric are presented and analysed. The large capacitance coupling effect of amorphous Ta2O5 results in fabricated devices with good electrical properties. However, an anomalous negative threshold voltage (Vth) shift under positive bias stress is observed. It is suggested that electron detrapping from the high-κ Ta2O5 dielectric to the gate electrode is responsible for this Vth shift, which is supported both by the logarithmical dependence of the Vth change on the duration of the bias stress and device simulation extracted trapped charges involved.

  1. Room-Temperature-Processed Flexible Amorphous InGaZnO Thin Film Transistor.

    PubMed

    Xiao, Xiang; Zhang, Letao; Shao, Yang; Zhou, Xiaoliang; He, Hongyu; Zhang, Shengdong

    2017-12-13

    A room-temperature flexible amorphous indium-gallium-zinc oxide thin film transistor (a-IGZO TFT) technology is developed on plastic substrates, in which both the gate dielectric and passivation layers of the TFTs are formed by an anodic oxidation (anodization) technique. While the gate dielectric Al 2 O 3 is grown with a conventional anodization on an Al:Nd gate electrode, the channel passivation layer Al 2 O 3 is formed using a localized anodization technique. The anodized Al 2 O 3 passivation layer shows a superior passivation effect to that of PECVD SiO 2 . The room-temperature-processed flexible a-IGZO TFT exhibits a field-effect mobility of 7.5 cm 2 /V·s, a subthreshold swing of 0.44 V/dec, an on-off ratio of 3.1 × 10 8 , and an acceptable gate-bias stability with threshold voltage shifts of 2.65 and -1.09 V under positive gate-bias stress and negative gate-bias stress, respectively. Bending and fatigue tests confirm that the flexible a-IGZO TFT also has a good mechanical reliability, with electrical performances remaining consistent up to a strain of 0.76% as well as after 1200 cycles of fatigue testing.

  2. Effects of vacuum rapid thermal annealing on the electrical characteristics of amorphous indium gallium zinc oxide thin films

    NASA Astrophysics Data System (ADS)

    Lee, Hyun-Woo; Cho, Won-Ju

    2018-01-01

    We investigated the effects of vacuum rapid thermal annealing (RTA) on the electrical characteristics of amorphous indium gallium zinc oxide (a-IGZO) thin films. The a-IGZO films deposited by radiofrequency sputtering were subjected to vacuum annealing under various temperature and pressure conditions with the RTA system. The carrier concentration was evaluated by Hall measurement; the electron concentration of the a-IGZO film increased and the resistivity decreased as the RTA temperature increased under vacuum conditions. In a-IGZO thin-film transistors (TFTs) with a bottom-gate top-contact structure, the threshold voltage decreased and the leakage current increased as the vacuum RTA temperature increased. As the annealing pressure decreased, the threshold voltage decreased, and the leakage current increased. X-ray photoelectron spectroscopy indicated changes in the lattice oxygen and oxygen vacancies of the a-IGZO films after vacuum RTA. At higher annealing temperatures, the lattice oxygen decreased and oxygen vacancies increased, which suggests that oxygen was diffused out in a reduced pressure atmosphere. The formation of oxygen vacancies increased the electron concentration, which consequently increased the conductivity of the a-IGZO films and reduced the threshold voltage of the TFTs. The results showed that the oxygen vacancies and electron concentrations of the a-IGZO thin films changed with the vacuum RTA conditions and that high-temperature RTA treatment at low pressure converted the IGZO thin film to a conductor.

  3. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-01

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  4. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors.

    PubMed

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-17

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  5. α,ω-dihexyl-sexithiophene thin films for solution-gated organic field-effect transistors

    NASA Astrophysics Data System (ADS)

    Schamoni, Hannah; Noever, Simon; Nickel, Bert; Stutzmann, Martin; Garrido, Jose A.

    2016-02-01

    While organic semiconductors are being widely investigated for chemical and biochemical sensing applications, major drawbacks such as the poor device stability and low charge carrier mobility in aqueous electrolytes have not yet been solved to complete satisfaction. In this work, solution-gated organic field-effect transistors (SGOFETs) based on the molecule α,ω-dihexyl-sexithiophene (DH6T) are presented as promising platforms for in-electrolyte sensing. Thin films of DH6T were investigated with regard to the influence of the substrate temperature during deposition on the grain size and structural order. The performance of SGOFETs can be improved by choosing suitable growth parameters that lead to a two-dimensional film morphology and a high degree of structural order. Furthermore, the capability of the SGOFETs to detect changes in the pH or ionic strength of the gate electrolyte is demonstrated and simulated. Finally, excellent transistor stability is confirmed by continuously operating the device over a period of several days, which is a consequence of the low threshold voltage of DH6T-based SGOFETs. Altogether, our results demonstrate the feasibility of high performance and highly stable organic semiconductor devices for chemical or biochemical applications.

  6. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  7. Plasmonic excitation-assisted optical and electric enhancement in ultra-thin solar cells: the influence of nano-strip cross section

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sabaeian, Mohammad, E-mail: sabaiean@scu.ac.ir; Heydari, Mehdi; Ajamgard, Narges

    The effects of Ag nano-strips with triangle, rectangular and trapezoid cross sections on the optical absorption, generation rate, and short-circuit current density of ultra-thin solar cells were investigated. By putting the nano-strips as a grating structure on the top of the solar cells, the waveguide, surface plasmon polariton (SPP), and localized surface plasmon (LSP) modes, which are excited with the assistance of nano-strips, were evaluated in TE and TM polarizations. The results show, firstly, the TM modes are more influential than TE modes in optical and electrical properties enhancement of solar cell, because of plasmonic excitations in TM mode. Secondly,more » the trapezoid nano-strips reveal noticeable impact on the optical absorption, generation rate, and short-circuit current density enhancement than triangle and rectangular ones. In particular, the absorption of long wavelengths which is a challenge in ultra-thin solar cells is significantly improved by using Ag trapezoid nano-strips.« less

  8. Deposition and characterization of vanadium oxide based thin films for MOS device applications

    NASA Astrophysics Data System (ADS)

    Rakshit, Abhishek; Biswas, Debaleen; Chakraborty, Supratic

    2018-04-01

    Vanadium Oxide films are deposited on Si (100) substrate by reactive RF-sputtering of a pure Vanadium metallic target in an Argon-Oxygen plasma environment. The ratio of partial pressures of Argon to Oxygen in the sputtering-chamber is varied by controlling their respective flow rates and the resultant oxide films are obtained. MOS Capacitor based devices are then fabricated using the deposited oxide films. High frequency Capacitance-Voltage (C-V) and gate current-gate voltage (I-V) measurements reveal a significant dependence of electrical characteristics of the deposited films on their sputtering deposition parameters mainly, the relative content of Argon/Oxygen in the plasma chamber. A noteworthy change in the electrical properties is observed for the films deposited under higher relative oxygen content in the plasma atmosphere. Our results show that reactive sputtering serves as an indispensable deposition-setup for fabricating vanadium oxide based MOS devices tailor-made for Non-Volatile Memory (NVM) applications.

  9. High- k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.

    PubMed

    Wang, Binghao; Huang, Wei; Chi, Lifeng; Al-Hashimi, Mohammed; Marks, Tobin J; Facchetti, Antonio

    2018-05-22

    Recent advances in flexible and stretchable electronics (FSE), a technology diverging from the conventional rigid silicon technology, have stimulated fundamental scientific and technological research efforts. FSE aims at enabling disruptive applications such as flexible displays, wearable sensors, printed RFID tags on packaging, electronics on skin/organs, and Internet-of-things as well as possibly reducing the cost of electronic device fabrication. Thus, the key materials components of electronics, the semiconductor, the dielectric, and the conductor as well as the passive (substrate, planarization, passivation, and encapsulation layers) must exhibit electrical performance and mechanical properties compatible with FSE components and products. In this review, we summarize and analyze recent advances in materials concepts as well as in thin-film fabrication techniques for high- k (or high-capacitance) gate dielectrics when integrated with FSE-compatible semiconductors such as organics, metal oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D semiconductors. Since thin-film transistors (TFTs) are the key enablers of FSE devices, we discuss TFT structures and operation mechanisms after a discussion on the needs and general requirements of gate dielectrics. Also, the advantages of high- k dielectrics over low- k ones in TFT applications were elaborated. Next, after presenting the design and properties of high- k polymers and inorganic, electrolyte, and hybrid dielectric families, we focus on the most important fabrication methodologies for their deposition as TFT gate dielectric thin films. Furthermore, we provide a detailed summary of recent progress in performance of FSE TFTs based on these high- k dielectrics, focusing primarily on emerging semiconductor types. Finally, we conclude with an outlook and challenges section.

  10. A manufacturable process integration approach for graphene devices

    NASA Astrophysics Data System (ADS)

    Vaziri, Sam; Lupina, Grzegorz; Paussa, Alan; Smith, Anderson D.; Henkel, Christoph; Lippert, Gunther; Dabrowski, Jarek; Mehr, Wolfgang; Östling, Mikael; Lemme, Max C.

    2013-06-01

    In this work, we propose an integration approach for double gate graphene field effect transistors. The approach includes a number of process steps that are key for future integration of graphene in microelectronics: bottom gates with ultra-thin (2 nm) high-quality thermally grown SiO2 dielectrics, shallow trench isolation between devices and atomic layer deposited Al2O3 top gate dielectrics. The complete process flow is demonstrated with fully functional GFET transistors and can be extended to wafer scale processing. We assess, through simulation, the effects of the quantum capacitance and band bending in the silicon substrate on the effective electric fields in the top and bottom gate oxide. The proposed process technology is suitable for other graphene-based devices such as graphene-based hot electron transistors and photodetectors.

  11. Connecting quantum dots and bionanoparticles in hybrid nanoscale ultra-thin films

    NASA Astrophysics Data System (ADS)

    Tangirala, Ravisubhash; Hu, Yunxia; Zhang, Qingling; He, Jinbo; Russell, Thomas; Emrick, Todd

    2008-03-01

    Aldehyde-functionalized CdSe quantum dots and nanorods, and horse spleen ferritin bionanoparticles, were co-assembled at an oil-water interface. Reaction of the aldehydes with the surface-available amines on the ferritin particles enabled cross-linking at the interface, converting the assembled nanoparticles into robust ultra-thin films. The cross-linked capsules and sheets thus made by aldehyde-amine conjugation could be disrupted by addition of acid. Reductive amination chemistry could be performed to convert these degradable capsules and sheets into structures with irreversible cross-linking. Fluorescence confocal microscopy, scanning force microscopy and pendant drop tensiometry were used to characterize these hybrid nanoparticle-based materials, and transmission electron microscopy (TEM) confirmed the presence of both the synthetic and naturally derived nanoparticles.

  12. Integration of Multi-Functional Oxide Thin Film Heterostructures with III-V Semiconductors

    NASA Astrophysics Data System (ADS)

    Rahman, Md. Shafiqur

    Integration of multi-functional oxide thin films with semiconductors has attracted considerable attention in recent years due to their potential applications in sensing and logic functionalities that can be incorporated in future system-on-a-chip devices. III-V semiconductor, for example, GaAs, have higher saturated electron velocity and mobility allowing transistors based on GaAs to operate at a much higher frequency with less noise compared to Si. In addition, because of its direct bandgap a number of efficient optical devices are possible and by oxide integrating with other III-V semiconductors the wavelengths can be made tunable through hetero-engineering of the bandgap. This study, based on the use of SrTiO3 (STO) films grown on GaAs (001) substrates by molecular beam epitaxy (MBE) as an intermediate buffer layer for the hetero-epitaxial growth of ferromagnetic La0.7Sr 0.3MnO3 (LSMO) and room temperature multiferroic BiFeO 3 (BFO) thin films and superlattice structures using pulsed laser deposition (PLD). The properties of the multilayer thin films in terms of growth modes, lattice spacing/strain, interface structures and texture were characterized by the in-situ reflection high energy electron diffraction (RHEED). The crystalline quality and chemical composition of the complex oxide heterostructures were investigated by a combination of X-ray diffraction (XRD) and X-ray photoelectron absorption spectroscopy (XPS). Surface morphology, piezo-response with domain structure, and ferroelectric switching observations were carried out on the thin film samples using a scanning probe microscope operated as a piezoresponse force microscopy (PFM) in the contact mode. The magnetization measurements with field cooling exhibit a surprising increment in magnetic moment with enhanced magnetic hysteresis squareness. This is the effect of exchange interaction between the antiferromagnetic BFO and the ferromagnetic LSMO at the interface. The integration of BFO materials with

  13. Ultra-small particles of iron oxide as peroxidase for immunohistochemical detection

    NASA Astrophysics Data System (ADS)

    Wu, Yihang; Song, Mengjie; Xin, Zhuang; Zhang, Xiaoqing; Zhang, Yu; Wang, Chunyu; Li, Suyi; Gu, Ning

    2011-06-01

    Dimercaptosuccinic acid (DMSA) modified ultra-small particles of iron oxide (USPIO) were synthesized through a two-step process. The first step: oleic acid (OA) capped Fe3O4 (OA-USPIO) were synthesized by a novel oxidation coprecipitation method in H2O/DMSO mixing system, where DMSO acts as an oxidant simultaneously. The second step: OA was replaced by DMSA to obtain water-soluble nanoparticles. The as-synthesized nanoparticles were characterized by TEM, FTIR, TGA, VSM, DLS, EDS and UV-vis. Hydrodynamic sizes and Peroxidase-like catalytic activity of the nanoparticles were investigated. The hydrodynamic sizes of the nanoparticles (around 24.4 nm) were well suited to developing stable nanoprobes for bio-detection. The kinetic studies were performed to quantitatively evaluate the catalytic ability of the peroxidase-like nanoparticles. The calculated kinetic parameters indicated that the DMSA-USPIO possesses high catalytic activity. Based on the high activity, immunohistochemical experiments were established: using low-cost nanoparticles as the enzyme instead of expensive HRP, Nimotuzumab was conjugated onto the surface of the nanoparticles to construct a kind of ultra-small nanoprobe which was employed to detect epidermal growth factor receptor (EGFR) over-expressed on the membrane of esophageal cancer cell. The proper sizes of the probes and the result of membranous immunohistochemical staining suggest that the probes can be served as a useful diagnostic reagent for bio-detection.

  14. Laser Radiation Pressure Acceleration of Monoenergetic Protons in an Ultra-Thin Foil

    NASA Astrophysics Data System (ADS)

    Eliasson, Bengt; Liu, Chuan S.; Shao, Xi; Sagdeev, Roald Z.; Shukla, Padma K.

    2009-11-01

    We present theoretical and numerical studies of the acceleration of monoenergetic protons in a double layer formed by the laser irradiation of an ultra-thin film. The stability of the foil is investigated by direct Vlasov-Maxwell simulations for different sets of laser-plasma parameters. It is found that the foil is stable, due to the trapping of both electrons and ions in the thin laser-plasma interaction region, where the electrons are trapped in a potential well composed of the ponderomo-tive potential of the laser light and the electrostatic potential due to the ions, and the ions are trapped in a potential well composed of the inertial potential in an accelerated frame and the electrostatic potential due to the electrons. The result is a stable double layer, where the trapped ions are accelerated to monoenergetic energies up to 100 MeV and beyond, which makes them suitable for medical applications cancer treatment. The underlying physics of trapped and untapped ions in a double layer is also investigated theoretically and numerically.

  15. Low-temperature spray-deposited indium oxide for flexible thin-film transistors and integrated circuits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Faber, Hendrik; Anthopoulos, Thomas D., E-mail: t.anthopoulos@imperial.ac.uk

    2015-03-02

    Indium oxide (In{sub 2}O{sub 3}) films were deposited by ultrasonic spray pyrolysis in ambient air and incorporated into bottom-gate coplanar and staggered thin-film transistors. As-fabricated devices exhibited electron-transporting characteristics with mobility values of 1 cm{sup 2}V{sup −1}s{sup −1} and 16 cm{sup 2}V{sup −1}s{sup −1} for coplanar and staggered architectures, respectively. Integration of In{sub 2}O{sub 3} transistors enabled realization of unipolar inverters with high gain (5.3 V/V) and low-voltage operation. The low temperature deposition (≤250 °C) of In{sub 2}O{sub 3} also allowed transistor fabrication on free-standing 50 μm-thick polyimide foils. The resulting flexible In{sub 2}O{sub 3} transistors exhibit good characteristics and remain fully functional even whenmore » bent to tensile radii of 4 mm.« less

  16. Color-selective photodetection from intermediate colloidal quantum dots buried in amorphous-oxide semiconductors.

    PubMed

    Cho, Kyung-Sang; Heo, Keun; Baik, Chan-Wook; Choi, Jun Young; Jeong, Heejeong; Hwang, Sungwoo; Lee, Sang Yeol

    2017-10-10

    We report color-selective photodetection from intermediate, monolayered, quantum dots buried in between amorphous-oxide semiconductors. The proposed active channel in phototransistors is a hybrid configuration of oxide-quantum dot-oxide layers, where the gate-tunable electrical property of silicon-doped, indium-zinc-oxide layers is incorporated with the color-selective properties of quantum dots. A remarkably high detectivity (8.1 × 10 13 Jones) is obtained, along with three major findings: fast charge separation in monolayered quantum dots; efficient charge transport through high-mobility oxide layers (20 cm 2  V -1  s -1 ); and gate-tunable drain-current modulation. Particularly, the fast charge separation rate of 3.3 ns -1 measured with time-resolved photoluminescence is attributed to the intermediate quantum dots buried in oxide layers. These results facilitate the realization of efficient color-selective detection exhibiting a photoconductive gain of 10 7 , obtained using a room-temperature deposition of oxide layers and a solution process of quantum dots. This work offers promising opportunities in emerging applications for color detection with sensitivity, transparency, and flexibility.The development of highly sensitive photodetectors is important for image sensing and optical communication applications. Cho et al., report ultra-sensitive photodetectors based on monolayered quantum dots buried in between amorphous-oxide semiconductors and demonstrate color-detecting logic gates.

  17. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    DOEpatents

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  18. Dual-gate photo thin-film transistor: a “smart” pixel for high- resolution and low-dose X-ray imaging

    NASA Astrophysics Data System (ADS)

    Wang, Kai; Ou, Hai; Chen, Jun

    2015-06-01

    Since its emergence a decade ago, amorphous silicon flat panel X-ray detector has established itself as a ubiquitous platform for an array of digital radiography modalities. The fundamental building block of a flat panel detector is called a pixel. In all current pixel architectures, sensing, storage, and readout are unanimously kept separate, inevitably compromising resolution by increasing pixel size. To address this issue, we hereby propose a “smart” pixel architecture where the aforementioned three components are combined in a single dual-gate photo thin-film transistor (TFT). In other words, the dual-gate photo TFT itself functions as a sensor, a storage capacitor, and a switch concurrently. Additionally, by harnessing the amplification effect of such a thin-film transistor, we for the first time created a single-transistor active pixel sensor. The proof-of-concept device had a W/L ratio of 250μm/20μm and was fabricated using a simple five-mask photolithography process, where a 130nm transparent ITO was used as the top photo gate, and a 200nm amorphous silicon as the absorbing channel layer. The preliminary results demonstrated that the photocurrent had been increased by four orders of magnitude due to light-induced threshold voltage shift in the sub-threshold region. The device sensitivity could be simply tuned by photo gate bias to specifically target low-level light detection. The dependence of threshold voltage on light illumination indicated that a dynamic range of at least 80dB could be achieved. The "smart" pixel technology holds tremendous promise for developing high-resolution and low-dose X-ray imaging and may potentially lower the cancer risk imposed by radiation, especially among paediatric patients.

  19. Short-Term Synaptic Plasticity Regulation in Solution-Gated Indium-Gallium-Zinc-Oxide Electric-Double-Layer Transistors.

    PubMed

    Wan, Chang Jin; Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing

    2016-04-20

    In the biological nervous system, synaptic plasticity regulation is based on the modulation of ionic fluxes, and such regulation was regarded as the fundamental mechanism underlying memory and learning. Inspired by such biological strategies, indium-gallium-zinc-oxide (IGZO) electric-double-layer (EDL) transistors gated by aqueous solutions were proposed for synaptic behavior emulations. Short-term synaptic plasticity, such as paired-pulse facilitation, high-pass filtering, and orientation tuning, was experimentally emulated in these EDL transistors. Most importantly, we found that such short-term synaptic plasticity can be effectively regulated by alcohol (ethyl alcohol) and salt (potassium chloride) additives. Our results suggest that solution gated oxide-based EDL transistors could act as the platforms for short-term synaptic plasticity emulation.

  20. Optical spin-to-orbital angular momentum conversion in ultra-thin metasurfaces with arbitrary topological charges

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bouchard, Frédéric; De Leon, Israel; Schulz, Sebastian A.

    Orbital angular momentum associated with the helical phase-front of optical beams provides an unbounded “space” for both classical and quantum communications. Among the different approaches to generate and manipulate orbital angular momentum states of light, coupling between spin and orbital angular momentum allows a faster manipulation of orbital angular momentum states because it depends on manipulating the polarisation state of light, which is simpler and generally faster than manipulating conventional orbital angular momentum generators. In this work, we design and fabricate an ultra-thin spin-to-orbital angular momentum converter, based on plasmonic nano-antennas and operating in the visible wavelength range that ismore » capable of converting spin to an arbitrary value of orbital angular momentum ℓ. The nano-antennas are arranged in an array with a well-defined geometry in the transverse plane of the beam, possessing a specific integer or half-integer topological charge q. When a circularly polarised light beam traverses this metasurface, the output beam polarisation switches handedness and the orbital angular momentum changes in value by ℓ=±2qℏ per photon. We experimentally demonstrate ℓ values ranging from ±1 to ±25 with conversion efficiencies of 8.6% ± 0.4%. Our ultra-thin devices are integratable and thus suitable for applications in quantum communications, quantum computations, and nano-scale sensing.« less

  1. 3D gate-all-around bandgap-engineered SONOS flash memory in vertical silicon pillar with metal gate

    NASA Astrophysics Data System (ADS)

    Oh, Jae-Sub; Yang, Seong-Dong; Lee, Sang-Youl; Kim, Young-Su; Kang, Min-Ho; Lim, Sung-Kyu; Lee, Hi-Deok; Lee, Ga-Won

    2013-08-01

    In this paper, a gate-all-around bandgap-engineered silicon-oxide-nitride-oxide-silicon device with a vertical silicon pillar structure and a Ti metal gate are demonstrated for a potential solution to overcome the scaling-down of flash memory device. The devices were fabricated using CMOS-compatible technology and exhibited well-behaved memory characteristics in terms of the program/erase window, retention, and endurance properties. Moreover, the integration of the Ti metal gate demonstrated a significant improvement in the erase characteristics due to the efficient suppression of the electron back tunneling through the blocking oxide.

  2. 100-nm gate lithography for double-gate transistors

    NASA Astrophysics Data System (ADS)

    Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.

    2001-09-01

    The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.

  3. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  4. General method for simultaneous optimization of light trapping and carrier collection in an ultra-thin film organic photovoltaic cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsai, Cheng-Chia, E-mail: ct2443@columbia.edu; Grote, Richard R.; Beck, Jonathan H.

    2014-07-14

    We describe a general method for maximizing the short-circuit current in thin planar organic photovoltaic (OPV) heterojunction cells by simultaneous optimization of light absorption and carrier collection. Based on the experimentally obtained complex refractive indices of the OPV materials and the thickness-dependence of the internal quantum efficiency of the OPV active layer, we analyze the potential benefits of light trapping strategies for maximizing the overall power conversion efficiency of the cell. This approach provides a general strategy for optimizing the power conversion efficiency of a wide range of OPV structures. In particular, as an experimental trial system, the approach ismore » applied here to a ultra-thin film solar cell with a SubPc/C{sub 60} photovoltaic structure. Using a patterned indium tin oxide (ITO) top contact, the numerically optimized designs achieve short-circuit currents of 0.790 and 0.980 mA/cm{sup 2} for 30 nm and 45 nm SubPc/C{sub 60} heterojunction layer thicknesses, respectively. These values correspond to a power conversion efficiency enhancement of 78% for the 30 nm thick cell, but only of 32% for a 45 nm thick cell, for which the overall photocurrent is actually higher. Applied to other material systems, the general optimization method can elucidate if light trapping strategies can improve a given cell architecture.« less

  5. Design of a 1200-V ultra-thin partial SOI LDMOS with n-type buried layer

    NASA Astrophysics Data System (ADS)

    Qiao, Ming; Wang, Yuru; Li, Yanfei; Zhang, Bo; Li, Zhaoji

    2014-11-01

    A novel 1200-V ultra-thin partial silicon-on-insulator (PSOI) lateral double-diffusion metal oxide semiconductor (LDMOS) with n-type buried (n-buried) layer (NBL PSOI LDMOS) is proposed in this paper. The new PSOI LDMOS features an n-buried layer underneath the n-type drift (n-drift) region close to the source side, providing a large conduction region for majority carriers and a silicon window to improve self-heating effect (SHE). A combination of uniform and linear variable doping (ULVD) profile is utilized in the n-drift region, which alleviates the inherent tradeoff between specific on-resistance (Ron,sp) and breakdown voltage (BV). With the n-drift region length of 80 μm, the NBL PSOI LDMOS obtains a high BV of 1243 V which is improved by around 105 V in comparison to the conventional SOI LDMOS with linear variable doping (LVD) profile for the n-drift region (LVD SOI LDMOS). Besides, the 1200-V NBL PSOI LDMOS has a lower maximum temperature (Tmax) of 333 K at a power (P) of 1 mW/μm which is reduced by around 61 K. Meanwhile, Ron,sp and Tmax of the NBL PSOI LDMOS are lower than those of the conventional LVD SOI LDMOS for a wide range of BV.

  6. Development of III-V p-MOSFETs with high-kappa gate stack for future CMOS applications

    NASA Astrophysics Data System (ADS)

    Nagaiah, Padmaja

    on strained surface and buried channel In0.36 Ga0.64Sb QW MOSFETs with thin top barrier and in-situ deposited a-Si IPL and high-k HfO2 as well as combination Al 2O3+HfO2 gate stacks and ex-situ atomic layer deposited (ALD) combination gate oxide and with thin 2 nm InAs surface passivation layer is presented. Finally, summary of the salient results from the different chapters is provided with recommendations for future research.

  7. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors

    PubMed Central

    Zhang, Xue; Lee, Hyeonju; Kim, Eui-Jik; Park, Jaehoon

    2017-01-01

    We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance. PMID:28773242

  8. Amorphous nickel incorporated tin oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jianwen; Ren, Jinhua; Lin, Dong; Han, Yanbing; Qu, Mingyue; Pi, Shubin; Fu, Ruofan; Zhang, Qun

    2017-09-01

    Nickel as a dopant has been proposed to suppress excess carrier concentration in n-type tin oxide based thin film transistors (TFTs). The influences of Ni content on nickel doped tin oxide (TNO) thin films and their corresponding TFTs were investigated with experimental results showing that the TNO thin films are amorphous. Through the comparison of the transfer characteristic curves of the TNO TFTs with different Ni contents, it was observed that Ni doping is useful to improve the performance of SnO2-based TFTs by suppressing the off-state current and shifting the threshold voltage to 0 V. The amorphous TNO TFT with 3.3 at.% Ni content shows optimum performance, with field effect mobility of 8.4 cm2 V-1 s-1, saturation mobility of 6.8 cm2 V-1 s-1, subthreshold swing value of 0.8 V/decade, and an on-off current ratio of 2.1  ×  107. Nevertheless, the bias stress stability of SnO2-based TFTs deteriorate.

  9. Poly(4-vinylphenol) gate insulator with cross-linking using a rapid low-power microwave induction heating scheme for organic thin-film-transistors

    NASA Astrophysics Data System (ADS)

    Fan, Ching-Lin; Shang, Ming-Chi; Hsia, Mao-Yuan; Wang, Shea-Jue; Huang, Bohr-Ran; Lee, Win-Der

    2016-03-01

    A Microwave-Induction Heating (MIH) scheme is proposed for the poly(4-vinylphenol) (PVP) gate insulator cross-linking process to replace the traditional oven heating cross-linking process. The cross-linking time is significantly decreased from 1 h to 5 min by heating the metal below the PVP layer using microwave irradiation. The necessary microwave power was substantially reduced to about 50 W by decreasing the chamber pressure. The MIH scheme is a good candidate to replace traditional thermal heating for cross-linking of PVP as the gate insulator for organic thin-film-transistors.

  10. Skin dose measurement by using ultra-thin TLDs.

    PubMed

    Lin, J P; Chu, T C; Lin, S Y; Liu, M T

    2001-09-01

    The treatment schedule for radiation therapy is often interrupted because of complicated skin reactions. Quantitative information relating beam parameters and skin reactions will be helpful. Measurements were performed for 6-15 MV photons and 6-21 MeV electrons with ultra thin TLD films (GR-200F, surface area 0.5 x 0.5cm2, nominal thickness 5 mg cm(-2)). The skin doses for various field sizes, ranging from 10 x 10 to 40 x 40 cm2, and various incident angles of beam from 0 degrees to 80 degrees were measured. The ratios of skin dose to maximum dose in phantom for 10 x 10 cm2 are 16.10+/-0.68%, 14.03+/-1.04% and 10.59+/-0.64% for 6, 10 and 15 MV, respectively. Such ratios increase with a larger field size. For electrons the ratios are 72.59+/-1.72%, 78.52+/-2.99%, 78.89+/-2.86%, 86.08+/-2.62%. 87.75+/-1.94% and 86.33+/-3.09% for 6, 9, 12, 15, 18 and 21 MeV, respectively. They also increase with a larger size. The oblique factors also increase with larger incident angle.

  11. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    PubMed

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  12. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  13. Effect of organic buffer layer in the electrical properties of amorphous-indium gallium zinc oxide thin film transistor.

    PubMed

    Wang, Jian-Xun; Hyung, Gun Woo; Li, Zhao-Hui; Son, Sung-Yong; Kwon, Sang Jik; Kim, Young Kwan; Cho, Eou Sik

    2012-07-01

    In this research, we reported on the fabrication of top-contact amorphous-indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) with an organic buffer layer between inorganic gate dielectric and active layer in order to improve the electrical properties of devices. By inserting an organic buffer layer, it was possible to make an affirmation of the improvements in the electrical characteristics of a-IGZO TFTs such as subthreshold slope (SS), on/off current ratio (I(ON/OFF)), off-state current, and saturation field-effect mobility (muFE). The a-IGZO TFTs with the cross-linked polyvinyl alcohol (c-PVA) buffer layer exhibited the pronounced improvements of the muFE (17.4 cm2/Vs), SS (0.9 V/decade), and I(ON/OFF) (8.9 x 10(6)).

  14. Solution-processed zinc oxide nanoparticles/single-walled carbon nanotubes hybrid thin-film transistors

    NASA Astrophysics Data System (ADS)

    Liu, Fangmei; Sun, Jia; Qian, Chuan; Hu, Xiaotao; Wu, Han; Huang, Yulan; Yang, Junliang

    2016-09-01

    Solution-processed thin-film transistors (TFTs) are the essential building blocks for manufacturing the low-cost and large-area consumptive electronics. Herein, solution-processed TFTs based on the composites of zinc oxide (ZnO) nanoparticles and single-walled carbon nanotubes (SWCNTs) were fabricated by the methods of spin-coating and doctor-blading. Through controlling the weight of SWCNTs, the ZnO/SWCNTs TFTs fabricated by spin-coating demonstrated a field-effect mobility of 4.7 cm2/Vs and a low threshold voltage of 0.8 V, while the TFTs devices fabricated by doctor-blading technique showed reasonable electrical performance with a mobility of 0.22 cm2/Vs. Furthermore, the ion-gel was used as an efficient electrochemical gate dielectric because of its large electric double-layer capacitance. The operating voltage of all the TFTs devices is as low as 4.0 V. The research suggests that ZnO/SWCNTs TFTs have the potential applications in low-cost, large-area and flexible consumptive electronics, such as chemical-biological sensors and smart label.

  15. Near-IR squaraine dye–loaded gated periodic mesoporous organosilica for photo-oxidation of phenol in a continuous-flow device

    PubMed Central

    Borah, Parijat; Sreejith, Sivaramapanicker; Anees, Palapuravan; Menon, Nishanth Venugopal; Kang, Yuejun; Ajayaghosh, Ayyappanpillai; Zhao, Yanli

    2015-01-01

    Periodic mesoporous organosilica (PMO) has been widely used for the fabrication of a variety of catalytically active materials. We report the preparation of novel photo-responsive PMO with azobenzene-gated pores. Upon activation, the azobenzene gate undergoes trans-cis isomerization, which allows an unsymmetrical near-infrared squaraine dye (Sq) to enter into the pores. The gate closure by cis-trans isomerization of the azobenzene unit leads to the safe loading of the monomeric dye inside the pores. The dye-loaded and azobenzene-gated PMO (Sq-azo@PMO) exhibits excellent generation of reactive oxygen species upon excitation at 664 nm, which can be effectively used for the oxidation of phenol into benzoquinone in aqueous solution. Furthermore, Sq-azo@PMO as the catalyst was placed inside a custom-built, continuous-flow device to carry out the photo-oxidation of phenol to benzoquinone in the presence of 664-nm light. By using the device, about 23% production of benzoquinone with 100% selectivity was achieved. The current research presents a prototype of transforming heterogeneous catalysts toward practical use. PMID:26601266

  16. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  17. Plasma-enhanced atomic layer deposition zinc oxide for multifunctional thin film electronics

    NASA Astrophysics Data System (ADS)

    Mourey, Devin A.

    A novel, weak oxidant, plasma-enhanced atomic layer deposition (PEALD) process has been used to fabricate stable, high mobility ZnO thin film transistors (TFTs) and fast circuits on glass and polyimide substrates at 200°C. Weak oxidant PEALD provides a simple, fast deposition process which results in uniform, conformal coatings and highly crystalline, dense ZnO thin films. These films and resulting devices have been compared with those prepared by spatial atomic layer deposition (SALD) throughout the work. Both PEALD and SALD ZnO TFTs have high field-effect mobility (>20 cm 2/V·s) and devices with ALD Al2O3 passivation can have excellent bias stress stability. Temperature dependent measurements of PEALD ZnO TFTs revealed a mobility activation energy < 5 meV and can be described using a simple percolation model with a Gaussian distribution of near-conduction band barriers. Interestingly, both PEALD and SALD devices operate with mobility > 1 cm2/V·s even at temperatures < 10 K. The effects of high energy irradiation have also been investigated. Devices exposed to 1 MGy of gamma irradiation showed small threshold voltage shifts (<2 V) which were fully recoverable with short (1 min) low-temperature (200°C) anneals. ZnO TFTs exhibit a range of non-ideal behavior which has direct implications on how important parameters such as mobility and threshold voltage are quantified. For example, the accumulation-dependent mobility and contact effects can lead to significant overestimations in mobility. It is also found that self-heating plays and important role in the non-ideal behavior of oxide TFTs on low thermal conductivity substrates. In particular, the output conductance and a high current device runaway breakdown effect can be directly ascribed to self-heating. Additionally, a variety of simple ZnO circuits on glass and flexible substrates were demonstrated. A backside exposure process was used to form gate-self-aligned structures with reduced parasitic capacitance and

  18. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  19. Passivation of Si(111) surfaces with electrochemically grafted thin organic films

    NASA Astrophysics Data System (ADS)

    Roodenko, K.; Yang, F.; Hunger, R.; Esser, N.; Hinrichs, K.; Rappich, J.

    2010-09-01

    Ultra thin organic films (about 5 nm thick) of nitrobenzene and 4-methoxydiphenylamine were deposited electrochemically on p-Si(111) surfaces from benzene diazonium compounds. Studies based on atomic force microscopy, infrared spectroscopic ellipsometry and x-ray photoelectron spectroscopy showed that upon exposure to atmospheric conditions the oxidation of the silicon interface proceed slower on organically modified surfaces than on unmodified hydrogen passivated p-Si(111) surfaces. Effects of HF treatment on the oxidized organic/Si interface and on the organic layer itself are discussed.

  20. Analysis of Zinc Oxide Thin Films Synthesized by Sol-Gel via Spin Coating

    NASA Astrophysics Data System (ADS)

    Wolgamott, Jon Carl

    Transparent conductive oxides are gaining an increasingly important role in optoelectronic devices such as solar cells. Doped zinc oxide is a candidate as a low cost and nontoxic alternative to tin doped indium oxide. Lab results have shown that both n-type and p-type zinc oxide can be created on a small scale. This can allow zinc oxide to be used as either an electrode as well as a buffer layer to increase efficiency and protect the active layer in solar cells. Sol-gel synthesis is emerging as a low temperature, low cost, and resource efficient alternative to producing transparent conducting oxides such as zinc oxide. For sol-gel derived zinc oxide thin films to reach their potential, research in this topic must continue to optimize the known processing parameters and expand to new parameters to tighten control and create novel processing techniques that improve performance. The processing parameters of drying and annealing temperatures as well as cooling rate were analyzed to see their effect on the structure of the prepared zinc oxide thin films. There were also preliminary tests done to modify the sol-gel process to include silver as a dopant to produce a p-type thin film. The results from this work show that the pre- and post- heating temperatures as well as the cooling rate all play their own unique role in the crystallization of the film. Results from silver doping show that more work needs to be done to create a sol-gel derived p-type zinc oxide thin film.