Sample records for ultralarge area metal-oxide-semiconductor

  1. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  2. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  3. The AMOS cell - An improved metal-semiconductor solar cell. [Antireflection coated Metal Oxide Semiconductor

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y.-C. M.

    1975-01-01

    A new fabrication process is being developed which significantly improves the efficiency of metal-semiconductor solar cells. The resultant effect, a marked increase in the open-circuit voltage, is produced by the addition of an interfacial layer oxide on the semiconductor. Cells using gold on n-type gallium arsenide have been made in small areas (0.17 sq cm) with conversion efficiencies of 15% in terrestrial sunlight.

  4. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  5. The MSFC complementary metal oxide semiconductor (including multilevel interconnect metallization) process handbook

    NASA Technical Reports Server (NTRS)

    Bouldin, D. L.; Eastes, R. W.; Feltner, W. R.; Hollis, B. R.; Routh, D. E.

    1979-01-01

    The fabrication techniques for creation of complementary metal oxide semiconductor integrated circuits at George C. Marshall Space Flight Center are described. Examples of C-MOS integrated circuits manufactured at MSFC are presented with functional descriptions of each. Typical electrical characteristics of both p-channel metal oxide semiconductor and n-channel metal oxide semiconductor discrete devices under given conditions are provided. Procedures design, mask making, packaging, and testing are included.

  6. Ultralow-power complementary metal-oxide-semiconductor inverters constructed on Schottky barrier modified nanowire metal-oxide-semiconductor field-effect-transistors.

    PubMed

    Ma, R M; Peng, R M; Wen, X N; Dai, L; Liu, C; Sun, T; Xu, W J; Qin, G G

    2010-10-01

    We show that the threshold voltages of both n- and p-channel metal-oxide-semiconductor field-effect-transistors (MOSFETs) can be lowered to close to zero by adding extra Schottky contacts on top of nanowires (NWs). Novel complementary metal-oxide-semiconductor (CMOS) inverters are constructed on these Schottky barrier modified n- and p-channel NW MOSFETs. Based on the high performances of the modified n- and p-channel MOSFETs, especially the low threshold voltages, the as-fabricated CMOS inverters have low operating voltage, high voltage gain, and ultra-low static power dissipation.

  7. Radiation hardening of metal-oxide semi-conductor (MOS) devices by boron

    NASA Technical Reports Server (NTRS)

    Danchenko, V.

    1974-01-01

    Technique using boron effectively protects metal-oxide semiconductor devices from ionizing radiation without using shielding materials. Boron is introduced into insulating gate oxide layer at semiconductor-insulator interface.

  8. Metal Oxide Semi-Conductor Gas Sensors in Environmental Monitoring

    PubMed Central

    Fine, George F.; Cavanagh, Leon M.; Afonja, Ayo; Binions, Russell

    2010-01-01

    Metal oxide semiconductor gas sensors are utilised in a variety of different roles and industries. They are relatively inexpensive compared to other sensing technologies, robust, lightweight, long lasting and benefit from high material sensitivity and quick response times. They have been used extensively to measure and monitor trace amounts of environmentally important gases such as carbon monoxide and nitrogen dioxide. In this review the nature of the gas response and how it is fundamentally linked to surface structure is explored. Synthetic routes to metal oxide semiconductor gas sensors are also discussed and related to their affect on surface structure. An overview of important contributions and recent advances are discussed for the use of metal oxide semiconductor sensors for the detection of a variety of gases—CO, NOx, NH3 and the particularly challenging case of CO2. Finally a description of recent advances in work completed at University College London is presented including the use of selective zeolites layers, new perovskite type materials and an innovative chemical vapour deposition approach to film deposition. PMID:22219672

  9. Large Lateral Photovoltaic Effect in Metal-(Oxide-) Semiconductor Structures

    PubMed Central

    Yu, Chongqi; Wang, Hui

    2010-01-01

    The lateral photovoltaic effect (LPE) can be used in position-sensitive detectors to detect very small displacements due to its output of lateral photovoltage changing linearly with light spot position. In this review, we will summarize some of our recent works regarding LPE in metal-semiconductor and metal-oxide-semiconductor structures, and give a theoretical model of LPE in these two structures. PMID:22163463

  10. Multilevel metallization method for fabricating a metal oxide semiconductor device

    NASA Technical Reports Server (NTRS)

    Hollis, B. R., Jr.; Feltner, W. R.; Bouldin, D. L.; Routh, D. E. (Inventor)

    1978-01-01

    An improved method is described of constructing a metal oxide semiconductor device having multiple layers of metal deposited by dc magnetron sputtering at low dc voltages and low substrate temperatures. The method provides multilevel interconnections and cross over between individual circuit elements in integrated circuits without significantly reducing the reliability or seriously affecting the yield.

  11. Ionic Liquid Activation of Amorphous Metal-Oxide Semiconductors for Flexible Transparent Electronic Devices

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2016-02-09

    To begin this abstract, amorphous metal-oxide semiconductors offer the high carrier mobilities and excellent large-area uniformity required for high performance, transparent, flexible electronic devices; however, a critical bottleneck to their widespread implementation is the need to activate these materials at high temperatures which are not compatible with flexible polymer substrates. The highly controllable activation of amorphous indium gallium zinc oxide semiconductor channels using ionic liquid gating at room temperature is reported. Activation is controlled by electric field-induced oxygen migration across the ionic liquid-semiconductor interface. In addition to activation of unannealed devices, it is shown that threshold voltages of a transistormore » can be linearly tuned between the enhancement and depletion modes. Finally, the first ever example of transparent flexible thin film metal oxide transistor on a polyamide substrate created using this simple technique is demonstrated. Finally, this study demonstrates the potential of field-induced activation as a promising alternative to traditional postdeposition thermal annealing which opens the door to wide scale implementation into flexible electronic applications.« less

  12. Positron studies of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  13. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  14. Design of a broadband ultra-large area acoustic cloak based on a fluid medium

    NASA Astrophysics Data System (ADS)

    Zhu, Jian; Chen, Tianning; Liang, Qingxuan; Wang, Xiaopeng; Jiang, Ping

    2014-10-01

    A broadband ultra-large area acoustic cloak based on fluid medium was designed and numerically implemented with homogeneous metamaterials according to the transformation acoustics. In the present work, fluid medium as the body of the inclusion could be tuned by changing the fluid to satisfy the variant acoustic parameters instead of redesign the whole cloak. The effective density and bulk modulus of the composite materials were designed to agree with the parameters calculated from the coordinate transformation methodology by using the effective medium theory. Numerical simulation results showed that the sound propagation and scattering signature could be controlled in the broadband ultra-large area acoustic invisibility cloak, and good cloaking performance has been achieved and physically realized with homogeneous materials. The broadband ultra-large area acoustic cloaking properties have demonstrated great potentials in the promotion of the practical applications of acoustic cloak.

  15. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  16. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  17. CMOS array design automation techniques. [metal oxide semiconductors

    NASA Technical Reports Server (NTRS)

    Ramondetta, P.; Feller, A.; Noto, R.; Lombardi, T.

    1975-01-01

    A low cost, quick turnaround technique for generating custom metal oxide semiconductor arrays using the standard cell approach was developed, implemented, tested and validated. Basic cell design topology and guidelines are defined based on an extensive analysis that includes circuit, layout, process, array topology and required performance considerations particularly high circuit speed.

  18. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  19. Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation

    PubMed Central

    2017-01-01

    Area-selective atomic layer deposition (ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of catalysis. In this work, we developed an approach for the area-selective deposition of metal oxides on noble metals. Using O2 gas as co-reactant, area-selective ALD has been achieved by relying on the catalytic dissociation of the oxygen molecules on the noble metal surface, while no deposition takes place on inert surfaces that do not dissociate oxygen (i.e., SiO2, Al2O3, Au). The process is demonstrated for selective deposition of iron oxide and nickel oxide on platinum and iridium substrates. Characterization by in situ spectroscopic ellipsometry, transmission electron microscopy, scanning Auger electron spectroscopy, and X-ray photoelectron spectroscopy confirms a very high degree of selectivity, with a constant ALD growth rate on the catalytic metal substrates and no deposition on inert substrates, even after 300 ALD cycles. We demonstrate the area-selective ALD approach on planar and patterned substrates and use it to prepare Pt/Fe2O3 core/shell nanoparticles. Finally, the approach is proposed to be extendable beyond the materials presented here, specifically to other metal oxide ALD processes for which the precursor requires a strong oxidizing agent for growth. PMID:29503508

  20. Nanophotonic Hot Electron Solar-Blind Ultraviolet Detectors with a Metal-Oxide-Semiconductor Structure

    NASA Astrophysics Data System (ADS)

    Wang, Zhiyuan

    Solar-blind ultraviolet detection refers to photon detection specifically in the wavelength range of 200 nm to 320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. In this thesis, we design and fabricate a nanophotonic metal-oxide-semiconductor device for solar-blind UV detection. Instead of using semiconductors as the active absorber, we use metal Sn nano- grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between metal and semiconductor region upon UV excitation. The large metal/oxide interfacial energy barrier enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, 85% UV absorption and hot electron excitation can be achieved within the mean free path of 20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. Various fabrication techniques have been developed for preparing nano gratings. For nominally 20 nm-thick deposited Sn, the self- formed pseudo-periodic nanostructure help achieve 75% UV absorption from lambda=200 nm to 300 nm. With another layer of nominally 20 nm-thick Sn, similar UV absorption is maintained while conductivity is improved, which is beneficial for overall device efficiency. The Sn/SiO2/Si MOS devices show good solar-blind character while achieving 13% internal quantum efficiency for 260 nm UV with only 20 nm-thick Sn and some devices demonstrate much higher (even >100%) internal quantum efficiency. While a more accurate estimation of device effective area is needed for proving our calculation, these results indeed show a great potential for this type of hot-electron-based photodetectors and for Sn nanostructure as an effective UV absorber. The simple geometry of the self- assembled Sn

  1. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    PubMed

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  2. Technology of GaAs metal-oxide-semiconductor solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1977-01-01

    The growth of an oxide interfacial layer was recently found to increase the open-circuit voltage (OCV) and efficiency by up to 60 per cent in GaAs metal-semiconductor solar cells. Details of oxidation techniques to provide the necessary oxide thickness and chemical structure and using ozone, water-vapor-saturated oxygen, or oxygen gas discharges are described, as well as apparent crystallographic orientation effects. Preliminary results of the oxide chemistry obtained from X-ray, photoelectron spectroscopy are given. Ratios of arsenic oxide to gallium oxide of unity or less seem to be preferable. Samples with the highest OVC predominantly have As(+3) in the arsenic oxide rather than As(+5). A major difficulty at this time is a reduction in OCV by 100-200 mV when the antireflection coating is vacuum deposited.

  3. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    NASA Astrophysics Data System (ADS)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  4. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    NASA Astrophysics Data System (ADS)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  5. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  6. Sustainable p-type copper selenide solar material with ultra-large absorption coefficient

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Erica M.; Williams, Logan; Olvera, Alan

    We report the synthesis of CTSe, a p-type titanium copper selenide semiconductor. Its band gap (1.15 eV) and its ultra-large absorption coefficient (10 5 cm −1 ) in the entire visible range make it a promising Earth-abundant solar absorber material.

  7. Sustainable p-type copper selenide solar material with ultra-large absorption coefficient

    DOE PAGES

    Chen, Erica M.; Williams, Logan; Olvera, Alan; ...

    2018-01-01

    We report the synthesis of CTSe, a p-type titanium copper selenide semiconductor. Its band gap (1.15 eV) and its ultra-large absorption coefficient (10 5 cm −1 ) in the entire visible range make it a promising Earth-abundant solar absorber material.

  8. Ferroelectric switching of poly(vinylidene difluoride-trifluoroethylene) in metal-ferroelectric-semiconductor non-volatile memories with an amorphous oxide semiconductor

    NASA Astrophysics Data System (ADS)

    Gelinck, G. H.; van Breemen, A. J. J. M.; Cobb, B.

    2015-03-01

    Ferroelectric polarization switching of poly(vinylidene difluoride-trifluoroethylene) is investigated in different thin-film device structures, ranging from simple capacitors to dual-gate thin-film transistors (TFT). Indium gallium zinc oxide, a high mobility amorphous oxide material, is used as semiconductor. We find that the ferroelectric can be polarized in both directions in the metal-ferroelectric-semiconductor (MFS) structure and in the dual-gate TFT under certain biasing conditions, but not in the single-gate thin-film transistors. These results disprove the common belief that MFS structures serve as a good model system for ferroelectric polarization switching in thin-film transistors.

  9. Metal-oxide-semiconductor devices using Ga2O3 dielectrics on n-type GaN

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Chen, Hong-Wei; Lee, Hsin-Ying

    2003-06-01

    Using a photoelectrochemical method involving a He-Cd laser, Ga2O3 oxide layers were directly grown on n-type GaN. We demonstrated the performance of the resultant metal-oxide-semiconductor devices based on the grown Ga2O3 layer. An extremely low reverse leakage current of 200 pA was achieved when devices operated at -20 V. Furthermore, high forward and reverse breakdown electric fields of 2.80 MV/cm and 5.70 MV/cm, respectively, were obtained. Using a photoassisted current-voltage method, a low interface state density of 2.53×1011 cm-2 eV-1 was estimated. The varactor devices permit formation of inversion layers, so that they may be applied for the fabrication of metal-oxide-semiconductor field-effect transistors.

  10. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji-Won

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component comprising at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes duringmore » consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.« less

  11. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    DOEpatents

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji Won; Rondinone, Adam J.; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2014-06-24

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component containing at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  12. Interfacial engineering of metal-insulator-semiconductor junctions for efficient and stable photoelectrochemical water oxidation

    PubMed Central

    Digdaya, Ibadillah A.; Adhyaksa, Gede W. P.; Trześniewski, Bartek J.; Garnett, Erik C.; Smith, Wilson A.

    2017-01-01

    Solar-assisted water splitting can potentially provide an efficient route for large-scale renewable energy conversion and storage. It is essential for such a system to provide a sufficiently high photocurrent and photovoltage to drive the water oxidation reaction. Here we demonstrate a photoanode that is capable of achieving a high photovoltage by engineering the interfacial energetics of metal–insulator–semiconductor junctions. We evaluate the importance of using two metals to decouple the functionalities for a Schottky contact and a highly efficient catalyst. We also illustrate the improvement of the photovoltage upon incidental oxidation of the metallic surface layer in KOH solution. Additionally, we analyse the role of the thin insulating layer to the pinning and depinning of Fermi level that is responsible to the resulting photovoltage. Finally, we report the advantage of using dual metal overlayers as a simple protection route for highly efficient metal–insulator–semiconductor photoanodes by showing over 200 h of operational stability. PMID:28660883

  13. Novel photoinduced phase transitions in transition metal oxides and diluted magnetic semiconductors.

    PubMed

    Mizokawa, Takashi

    2012-10-23

    Some transition metal oxides have frustrated electronic states under multiphase competition due to strongly correlated d electrons with spin, charge, and orbital degrees of freedom and exhibit drastic responses to external stimuli such as optical excitation. Here, we present photoemission studies on Pr0.55(Ca1 - ySry)0.45MnO3 (y = 0.25), SrTiO3, and Ti1 - xCoxO2 (x = 0.05, 0.10) under laser illumination and discuss electronic structural changes induced by optical excitation in these strongly correlated oxides. We discuss the novel photoinduced phase transitions in these transition metal oxides and diluted magnetic semiconductors on the basis of polaronic pictures such as orbital, ferromagnetic, and ferroelectric polarons.

  14. Inkjet printing ultra-large graphene oxide flakes

    NASA Astrophysics Data System (ADS)

    He, Pei; Derby, Brian

    2017-06-01

    Graphene oxide 2D materials inks with mean flake diameter 36 µm can be inkjet printed, with no significant blockage of the printer or apparent damage to the flakes, despite the mean flake size being  >50% of the printer nozzle diameter and the ink containing individual flakes considerably larger than the nozzle. Printed flakes show a similar level of wrinkle and fold defects as observed in flakes deposited by drop casting. Polarised light imaging of the ink in the printhead prior to printing shows alignment of the flakes in the shear flow and this is believed to allow passage without agglomeration or blocking of the nozzle. The bulk electrical conductivity of these ultra-large flake printed films is 2.48  ×  104 Sm-1 after reduction, which is comparable to that reported with printed pristine graphene. The conductivity of the printed films increases slightly with increasing flake size indicating that there is no increase in damage to electrical properties as the flakes approach and exceed the nozzle diameter.

  15. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    PubMed

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  16. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    NASA Astrophysics Data System (ADS)

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-02-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters.

  17. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    PubMed Central

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-01-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters. PMID:26842997

  18. Organic-on-silicon complementary metal-oxide-semiconductor colour image sensors.

    PubMed

    Lim, Seon-Jeong; Leem, Dong-Seok; Park, Kyung-Bae; Kim, Kyu-Sik; Sul, Sangchul; Na, Kyoungwon; Lee, Gae Hwang; Heo, Chul-Joon; Lee, Kwang-Hee; Bulliard, Xavier; Satoh, Ryu-Ichi; Yagi, Tadao; Ro, Takkyun; Im, Dongmo; Jung, Jungkyu; Lee, Myungwon; Lee, Tae-Yon; Han, Moon Gyu; Jin, Yong Wan; Lee, Sangyoon

    2015-01-12

    Complementary metal-oxide-semiconductor (CMOS) colour image sensors are representative examples of light-detection devices. To achieve extremely high resolutions, the pixel sizes of the CMOS image sensors must be reduced to less than a micron, which in turn significantly limits the number of photons that can be captured by each pixel using silicon (Si)-based technology (i.e., this reduction in pixel size results in a loss of sensitivity). Here, we demonstrate a novel and efficient method of increasing the sensitivity and resolution of the CMOS image sensors by superposing an organic photodiode (OPD) onto a CMOS circuit with Si photodiodes, which consequently doubles the light-input surface area of each pixel. To realise this concept, we developed organic semiconductor materials with absorption properties selective to green light and successfully fabricated highly efficient green-light-sensitive OPDs without colour filters. We found that such a top light-receiving OPD, which is selective to specific green wavelengths, demonstrates great potential when combined with a newly designed Si-based CMOS circuit containing only blue and red colour filters. To demonstrate the effectiveness of this state-of-the-art hybrid colour image sensor, we acquired a real full-colour image using a camera that contained the organic-on-Si hybrid CMOS colour image sensor.

  19. Experimental Study of Floating-Gate-Type Metal-Oxide-Semiconductor Capacitors with Nanosize Triangular Cross-Sectional Tunnel Areas for Low Operating Voltage Flash Memory Application

    NASA Astrophysics Data System (ADS)

    Liu, Yongxun; Guo, Ruofeng; Kamei, Takahiro; Matsukawa, Takashi; Endo, Kazuhiko; O'uchi, Shinichi; Tsukada, Junichi; Yamauchi, Hiromi; Ishikawa, Yuki; Hayashida, Tetsuro; Sakamoto, Kunihiro; Ogura, Atsushi; Masahara, Meishoku

    2012-06-01

    The floating-gate (FG)-type metal-oxide-semiconductor (MOS) capacitors with planar (planar-MOS) and three-dimensional (3D) nanosize triangular cross-sectional tunnel areas (3D-MOS) have successfully been fabricated by introducing rapid thermal oxidation (RTO) and postdeposition annealing (PDA), and their electrical characteristics between the control gate (CG) and FG have been systematically compared. It was experimentally found in both planar- and 3D-MOS capacitors that the uniform and higher breakdown voltages are obtained by introducing RTO owing to the high-quality thermal oxide formation on the surface and etched edge regions of the n+ polycrystalline silicon (poly-Si) FG, and the leakage current is highly suppressed after PDA owing to the improved quality of the tetraethylorthosilicate (TEOS) silicon dioxide (SiO2) between CG and FG. Moreover, a lower breakdown voltage between CG and FG was obtained in the fabricated 3D-MOS capacitors as compared with that of planar-MOS capacitors thanks to the enhanced local electric field at the tips of triangular tunnel areas. The developed nanosize triangular cross-sectional tunnel area is useful for the fabrication of low operating voltage flash memories.

  20. Differential-Mode Biosensor Using Dual Extended-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jinhyeon; Lee, Hee Ho; Ahn, Jungil; Seo, Sang-Ho; Shin, Jang-Kyoo

    2012-06-01

    In this paper, we present a differential-mode biosensor using dual extended-gate metal-oxide-semiconductor field-effect transistors (MOSFETs), which possesses the advantages of both the extended-gate structure and the differential-mode operation. The extended-gate MOSFET was fabricated using a 0.6 µm standard complementary metal oxide semiconductor (CMOS) process. The Au extended gate is the sensing gate on which biomolecules are immobilized, while the Pt extended gate is the dummy gate for use in the differential-mode detection circuit. The differential-mode operation offers many advantages such as insensitivity to the variation of temperature and light, as well as low noise. The outputs were measured using a semiconductor parameter analyzer in a phosphate buffered saline (PBS; pH 7.4) solution. A standard Ag/AgCl reference electrode was used to apply the gate bias. We measured the variation of output voltage with time, temperature, and light intensity. The bindings of self-assembled monolayer (SAM), streptavidin, and biotin caused a variation in the output voltage of the differential-mode detection circuit and this was confirmed by surface plasmon resonance (SPR) experiment. Biotin molecules could be detected up to a concentration of as low as 0.001 µg/ml.

  1. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    NASA Astrophysics Data System (ADS)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  2. Instability analysis of charges trapped in the oxide of metal-ultra thin oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Aziz, A.; Kassmi, K.; Maimouni, R.; Olivié, F.; Sarrabayrouse, G.; Martinez, A.

    2005-09-01

    In this paper, we present the theoretical and experimental results of the influence of a charge trapped in ultra-thin oxide of metal/ultra-thin oxide/semiconductor structures (MOS) on the I(Vg) current-voltage characteristics when the conduction is of the Fowler-Nordheim (FN) tunneling type. The charge, which is negative, is trapped near the cathode (metal/oxide interface) after constant current injection by the metal (Vg<0). Of particular interest is the influence on the Δ Vg(Vg) shift over the whole I(Vg) characteristic at high field (greater than the injection field (>12.5 MV/cm)). It is shown that the charge centroid varies linearly with respect to the voltage Vg. The behavior at low field (<12.5 MV/cm) is analyzed in référence A. Aziz, K. Kassmi, Ka. Kassmi, F. Olivié, Semicond. Sci. Technol. 19, 877 (2004) and considers that the trapped charge centroid is fixed. The results obtained make it possible to analyze the influence of the injected charge and the applied field on the centroid position of the trapped charge, and to highlight the charge instability in the ultra-thin oxide of MOS structures.

  3. Memory effects in a Al/Ti:HfO2/CuPc metal-oxide-semiconductor device

    NASA Astrophysics Data System (ADS)

    Tripathi, Udbhav; Kaur, Ramneek

    2016-05-01

    Metal oxide semiconductor structured organic memory device has been successfully fabricated. Ti doped hafnium oxide (Ti:HfO2) nanoparticles has been fabricated by precipitation method and further calcinated at 800 °C. Copper phthalocyanine, a hole transporting material has been utilized as an organic semiconductor. The electrical properties of the fabricated device have been studied by measuring the current-voltage and capacitance-voltage characteristics. The amount of charge stored in the nanoparticles has been calculated by using flat band condition. This simple approach for fabricating MOS memory device has opens up opportunities for the development of next generation memory devices.

  4. Solution combustion synthesis of oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Thomas, Abegayl Lorenda Shara-Lynn

    The quest for stable and efficient photocatalytic materials beyond TiO2 and WO3 has over the years led to the development of new materials that possess varied interfacial energetics. This dissertation study focused on using for the first time a novel method, solution combustion synthesis (SCS), to prepare two distinct families of binary metal-based oxide semiconductor materials. Detailed studies on material characteristics and applications were carried out on tungsten- and niobium-based oxide semiconductors with varying principal metals. Initial emphasis was placed on the SCS of tungsten-based oxide semiconductors (ZnWO4, CuWO4, and Ag2WO4). The influence of different tungsten precursor's on the resultant product was of particular relevance to this study, with the most significant effects highlighted. Upon characterization, each sample's photocatalytic activity towards methyl orange dye degradation was studied, and benchmarked against their respective commercial oxide sample, obtained by solid-state ceramic synthesis. Detailed analysis highlighted the importance of the SCS process as a time- and energy-efficient method to produce crystalline nano-sized materials even without additional or excessive heat treatment. It was observed that using different tungstate precursors does influence the structural and morphological make-up of the resulting materials. The as-synthesized tungstate materials showed good photocatalytic performance for the degradation of methyl orange dye, while taking into account specific surface area and adsorbed dye amount on the surface of the material. Like the tungstate's, niobium-based oxide semiconductors CuNb 2O6 and ZnNb2O6 were the first to be synthesized via solution combustion synthesis. Particular attention was placed on the crystal structures formed while using an oxalate niobium precursor during the reaction process. X-ray patterns yielded a multiphase structure for the ZnNb2O6 and a single phase structure for CuNb 2O6

  5. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  6. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    PubMed

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  7. Metal Contacts in Semiconductors.

    DTIC Science & Technology

    1983-11-01

    greater understanding of the role that imperfec- tions, defects etc. play in the formation of Schottk~y barriers and related devices. In section 1 of...these effects. In Section 2 of this report we consider the role of surface defects in the pinning of the Fermi level at free semiconductor surfaces and...in the adsorption and oxidation processes involved when these surfaces interact with gases and metals. The role of imperfections at metal

  8. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  9. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  10. A silicon metal-oxide-semiconductor electron spin-orbit qubit.

    PubMed

    Jock, Ryan M; Jacobson, N Tobias; Harvey-Collard, Patrick; Mounce, Andrew M; Srinivasa, Vanita; Ward, Dan R; Anderson, John; Manginell, Ron; Wendt, Joel R; Rudolph, Martin; Pluym, Tammy; Gamble, John King; Baczewski, Andrew D; Witzel, Wayne M; Carroll, Malcolm S

    2018-05-02

    The silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin-orbit (SO) effects. Here we advantageously use interface-SO coupling for a critical control axis in a double-quantum-dot singlet-triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface-SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, [Formula: see text], of 1.6 μs is consistent with 99.95% 28 Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.

  11. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    PubMed

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  12. Dual passivation of intrinsic defects at the compound semiconductor/oxide interface using an oxidant and a reductant.

    PubMed

    Kent, Tyler; Chagarov, Evgeniy; Edmonds, Mary; Droopad, Ravi; Kummel, Andrew C

    2015-05-26

    Studies have shown that metal oxide semiconductor field-effect transistors fabricated utilizing compound semiconductors as the channel are limited in their electrical performance. This is attributed to imperfections at the semiconductor/oxide interface which cause electronic trap states, resulting in inefficient modulation of the Fermi level. The physical origin of these states is still debated mainly because of the difficulty in assigning a particular electronic state to a specific physical defect. To gain insight into the exact source of the electronic trap states, density functional theory was employed to model the intrinsic physical defects on the InGaAs (2 × 4) surface and to model the effective passivation of these defects by utilizing both an oxidant and a reductant to eliminate metallic bonds and dangling-bond-induced strain at the interface. Scanning tunneling microscopy and spectroscopy were employed to experimentally determine the physical and electronic defects and to verify the effectiveness of dual passivation with an oxidant and a reductant. While subsurface chemisorption of oxidants on compound semiconductor substrates can be detrimental, it has been shown theoretically and experimentally that oxidants are critical to removing metallic defects at oxide/compound semiconductor interfaces present in nanoscale channels, oxides, and other nanostructures.

  13. Improvement of hot-carrier and radiation hardnesses in metal-oxide-nitride-oxide semiconductor devices by irradiation-then-anneal treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang-Liao, K.S.; Hwu, J.G.

    The hardnesses of hot-carrier and radiation of metal-oxide nitride-oxide semiconductor (MONOS) devices can be improved by the irradiation-then-anneal (ITA) treatments. Each treatment includes an irradiation of Co-60 with a total dose of 1M rads(SiO[sub 2]) and an anneal in N[sub 2] at 400 C for 10 min successively. This improvement can be explained by the release of SiO[sub 2]/Si interfacial strain.

  14. Silver decorated polymer supported semiconductor thin films by UV aided metalized laser printing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Halbur, Jonathan C.; Padbury, Richard P.; Jur, Jesse S., E-mail: jsjur@ncsu.edu

    2016-05-15

    A facile ultraviolet assisted metalized laser printing technique is demonstrated through the ability to control selective photodeposition of silver on flexible substrates after atomic layer deposition pretreatment with zinc oxide and titania. The photodeposition of noble metals such as silver onto high surface area, polymer supported semiconductor metal oxides exhibits a new route for nanoparticle surface modification of photoactive enhanced substrates. Photodeposited silver is subsequently characterized using low voltage secondary electron microscopy, x-ray diffraction, and time of flight secondary ion mass spectroscopy. At the nanoscale, the formation of specific morphologies, flake and particle, is highlighted after silver is photodeposited onmore » zinc oxide and titania coated substrates, respectively. The results indicate that the morphology and composition of the silver after photodeposition has a strong dependency on the morphology, crystallinity, and impurity content of the underlying semiconductor oxide. At the macroscale, this work demonstrates how the nanoscale features rapidly coalesce into a printed pattern through the use of masks or an X-Y gantry stage with virtually unlimited design control.« less

  15. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  16. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  17. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE PAGES

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick; ...

    2018-05-02

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  18. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Li, L. H.; Deng, Z. X.; Xiao, J. X.; Yang, G. W.

    2015-06-01

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  19. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity.

    PubMed

    Li, L H; Deng, Z X; Xiao, J X; Yang, G W

    2015-01-26

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  20. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  1. Trap densities and transport properties of pentacene metal-oxide-semiconductor transistors. I. Analytical modeling of time-dependent characteristics

    NASA Astrophysics Data System (ADS)

    Basile, A. F.; Cramer, T.; Kyndiah, A.; Biscarini, F.; Fraboni, B.

    2014-06-01

    Metal-oxide-semiconductor (MOS) transistors fabricated with pentacene thin films were characterized by temperature-dependent current-voltage (I-V) characteristics, time-dependent current measurements, and admittance spectroscopy. The channel mobility shows almost linear variation with temperature, suggesting that only shallow traps are present in the semiconductor and at the oxide/semiconductor interface. The admittance spectra feature a broad peak, which can be modeled as the sum of a continuous distribution of relaxation times. The activation energy of this peak is comparable to the polaron binding energy in pentacene. The absence of trap signals in the admittance spectra confirmed that both the semiconductor and the oxide/semiconductor interface have negligible density of deep traps, likely owing to the passivation of SiO2 before pentacene growth. Nevertheless, current instabilities were observed in time-dependent current measurements following the application of gate-voltage pulses. The corresponding activation energy matches the energy of a hole trap in SiO2. We show that hole trapping in the oxide can explain both the temperature and the time dependences of the current instabilities observed in pentacene MOS transistors. The combination of these experimental techniques allows us to derive a comprehensive model for charge transport in hybrid architectures where trapping processes occur at various time and length scales.

  2. Chip-scale fluorescence microscope based on a silo-filter complementary metal-oxide semiconductor image sensor.

    PubMed

    Ah Lee, Seung; Ou, Xiaoze; Lee, J Eugene; Yang, Changhuei

    2013-06-01

    We demonstrate a silo-filter (SF) complementary metal-oxide semiconductor (CMOS) image sensor for a chip-scale fluorescence microscope. The extruded pixel design with metal walls between neighboring pixels guides fluorescence emission through the thick absorptive filter to the photodiode of a pixel. Our prototype device achieves 13 μm resolution over a wide field of view (4.8 mm × 4.4 mm). We demonstrate bright-field and fluorescence longitudinal imaging of living cells in a compact, low-cost configuration.

  3. Semiconductor metal oxide compounds based gas sensors: A literature review

    NASA Astrophysics Data System (ADS)

    Patil, Sunil Jagannath; Patil, Arun Vithal; Dighavkar, Chandrakant Govindrao; Thakare, Kashinath Shravan; Borase, Ratan Yadav; Nandre, Sachin Jayaram; Deshpande, Nishad Gopal; Ahire, Rajendra Ramdas

    2015-03-01

    This paper gives a statistical view about important contributions and advances on semiconductor metal oxide (SMO) compounds based gas sensors developed to detect the air pollutants such as liquefied petroleum gas (LPG), H2S, NH3, CO2, acetone, ethanol, other volatile compounds and hazardous gases. Moreover, it is revealed that the alloy/composite made up of SMO gas sensors show better gas response than their counterpart single component gas sensors, i.e., they are found to enhance the 4S characteristics namely speed, sensitivity, selectivity and stability. Improvement of such types of sensors used for detection of various air pollutants, which are reported in last two decades, is highlighted herein.

  4. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    NASA Astrophysics Data System (ADS)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  5. INTERDISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Quantum-Mechanical Study on Surrounding-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Hu, Guang-Xi; Wang, Ling-Li; Liu, Ran; Tang, Ting-Ao; Qiu, Zhi-Jun

    2010-10-01

    As the channel length of metal-oxide-semiconductor field-effect transistors (MOSFETs) scales into the nanometer regime, quantum mechanical effects are becoming more and more significant. In this work, a model for the surrounding-gate (SG) nMOSFET is developed. The Schrödinger equation is solved analytically. Some of the solutions are verified via results obtained from simulations. It is found that the percentage of the electrons with lighter conductivity mass increases as the silicon body radius decreases, or as the gate voltage reduces, or as the temperature decreases. The centroid of inversion-layer is driven away from the silicon-oxide interface towards the silicon body, therefore the carriers will suffer less scattering from the interface and the electrons effective mobility of the SG nMOSFETs will be enhanced.

  6. Radiation induced failures of complementary metal oxide semiconductor containing pacemakers: a potentially lethal complication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lewin, A.A.; Serago, C.F.; Schwade, J.G.

    1984-10-01

    New multi-programmable pacemakers frequently employ complementary metal oxide semiconductors (CMOS). This circuitry appears more sensitive to the effects of ionizing radiation when compared to the semiconductor circuits used in older pacemakers. A case of radiation induced runaway pacemaker in a CMOS device is described. Because of this and other recent reports of radiation therapy-induced CMOS type pacemaker failure, these pacemakers should not be irradiated. If necessary, the pacemaker can be shielded or moved to a site which can be shielded before institution of radiation therapy. This is done to prevent damage to the CMOS circuit and the life threatening arrythmiasmore » which may result from such damage.« less

  7. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    NASA Astrophysics Data System (ADS)

    Liu, Gang; Tuttle, Blair R.; Dhar, Sarit

    2015-06-01

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO2/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  8. Selective CO2 reduction conjugated with H2O oxidation utilizing semiconductor/metal-complex hybrid photocatalysts

    NASA Astrophysics Data System (ADS)

    Morikawa, T.; Sato, S.; Arai, T.; Uemura, K.; Yamanaka, K. I.; Suzuki, T. M.; Kajino, T.; Motohiro, T.

    2013-12-01

    We developed a new hybrid photocatalyst for CO2 reduction, which is composed of a semiconductor and a metal complex. In the hybrid photocatalyst, ΔG between the position of conduction band minimum (ECBM) of the semiconductor and the CO2 reduction potential of the complex is an essential factor for realizing fast electron transfer from the conduction band of semiconductor to metal complex leading to high photocatalytic activity. On the basis of this concept, the hybrid photocatalyst InP/Ru-complex, which functions in aqueous media, was developed. The photoreduction of CO2 to formate using water as an electron donor and a proton source was successfully achieved as a Z-scheme system by functionally conjugating the InP/Ru-complex photocatalyst for CO2 reduction with a TiO2 photocatalyst for water oxidation. The conversion efficiency from solar energy to chemical energy was ca. 0.04%, which approaches that for photosynthesis in a plant. Because this system can be applied to many other inorganic semiconductors and metal-complex catalysts, the efficiency and reaction selectivity can be enhanced by optimization of the electron transfer process including the energy-band configurations, conjugation conformations, and catalyst structures. This electrical-bias-free reaction is a huge leap forward for future practical applications of artificial photosynthesis under solar irradiation to produce organic species.

  9. CONDENSED MATTER: ELECTRONIC STRUCTURE, ELECTRICAL, MAGNETIC, AND OPTICAL PROPERTIES: Switching Characteristics of Phase Change Memory Cell Integrated with Metal-Oxide Semiconductor Field Effect Transistor

    NASA Astrophysics Data System (ADS)

    Xu, Cheng; Liu, Bo; Chen, Yi-Feng; Liang, Shuang; Song, Zhi-Tang; Feng, Song-Lin; Wan, Xu-Dong; Yang, Zuo-Ya; Xie, Joseph; Chen, Bomy

    2008-05-01

    A Ge2Sb2Te5 based phase change memory device cell integrated with metal-oxide semiconductor field effect transistor (MOSFET) is fabricated using standard 0. 18 μm complementary metal-oxide semiconductor process technology. It shows steady switching characteristics in the dc current-voltage measurement. The phase changing phenomenon from crystalline state to amorphous state with a voltage pulse altitude of 2.0 V and pulse width of 50 ns is also obtained. These results show the feasibility of integrating phase change memory cell with MOSFET.

  10. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  11. Low temperature mobility in hafnium-oxide gated germanium p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Beer, Chris; Whall, Terry; Parker, Evan; Leadley, David; De Jaeger, Brice; Nicholas, Gareth; Zimmerman, Paul; Meuris, Marc; Szostak, Slawomir; Gluszko, Grzegorz; Lukasiak, Lidia

    2007-12-01

    Effective mobility measurements have been made at 4.2K on high performance high-k gated germanium p-type metal-oxide-semiconductor field effect transistors with a range of Ge/gate dielectric interface state densities. The mobility is successfully modelled by assuming surface roughness and interface charge scattering at the SiO2 interlayer/Ge interface. The deduced interface charge density is approximately equal to the values obtained from the threshold voltage and subthreshold slope measurements on each device. A hydrogen anneal reduces both the interface state density and the surface root mean square roughness by 20%.

  12. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals

    NASA Astrophysics Data System (ADS)

    Carey, Benjamin J.; Ou, Jian Zhen; Clark, Rhiannon M.; Berean, Kyle J.; Zavabeti, Ali; Chesman, Anthony S. R.; Russo, Salvy P.; Lau, Desmond W. M.; Xu, Zai-Quan; Bao, Qiaoliang; Kevehei, Omid; Gibson, Brant C.; Dickey, Michael D.; Kaner, Richard B.; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-02-01

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (~1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes.

  13. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals.

    PubMed

    Carey, Benjamin J; Ou, Jian Zhen; Clark, Rhiannon M; Berean, Kyle J; Zavabeti, Ali; Chesman, Anthony S R; Russo, Salvy P; Lau, Desmond W M; Xu, Zai-Quan; Bao, Qiaoliang; Kevehei, Omid; Gibson, Brant C; Dickey, Michael D; Kaner, Richard B; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-02-17

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (∼1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes.

  14. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals

    PubMed Central

    Carey, Benjamin J.; Ou, Jian Zhen; Clark, Rhiannon M.; Berean, Kyle J.; Zavabeti, Ali; Chesman, Anthony S. R.; Russo, Salvy P.; Lau, Desmond W. M.; Xu, Zai-Quan; Bao, Qiaoliang; Kavehei, Omid; Gibson, Brant C.; Dickey, Michael D.; Kaner, Richard B.; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-01-01

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (∼1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes. PMID:28211538

  15. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lei; Yan, Danhua; Shaffer, David W.

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  16. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE PAGES

    Wang, Lei; Yan, Danhua; Shaffer, David W.; ...

    2017-12-27

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  17. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    NASA Astrophysics Data System (ADS)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  18. A silicon-on-insulator complementary-metal-oxide-semiconductor compatible flexible electronics technology

    NASA Astrophysics Data System (ADS)

    Tu, Hongen; Xu, Yong

    2012-07-01

    This paper reports a simple flexible electronics technology that is compatible with silicon-on-insulator (SOI) complementary-metal-oxide-semiconductor (CMOS) processes. Compared with existing technologies such as direct fabrication on flexible substrates and transfer printing, the main advantage of this technology is its post-SOI-CMOS compatibility. Consequently, high-performance and high-density CMOS circuits can be first fabricated on SOI wafers using commercial foundry and then be integrated into flexible substrates. The yield is also improved by eliminating the transfer printing step. Furthermore, this technology allows the integration of various sensors and microfluidic devices. To prove the concept of this technology, flexible MOSFETs have been demonstrated.

  19. Metal-Insulator-Semiconductor Photodetectors

    PubMed Central

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III–V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows. PMID:22163382

  20. Metal oxides for optoelectronic applications.

    PubMed

    Yu, Xinge; Marks, Tobin J; Facchetti, Antonio

    2016-04-01

    Metal oxides (MOs) are the most abundant materials in the Earth's crust and are ingredients in traditional ceramics. MO semiconductors are strikingly different from conventional inorganic semiconductors such as silicon and III-V compounds with respect to materials design concepts, electronic structure, charge transport mechanisms, defect states, thin-film processing and optoelectronic properties, thereby enabling both conventional and completely new functions. Recently, remarkable advances in MO semiconductors for electronics have been achieved, including the discovery and characterization of new transparent conducting oxides, realization of p-type along with traditional n-type MO semiconductors for transistors, p-n junctions and complementary circuits, formulations for printing MO electronics and, most importantly, commercialization of amorphous oxide semiconductors for flat panel displays. This Review surveys the uniqueness and universality of MOs versus other unconventional electronic materials in terms of materials chemistry and physics, electronic characteristics, thin-film fabrication strategies and selected applications in thin-film transistors, solar cells, diodes and memories.

  1. Metal oxides for optoelectronic applications

    NASA Astrophysics Data System (ADS)

    Yu, Xinge; Marks, Tobin J.; Facchetti, Antonio

    2016-04-01

    Metal oxides (MOs) are the most abundant materials in the Earth's crust and are ingredients in traditional ceramics. MO semiconductors are strikingly different from conventional inorganic semiconductors such as silicon and III-V compounds with respect to materials design concepts, electronic structure, charge transport mechanisms, defect states, thin-film processing and optoelectronic properties, thereby enabling both conventional and completely new functions. Recently, remarkable advances in MO semiconductors for electronics have been achieved, including the discovery and characterization of new transparent conducting oxides, realization of p-type along with traditional n-type MO semiconductors for transistors, p-n junctions and complementary circuits, formulations for printing MO electronics and, most importantly, commercialization of amorphous oxide semiconductors for flat panel displays. This Review surveys the uniqueness and universality of MOs versus other unconventional electronic materials in terms of materials chemistry and physics, electronic characteristics, thin-film fabrication strategies and selected applications in thin-film transistors, solar cells, diodes and memories.

  2. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  3. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    NASA Astrophysics Data System (ADS)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  4. Highly-Sensitive Thin Film THz Detector Based on Edge Metal-Semiconductor-Metal Junction.

    PubMed

    Jeon, Youngeun; Jung, Sungchul; Jin, Hanbyul; Mo, Kyuhyung; Kim, Kyung Rok; Park, Wook-Ki; Han, Seong-Tae; Park, Kibog

    2017-12-04

    Terahertz (THz) detectors have been extensively studied for various applications such as security, wireless communication, and medical imaging. In case of metal-insulator-metal (MIM) tunnel junction THz detector, a small junction area is desirable because the detector response time can be shortened by reducing it. An edge metal-semiconductor-metal (EMSM) junction has been developed with a small junction area controlled precisely by the thicknesses of metal and semiconductor films. The voltage response of the EMSM THz detector shows the clear dependence on the polarization angle of incident THz wave and the responsivity is found to be very high (~2,169 V/W) at 0.4 THz without any antenna and signal amplifier. The EMSM junction structure can be a new and efficient way of fabricating the nonlinear device THz detector with high cut-off frequency relying on extremely small junction area.

  5. X-ray Characterization of Oxide-based Magnetic Semiconductors

    NASA Astrophysics Data System (ADS)

    Idzerda, Yves

    2008-05-01

    Although the evidence for magnetic semiconductors (not simply semiconductors which are ferromagnetic) is compelling, there is much uncertainty in the mechanism for the polarization of the carriers, suggesting that it must be quite novel. Recent experimental evidence suggests that this mechanism is similar to the polaron percolation theory proposed by Kaminski and Das Sarma,ootnotetextKaminski and S. Das Sarma, Physical Review Letters 88, 247202 (2002). which was recently applied specifically to doped oxides by Coey et al.ootnotetextJ. M. D. Coey, M. Venkatesan, and C. B. Fitzgerald, Nature Materials 4, 173 (2005). where the ferromagnetism is driven by the percolation of polarons generated by defects or dopants. We have used X-ray absorption spectroscopy at the L-edges and K-edges for low concentrations transition metal (TM) doped magnetic oxides (including TiO2, La1-xSrxO3, HfO2, and In2O3). We have found that in most cases, the transition metal assumes a valence consistent with being at a substitutional, and not interstitial site. We have also measured the X-ray Magnetic Circular Dichroism spectra. Although these materials show strong bulk magnetization, we are unable to detect a robust dichroism feature associated with magnetic elements in the host semiconductor. In the cases where a dichroism signal was observed, it was very weak and could be ascribed to a distinct ferromagnetic phase (TM metal cluster, TM oxide particulate, etc.) separate from the host material. This fascinating absence of a dichroic signal and its significant substantiation of important features of the polaron percolation model may help to finally resolve the issue of ferromagnetism in magnetically doped oxides.

  6. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    NASA Astrophysics Data System (ADS)

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V-1 s-1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  7. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass.

    PubMed

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-08

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co 28.6 Fe 12.4 Ta 4.3 B 8.7 O 46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm 2  V -1  s -1 . Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  8. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    PubMed Central

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-an; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-01-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III–V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p–n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V−1 s−1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities. PMID:27929059

  9. Prediction of the thermal annealing of thick oxide metal-oxide-semiconductor dosimeters irradiated in a harsh radiation environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravotti, F.; Glaser, M.; Saigne, F.

    Radiation-sensing metal-oxide-semiconductor field-effect transistors produced by the laboratory LAAS-CNRS were exposed to a harsh hadron field that represents the real radiation environment expected at the CERN Large Hadron Collider experiments. The long-term stability of the transistor's I{sub ds}-V{sub gs} characteristic was investigated using the isochronal annealing technique. In this work, devices exposed to high intensity hadron levels ({phi}{>=}10{sup 12} neutrons/cm{sup 2}) show evidences of displacement damages in the I{sub ds}-V{sub gs} annealing behavior. By comparing experimental and simulated results over 14 months, the isochronal annealing method, originally devoted to oxide trapped charge, is shown to enable prediction of the recoverymore » of silicon bulk defects.« less

  10. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    PubMed

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  11. Inversion channel diamond metal-oxide-semiconductor field-effect transistor with normally off characteristics.

    PubMed

    Matsumoto, Tsubasa; Kato, Hiromitsu; Oyama, Kazuhiro; Makino, Toshiharu; Ogura, Masahiko; Takeuchi, Daisuke; Inokuma, Takao; Tokuda, Norio; Yamasaki, Satoshi

    2016-08-22

    We fabricated inversion channel diamond metal-oxide-semiconductor field-effect transistors (MOSFETs) with normally off characteristics. At present, Si MOSFETs and insulated gate bipolar transistors (IGBTs) with inversion channels are widely used because of their high controllability of electric power and high tolerance. Although a diamond semiconductor is considered to be a material with a strong potential for application in next-generation power devices, diamond MOSFETs with an inversion channel have not yet been reported. We precisely controlled the MOS interface for diamond by wet annealing and fabricated p-channel and planar-type MOSFETs with phosphorus-doped n-type body on diamond (111) substrate. The gate oxide of Al2O3 was deposited onto the n-type diamond body by atomic layer deposition at 300 °C. The drain current was controlled by the negative gate voltage, indicating that an inversion channel with a p-type character was formed at a high-quality n-type diamond body/Al2O3 interface. The maximum drain current density and the field-effect mobility of a diamond MOSFET with a gate electrode length of 5 μm were 1.6 mA/mm and 8.0 cm(2)/Vs, respectively, at room temperature.

  12. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    PubMed

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  13. Fabrication of Smooth Patterned Structures of Refractory Metals, Semiconductors, and Oxides via Template Stripping

    PubMed Central

    2013-01-01

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics. PMID:24001174

  14. Metal-insulator-semiconductor capacitors with bismuth oxide as insulator

    NASA Astrophysics Data System (ADS)

    Raju, T. A.; Talwai, A. S.

    1981-07-01

    Metal-insulator-semiconductor capacitors using aluminum Bi2O3 and silicon have been studied for varactor applications. Reactively sputtered Bi2O3 films which under suitable proportions of oxygen and argon and had high resistivity suitable for device applications showed a dielectric constant of 25.

  15. Studies of Large-Area Inversion-Layer Metal-Insulator-Semiconductor (IL/MIS) Solar Cells and Arrays

    NASA Technical Reports Server (NTRS)

    Ho, Fat Duen

    1996-01-01

    Many inversion-layer metal-insulator-semiconductor (IL/MIS) solar cells have been fabricated. There are around eighteen 1 cm(exp 2) IL/MIS solar cells which have efficiencies greater than 7%. There are only about three 19 cm(exp 2) IL/MIS cells which have efficiencies greater than 4%. The more accurate control of the thickness of the thin layer of oxide between aluminum and silicon of the MIS contacts has been achieved. A lot of effort and progress have been made in this area. A comprehensive model for MIS contacts under dark conditions has been developed that covers a wide range of parameters. It has been applied to MIS solar cells. One of the main advantages of these models is the prediction of the range of the thin oxide thickness versus the maximum efficiencies of the MIS solar cells. This is particularly important when the thickness is increased to 25 A. This study is very useful for our investigation of the IL/MIS solar cells. The two-dimensional numerical model for the IL/MIS solar cells has been tried to develop and the results are presented in this report.

  16. Nanoscale Metal Oxide Semiconductors for Gas Sensing

    NASA Technical Reports Server (NTRS)

    Hunter, Gary W.; Evans, Laura; Xu, Jennifer C.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.

    2011-01-01

    A report describes the fabrication and testing of nanoscale metal oxide semiconductors (MOSs) for gas and chemical sensing. This document examines the relationship between processing approaches and resulting sensor behavior. This is a core question related to a range of applications of nanotechnology and a number of different synthesis methods are discussed: thermal evaporation- condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed, providing a processing overview to developers of nanotechnology- based systems. The results of a significant amount of testing and comparison are also described. A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. The TECsynthesized single-crystal nanowires offer uniform crystal surfaces, resistance to sintering, and their synthesis may be done apart from the substrate. The TECproduced nanowire response is very low, even at the operating temperature of 200 C. In contrast, the electrospun polycrystalline nanofiber response is high, suggesting that junction potentials are superior to a continuous surface depletion layer as a transduction mechanism for chemisorption. Using a catalyst deposited upon the surface in the form of nanoparticles yields dramatic gains in sensitivity for both nanostructured, one-dimensional forms. For the nanowire materials, the response magnitude and response rate uniformly increase with increasing operating temperature. Such changes are interpreted in terms of accelerated surface diffusional processes, yielding greater access to chemisorbed oxygen species and faster dissociative chemisorption, respectively. Regardless of operating temperature, sensitivity of the nanofibers is a factor of 10 to 100 greater than that of nanowires with the same catalyst for the same test condition. In summary, nanostructure appears critical to governing the reactivity, as measured by electrical

  17. Single-photon imaging in complementary metal oxide semiconductor processes

    PubMed Central

    Charbon, E.

    2014-01-01

    This paper describes the basics of single-photon counting in complementary metal oxide semiconductors, through single-photon avalanche diodes (SPADs), and the making of miniaturized pixels with photon-counting capability based on SPADs. Some applications, which may take advantage of SPAD image sensors, are outlined, such as fluorescence-based microscopy, three-dimensional time-of-flight imaging and biomedical imaging, to name just a few. The paper focuses on architectures that are best suited to those applications and the trade-offs they generate. In this context, architectures are described that efficiently collect the output of single pixels when designed in large arrays. Off-chip readout circuit requirements are described for a variety of applications in physics, medicine and the life sciences. Owing to the dynamic nature of SPADs, designs featuring a large number of SPADs require careful analysis of the target application for an optimal use of silicon real estate and of limited readout bandwidth. The paper also describes the main trade-offs involved in architecting such chips and the solutions adopted with focus on scalability and miniaturization. PMID:24567470

  18. Band structure engineering strategies of metal oxide semiconductor nanowires and related nanostructures: A review

    NASA Astrophysics Data System (ADS)

    Piyadasa, Adimali; Wang, Sibo; Gao, Pu-Xian

    2017-07-01

    The electronic band structure of a solid state semiconductor determines many of its physical and chemical characteristics such as electrical, optical, physicochemical, and catalytic activity. Alteration or modification of the band structure could lead to significant changes in these physical and chemical characteristics, therefore we introduce new mechanisms of creating novel solid state materials with interesting properties. Over the past three decades, research on band structure engineering has allowed development of various methods to modify the band structure of engineered materials. Compared to bulk counterparts, nanostructures generally exhibit higher band structure modulation capabilities due to the quantum confinement effect, prominent surface effect, and higher strain limit. In this review we will discuss various band structure engineering strategies in semiconductor nanowires and other related nanostructures, mostly focusing on metal oxide systems. Several important strategies of band structure modulation are discussed in detail, such as doping, alloying, straining, interface and core-shell nanostructuring.

  19. Visible light water splitting using dye-sensitized oxide semiconductors.

    PubMed

    Youngblood, W Justin; Lee, Seung-Hyun Anna; Maeda, Kazuhiko; Mallouk, Thomas E

    2009-12-21

    Researchers are intensively investigating photochemical water splitting as a means of converting solar to chemical energy in the form of fuels. Hydrogen is a key solar fuel because it can be used directly in combustion engines or fuel cells, or combined catalytically with CO(2) to make carbon containing fuels. Different approaches to solar water splitting include semiconductor particles as photocatalysts and photoelectrodes, molecular donor-acceptor systems linked to catalysts for hydrogen and oxygen evolution, and photovoltaic cells coupled directly or indirectly to electrocatalysts. Despite several decades of research, solar hydrogen generation is efficient only in systems that use expensive photovoltaic cells to power water electrolysis. Direct photocatalytic water splitting is a challenging problem because the reaction is thermodynamically uphill. Light absorption results in the formation of energetic charge-separated states in both molecular donor-acceptor systems and semiconductor particles. Unfortunately, energetically favorable charge recombination reactions tend to be much faster than the slow multielectron processes of water oxidation and reduction. Consequently, visible light water splitting has only recently been achieved in semiconductor-based photocatalytic systems and remains an inefficient process. This Account describes our approach to two problems in solar water splitting: the organization of molecules into assemblies that promote long-lived charge separation, and catalysis of the electrolysis reactions, in particular the four-electron oxidation of water. The building blocks of our artificial photosynthetic systems are wide band gap semiconductor particles, photosensitizer and electron relay molecules, and nanoparticle catalysts. We intercalate layered metal oxide semiconductors with metal nanoparticles. These intercalation compounds, when sensitized with [Ru(bpy)(3)](2+) derivatives, catalyze the photoproduction of hydrogen from sacrificial

  20. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  1. Selective CO{sub 2} reduction conjugated with H{sub 2}O oxidation utilizing semiconductor/metal-complex hybrid photocatalysts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morikawa, T., E-mail: morikawa@mosk.tytlabs.co.jp; Sato, S., E-mail: morikawa@mosk.tytlabs.co.jp; Arai, T., E-mail: morikawa@mosk.tytlabs.co.jp

    2013-12-10

    We developed a new hybrid photocatalyst for CO{sub 2} reduction, which is composed of a semiconductor and a metal complex. In the hybrid photocatalyst, ΔG between the position of conduction band minimum (E{sub CBM}) of the semiconductor and the CO{sub 2} reduction potential of the complex is an essential factor for realizing fast electron transfer from the conduction band of semiconductor to metal complex leading to high photocatalytic activity. On the basis of this concept, the hybrid photocatalyst InP/Ru-complex, which functions in aqueous media, was developed. The photoreduction of CO{sub 2} to formate using water as an electron donor andmore » a proton source was successfully achieved as a Z-scheme system by functionally conjugating the InP/Ru-complex photocatalyst for CO{sub 2} reduction with a TiO{sub 2} photocatalyst for water oxidation. The conversion efficiency from solar energy to chemical energy was ca. 0.04%, which approaches that for photosynthesis in a plant. Because this system can be applied to many other inorganic semiconductors and metal-complex catalysts, the efficiency and reaction selectivity can be enhanced by optimization of the electron transfer process including the energy-band configurations, conjugation conformations, and catalyst structures. This electrical-bias-free reaction is a huge leap forward for future practical applications of artificial photosynthesis under solar irradiation to produce organic species.« less

  2. Estimation of carrier mobility and charge behaviors of organic semiconductor films in metal-insulator-semiconductor diodes consisting of high-k oxide/organic semiconductor double layers

    NASA Astrophysics Data System (ADS)

    Chosei, Naoya; Itoh, Eiji

    2018-02-01

    We have comparatively studied the charge behaviors of organic semiconductor films based on charge extraction by linearly increasing voltage in a metal-insulator-semiconductor (MIS) diode structure (MIS-CELIV) and by classical capacitance-voltage measurement. The MIS-CELIV technique allows the selective measurement of electron and hole mobilities of n- and p-type organic films with thicknesses representative of those of actual devices. We used an anodic oxidized sputtered Ta or Hf electrode as a high-k layer, and it effectively blocked holes at the insulator/semiconductor interface. We estimated the hole mobilities of the polythiophene derivatives regioregular poly(3-hexylthiophene) (P3HT) and poly(3,3‧‧‧-didodecylquarterthiophene) (PQT-12) before and after heat treatment in the ITO/high-k/(thin polymer insulator)/semiconductor/MoO3/Ag device structure. The hole mobility of PQT-12 was improved from 1.1 × 10-5 to 2.1 × 10-5 cm2 V-1 s-1 by the heat treatment of the device at 100 °C for 30 min. An almost two orders of magnitude higher mobility was obtained in MIS diodes with P3HT as the p-type layer. We also determined the capacitance from the displacement current in MIS diodes at a relatively low-voltage sweep, and it corresponded well to the classical capacitance-voltage and frequency measurement results.

  3. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}),more » which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.« less

  4. Single photon sources in 4H-SiC metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Abe, Y.; Umeda, T.; Okamoto, M.; Kosugi, R.; Harada, S.; Haruyama, M.; Kada, W.; Hanaizumi, O.; Onoda, S.; Ohshima, T.

    2018-01-01

    We present single photon sources (SPSs) embedded in 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs). They are formed in the SiC/SiO2 interface regions of wet-oxidation C-face 4H-SiC MOSFETs and were not found in other C-face and Si-face MOSFETs. Their bright room-temperature photoluminescence (PL) was observed in the range from 550 to 750 nm and revealed variable multi-peak structures as well as variable peak shifts. We characterized a wide variety of their PL spectra as the inevitable variation of local atomic structures at the interface. Their polarization dependence indicates that they are formed at the SiC side of the interface. We also demonstrate that it is possible to switch on/off the SPSs by a bias voltage of the MOSFET.

  5. Solution Processed Metal Oxide High-κ Dielectrics for Emerging Transistors and Circuits.

    PubMed

    Liu, Ao; Zhu, Huihui; Sun, Huabin; Xu, Yong; Noh, Yong-Young

    2018-06-14

    The electronic functionalities of metal oxides comprise conductors, semiconductors, and insulators. Metal oxides have attracted great interest for construction of large-area electronics, particularly thin-film transistors (TFTs), for their high optical transparency, excellent chemical and thermal stability, and mechanical tolerance. High-permittivity (κ) oxide dielectrics are a key component for achieving low-voltage and high-performance TFTs. With the expanding integration of complementary metal oxide semiconductor transistors, the replacement of SiO 2 with high-κ oxide dielectrics has become urgently required, because their provided thicker layers suppress quantum mechanical tunneling. Toward low-cost devices, tremendous efforts have been devoted to vacuum-free, solution processable fabrication, such as spin coating, spray pyrolysis, and printing techniques. This review focuses on recent progress in solution processed high-κ oxide dielectrics and their applications to emerging TFTs. First, the history, basics, theories, and leakage current mechanisms of high-κ oxide dielectrics are presented, and the underlying mechanism for mobility enhancement over conventional SiO 2 is outlined. Recent achievements of solution-processed high-κ oxide materials and their applications in TFTs are summarized and traditional coating methods and emerging printing techniques are introduced. Finally, low temperature approaches, e.g., ecofriendly water-induced, self-combustion reaction, and energy-assisted post treatments, for the realization of flexible electronics and circuits are discussed. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Organic molecules on metal and oxide semiconductor substrates: Adsorption behavior and electronic energy level alignment

    NASA Astrophysics Data System (ADS)

    Ruggieri, Charles M.

    Modern devices such as organic light emitting diodes use organic/oxide and organic/metal interfaces for crucial processes such as charge injection and charge transfer. Understanding fundamental physical processes occurring at these interfaces is essential to improving device performance. The ultimate goal of studying such interfaces is to form a predictive model of interfacial interactions, which has not yet been established. To this end, this thesis focuses on obtaining a better understanding of fundamental physical interactions governing molecular self-assembly and electronic energy level alignment at organic/metal and organic/oxide interfaces. This is accomplished by investigating both the molecular adsorption geometry using scanning tunneling microscopy, as well as the electronic structure at the interface using direct and inverse photoemission spectroscopy, and analyzing the results in the context of first principles electronic structure calculations. First, we study the adsorption geometry of zinc tetraphenylporphyrin (ZnTPP) molecules on three noble metal surfaces: Au(111), Ag(111), and Ag(100). These surfaces were chosen to systematically compare the molecular self-assembly and adsorption behavior on two metals of the same surface symmetry and two surface symmetries of one metal. From this investigation, we improve the understanding of self-assembly at organic/metal interfaces and the relative strengths of competing intermolecular and molecule-substrate interactions that influence molecular adsorption geometry. We then investigate the electronic structure of the ZnTPP/Au(111), Ag(111), and Ag(100) interfaces as examples of weakly-interacting systems. We compare these cases to ZnTPP on TiO2(110), a wide-bandgap oxide semiconductor, and explain the intermolecular and molecule-substrate interactions that determine the electronic energy level alignment at the interface. Finally we study tetracyanoquinodimethane (TCNQ), a strong electron acceptor, on TiO2

  7. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Asoka-Kumar, P.; Leung, T. C.; Lynn, K. G.; Nielsen, B.; Forcier, M. P.; Weinberg, Z. A.; Rubloff, G. W.

    1992-06-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions.

  8. Current-voltage characteristics of the semiconductor nanowires under the metal-semiconductor-metal structure

    NASA Astrophysics Data System (ADS)

    Wen, Jing; Zhang, Xitian; Gao, Hong; Wang, Mingjiao

    2013-12-01

    We present a method to calculate the I-V characteristics of semiconductor nanowires under the metal-semiconductor-metal (MSM) structure. The carrier concentration as an important parameter is introduced into the expression of the current. The subband structure of the nanowire has been considered for associating it with the position of the Fermi level and circumventing the uncertainties of the contact areas in the contacts. The tunneling and thermionic emission currents in the two Schottky barriers at the two metal-semiconductor contacts are discussed. We find that the two barriers have different influences on the I-V characteristics of the MSM structure, one of which under the forward bias plays the role of threshold voltage if its barrier height is large and the applied voltage is small, and the other under the reverse bias controls the shapes of I-V curves. Our calculations show that the shapes of the I-V curves for the MSM structure are mainly determined by the barrier heights of the contacts and the carrier concentration. The nearly identical I-V characteristics can be obtained by using different values of the barrier heights and carrier concentration, which means that the contact type conversion can be ascribed not only to the changes of the barrier heights but also that of the carrier concentration. We also discuss the mechanisms of the ohmic-Schottky conversions and clarify the ambiguity in the literature. The possibility about the variation of the carrier concentration under the applied fields has been confirmed by experimental results.

  9. Infrared rectification in a nanoantenna-coupled metal-oxide-semiconductor tunnel diode.

    PubMed

    Davids, Paul S; Jarecki, Robert L; Starbuck, Andrew; Burckel, D Bruce; Kadlec, Emil A; Ribaudo, Troy; Shaner, Eric A; Peters, David W

    2015-12-01

    Direct rectification of electromagnetic radiation is a well-established method for wireless power conversion in the microwave region of the spectrum, for which conversion efficiencies in excess of 84% have been demonstrated. Scaling to the infrared or optical part of the spectrum requires ultrafast rectification that can only be obtained by direct tunnelling. Many research groups have looked to plasmonics to overcome antenna-scaling limits and to increase the confinement. Recently, surface plasmons on heavily doped Si surfaces were investigated as a way of extending surface-mode confinement to the thermal infrared region. Here we combine a nanostructured metallic surface with a heavily doped Si infrared-reflective ground plane designed to confine infrared radiation in an active electronic direct-conversion device. The interplay of strong infrared photon-phonon coupling and electromagnetic confinement in nanoscale devices is demonstrated to have a large impact on ultrafast electronic tunnelling in metal-oxide-semiconductor (MOS) structures. Infrared dispersion of SiO2 near a longitudinal optical (LO) phonon mode gives large transverse-field confinement in a nanometre-scale oxide-tunnel gap as the wavelength-dependent permittivity changes from 1 to 0, which leads to enhanced electromagnetic fields at material interfaces and a rectified displacement current that provides a direct conversion of infrared radiation into electric current. The spectral and electrical signatures of the nanoantenna-coupled tunnel diodes are examined under broadband blackbody and quantum-cascade laser (QCL) illumination. In the region near the LO phonon resonance, we obtained a measured photoresponsivity of 2.7 mA W(-1) cm(-2) at -0.1 V.

  10. Metal contact engineering and registration-free fabrication of complementary metal-oxide semiconductor integrated circuits using aligned carbon nanotubes.

    PubMed

    Wang, Chuan; Ryu, Koungmin; Badmaev, Alexander; Zhang, Jialu; Zhou, Chongwu

    2011-02-22

    Complementary metal-oxide semiconductor (CMOS) operation is very desirable for logic circuit applications as it offers rail-to-rail swing, larger noise margin, and small static power consumption. However, it remains to be a challenging task for nanotube-based devices. Here in this paper, we report our progress on metal contact engineering for n-type nanotube transistors and CMOS integrated circuits using aligned carbon nanotubes. By using Pd as source/drain contacts for p-type transistors, small work function metal Gd as source/drain contacts for n-type transistors, and evaporated SiO(2) as a passivation layer, we have achieved n-type transistor, PN diode, and integrated CMOS inverter with an air-stable operation. Compared with other nanotube n-doping techniques, such as potassium doping, PEI doping, hydrazine doping, etc., using low work function metal contacts for n-type nanotube devices is not only air stable but also integrated circuit fabrication compatible. Moreover, our aligned nanotube platform for CMOS integrated circuits shows significant advantage over the previously reported individual nanotube platforms with respect to scalability and reproducibility and suggests a practical and realistic approach for nanotube-based CMOS integrated circuit applications.

  11. Models of second-order effects in metal-oxide-semiconductor field-effect transistors for computer applications

    NASA Technical Reports Server (NTRS)

    Benumof, Reuben; Zoutendyk, John; Coss, James

    1988-01-01

    Second-order effects in metal-oxide-semiconductor field-effect transistors (MOSFETs) are important for devices with dimensions of 2 microns or less. The short and narrow channel effects and drain-induced barrier lowering primarily affect threshold voltage, but formulas for drain current must also take these effects into account. In addition, the drain current is sensitive to channel length modulation due to pinch-off or velocity saturation and is diminished by electron mobility degradation due to normal and lateral electric fields in the channel. A model of a MOSFET including these considerations and emphasizing charge conservation is discussed.

  12. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulationmore » by the gate and pinch off.« less

  13. Monolithic integration of GaN-based light-emitting diodes and metal-oxide-semiconductor field-effect transistors.

    PubMed

    Lee, Ya-Ju; Yang, Zu-Po; Chen, Pin-Guang; Hsieh, Yung-An; Yao, Yung-Chi; Liao, Ming-Han; Lee, Min-Hung; Wang, Mei-Tan; Hwang, Jung-Min

    2014-10-20

    In this study, we report a novel monolithically integrated GaN-based light-emitting diode (LED) with metal-oxide-semiconductor field-effect transistor (MOSFET). Without additionally introducing complicated epitaxial structures for transistors, the MOSFET is directly fabricated on the exposed n-type GaN layer of the LED after dry etching, and serially connected to the LED through standard semiconductor-manufacturing technologies. Such monolithically integrated LED/MOSFET device is able to circumvent undesirable issues that might be faced by other kinds of integration schemes by growing a transistor on an LED or vice versa. For the performances of resulting device, our monolithically integrated LED/MOSFET device exhibits good characteristics in the modulation of gate voltage and good capability of driving injected current, which are essential for the important applications such as smart lighting, interconnection, and optical communication.

  14. Effect of NO annealing on charge traps in oxide insulator and transition layer for 4H-SiC metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Jia, Yifan; Lv, Hongliang; Niu, Yingxi; Li, Ling; Song, Qingwen; Tang, Xiaoyan; Li, Chengzhan; Zhao, Yanli; Xiao, Li; Wang, Liangyong; Tang, Guangming; Zhang, Yimen; Zhang, Yuming

    2016-09-01

    The effect of nitric oxide (NO) annealing on charge traps in the oxide insulator and transition layer in n-type 4H-SiC metal-oxide-semiconductor (MOS) devices has been investigated using the time-dependent bias stress (TDBS), capacitance-voltage (C-V), and secondary ion mass spectroscopy (SIMS). It is revealed that two main categories of charge traps, near interface oxide traps (Nniot) and oxide traps (Not), have different responses to the TDBS and C-V characteristics in NO-annealed and Ar-annealed samples. The Nniot are mainly responsible for the hysteresis occurring in the bidirectional C-V characteristics, which are very close to the semiconductor interface and can readily exchange charges with the inner semiconductor. However, Not is mainly responsible for the TDBS induced C-V shifts. Electrons tunneling into the Not are hardly released quickly when suffering TDBS, resulting in the problem of the threshold voltage stability. Compared with the Ar-annealed sample, Nniot can be significantly suppressed by the NO annealing, but there is little improvement of Not. SIMS results demonstrate that the Nniot are distributed within the transition layer, which correlated with the existence of the excess silicon. During the NO annealing process, the excess Si atoms incorporate into nitrogen in the transition layer, allowing better relaxation of the interface strain and effectively reducing the width of the transition layer and the density of Nniot. Project supported by the National Natural Science Foundation of China (Grant Nos. 61404098 and 61274079), the Doctoral Fund of Ministry of Education of China (Grant No. 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), the National Grid Science & Technology Project, China (Grant No. SGRI-WD-71-14-018), and the Key Specific Project in the National Science & Technology Program, China (Grant Nos. 2013ZX02305002-002 and 2015CB759600).

  15. Comparative analysis of breakdown mechanism in thin SiO2 oxide films in metal-oxide-semiconductor structures under the action of heavy charged particles and a pulsed voltage

    NASA Astrophysics Data System (ADS)

    Zinchenko, V. F.; Lavrent'ev, K. V.; Emel'yanov, V. V.; Vatuev, A. S.

    2016-02-01

    Regularities in the breakdown of thin SiO2 oxide films in metal-oxide-semiconductors structures of power field-effect transistors under the action of single heavy charged particles and a pulsed voltage are studied experimentally. Using a phenomenological approach, we carry out comparative analysis of physical mechanisms and energy criteria of the SiO2 breakdown in extreme conditions of excitation of the electron subsystem in the subpicosecond time range.

  16. Transition-Metal Substitution Doping in Synthetic Atomically Thin Semiconductors

    DOE PAGES

    Gao, Jian; Kim, Young Duck; Liang, Liangbo; ...

    2016-09-20

    Semiconductor impurity doping has enabled an entire generation of technology. The emergence of alternative semiconductor material systems, such as transition metal dichalcogenides (TMDCs), requires the development of scalable doping strategies. We report an unprecedented one-pot synthesis for transition-metal substitution in large-area, synthetic monolayer TMDCs. Electron microscopy, optical and electronic transport characterization and ab initio calculations indicate that our doping strategy preserves the attractive qualities of TMDC monolayers, including semiconducting transport and strong direct-gap luminescence. These results are expected to encourage exploration of transition-metal substitution in two-dimensional systems, potentially enabling next-generation optoelectronic technology in the atomically-thin regime.

  17. Circular electrode geometry metal-semiconductor-metal photodetectors

    NASA Technical Reports Server (NTRS)

    Mcaddo, James A. (Inventor); Towe, Elias (Inventor); Bishop, William L. (Inventor); Wang, Liang-Guo (Inventor)

    1994-01-01

    The invention comprises a high speed, metal-semiconductor-metal photodetector which comprises a pair of generally circular, electrically conductive electrodes formed on an optically active semiconductor layer. Various embodiments of the invention include a spiral, intercoiled electrode geometry and an electrode geometry comprised of substantially circular, concentric electrodes which are interposed. These electrode geometries result in photodetectors with lower capacitances, dark currents and lower inductance which reduces the ringing seen in the optical pulse response.

  18. SOI metal-oxide-semiconductor field-effect transistor photon detector based on single-hole counting.

    PubMed

    Du, Wei; Inokawa, Hiroshi; Satoh, Hiroaki; Ono, Atsushi

    2011-08-01

    In this Letter, a scaled-down silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) is characterized as a photon detector, where photogenerated individual holes are trapped below the negatively biased gate and modulate stepwise the electron current flowing in the bottom channel induced by the positive substrate bias. The output waveforms exhibit clear separation of current levels corresponding to different numbers of trapped holes. Considering this capability of single-hole counting, a small dark count of less than 0.02 s(-1) at room temperature, and low operation voltage of 1 V, SOI MOSFET could be a unique photon-number-resolving detector if the small quantum efficiency were improved. © 2011 Optical Society of America

  19. A 94GHz Temperature Compensated Low Noise Amplifier in 45nm Silicon-on-Insulator Complementary Metal-Oxide Semiconductor (SOI CMOS)

    DTIC Science & Technology

    2014-01-01

    ring oscillator based temperature sensor will be designed to compensate for gain variations over temperature. For comparison to a competing solution...Simulated (Green) Capacitance of the GSG Pads ........................ 9 Figure 6: Die Picture and Schematic of the L-2L Coplanar Waveguides...complementary metal-oxide-semiconductor (CMOS) technology. A ring oscillator based temperature sensor was designed to compensate for gain variations

  20. EDITORIAL: Oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Kawasaki, M.; Makino, T.

    2005-04-01

    non-equilibrium growth has rekindled the recent extensive investigation and progress in the field of ZnO epitaxy. In this special issue, Ohtomo and Tsukazaki, Cho et al, and Yi et al, respectively, describe the various fabrication processes such as pulsed laser deposition, molecular-beam epitaxy and metal-organic chemical vapour deposition. It should be noted that the last work among the above-mentioned papers has the potential to pave the way to nano-technology based on ZnO. This material has found other important applications as well, such as transparent conducting oxides (TCO). This field has a long research history, as is reviewed by Minami. Relatively speaking, ZnO was one of the earliest crystals (after Si, Ge, and InSb) to be prepared in a pure form, and the resultant long research history has given rise to the availability of large-area substrates. Recent progress in this topic is explained by two representative groups of authors in this field: Nause and Nemeth at Cermet Inc., and Maeda et al at Tokyo Denpa Co. Ltd. In order to overcome the bottleneck of p-type conduction and control the material's properties, a clear understanding of the physical processes in ZnO is necessary. Look et al are known as the first group to report on the growth and properties of p-type ZnO layers with a valid and reasonable set of experimental data (2002 Appl. Phys. Lett. 81 1830). Here, Look contributes a more comprehensive review to this issue. Optical studies on single crystals were conducted and are reviewed here by Meyer et al and Chichibu et al. Band-gap engineering and fabrication of heterojunction or quantum structures are important technological issues. It should be emphasized that by choosing an appropriate set of concentrations (x and y), perfect lattice-matching between MgxZn1-xO and CdyZn1-yO can be attained (Makino T et al 2001 Appl. Phys. Lett. 78 1237). Exciton properties of multiple quantum well structures are reported by Makino et al in this issue. Other than

  1. High surface area, electrically conductive nanocarbon-supported metal oxide

    DOEpatents

    Worsley, Marcus A.; Han, Thomas Yong-Jin; Kuntz, Joshua D.; Cervantes, Octavio; Gash, Alexander E.; Baumann, Theodore F.; Satcher, Jr., Joe H.

    2015-07-14

    A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust.

  2. High surface area, electrically conductive nanocarbon-supported metal oxide

    DOEpatents

    Worsley, Marcus A; Han, Thomas Yong-Jin; Kuntz, Joshua D; Cervanted, Octavio; Gash, Alexander E; Baumann, Theodore F; Satcher, Jr., Joe H

    2014-03-04

    A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust.

  3. Epitaxial growth of CoO films on semiconductor and metal substrates by constructing a complex heterostructure

    NASA Astrophysics Data System (ADS)

    Entani, S.; Kiguchi, M.; Saiki, K.; Koma, A.

    2003-01-01

    Epitaxial growth of CoO films was studied using reflection high-energy electron diffraction (RHEED), electron energy loss spectroscopy (EELS), ultraviolet photoelectron spectroscopy (UPS) and Auger electron spectroscopy (AES). The RHEED results indicated that an epitaxial CoO film grew on semiconductor and metal substrates (CoO (0 0 1)∥GaAs (0 0 1), Cu (0 0 1), Ag (0 0 1) and [1 0 0]CoO∥[1 0 0] substrates) by constructing a complex heterostructure with two alkali halide buffer layers. The AES, EELS and UPS results showed that the grown CoO film had almost the same electronic structure as bulk CoO. We could show that use of alkali halide buffer layers was a good way to grow metal oxide films on semiconductor and metal substrates in an O 2 atmosphere. The alkali halide layers not only works as glue to connect very dissimilar materials but also prevents oxidation of metal and semiconductor substrates.

  4. Selective etchant for oxide sacrificial material in semiconductor device fabrication

    DOEpatents

    Clews, Peggy J.; Mani, Seethambal S.

    2005-05-17

    An etching composition and method is disclosed for removing an oxide sacrificial material during manufacture of semiconductor devices including micromechanical, microelectromechanical or microfluidic devices. The etching composition and method are based on the combination of hydrofluoric acid (HF) and sulfuric acid (H.sub.2 SO.sub.4). These acids can be used in the ratio of 1:3 to 3:1 HF:H.sub.2 SO.sub.4 to remove all or part of the oxide sacrificial material while providing a high etch selectivity for non-oxide materials including polysilicon, silicon nitride and metals comprising aluminum. Both the HF and H.sub.2 SO.sub.4 can be provided as "semiconductor grade" acids in concentrations of generally 40-50% by weight HF, and at least 90% by weight H.sub.2 SO.sub.4.

  5. Semiconductor-metal transition of Se in Ru-Se Catalyst Nanoparticles

    NASA Astrophysics Data System (ADS)

    Babu, P. K.; Lewera, Adam; Oldfield, Eric; Wieckowski, Andrzej

    2009-03-01

    Ru-Se composite nanoparticles are promising catalysts for the oxygen reduction reaction (ORR) in fuel cells. Though the role of Se in enhancing the chemical stability of Ru nanoparticles is well established, the microscopic nature of Ru-Se interaction was not clearly understood. We carried out a combined investigation of ^77Se NMR and XPS on Ru-Se nanoparticles and our results indicate that Se, a semiconductor in elemental form, becomes metallic when interacting with Ru. ^77Se spin-lattice relaxation rates are found to be proportional to T, the well-known Korringa behavior characteristic of metals. The NMR results are supported by the XPS binding energy shifts which suggest that a possible Ru->Se charge transfer could be responsible for the semiconductor->metal transition of Se which also makes Ru less susceptible to oxidation during ORR.

  6. Chitin Liquid-Crystal-Templated Oxide Semiconductor Aerogels.

    PubMed

    Chau, Trang The Lieu; Le, Dung Quang Tien; Le, Hoa Thi; Nguyen, Cuong Duc; Nguyen, Long Viet; Nguyen, Thanh-Dinh

    2017-09-13

    Chitin nanocrystals have been used as a liquid crystalline template to fabricate layered oxide semiconductor aerogels. Anisotropic chitin liquid crystals are transformed to sponge-like aerogels by hydrothermally cross-linked gelation and lyophilization-induced solidification. The hydrothermal gelation of chitin aqueous suspensions then proceeds with peroxotitanate to form hydrogel composites that recover to form aerogels after freeze-drying. The homogeneous peroxotitanate/chitin composites are calcined to generate freestanding titania aerogels that exhibit the nanostructural integrity of layered chitin template. Our extended investigations show that coassembling chitin nanocrystals with other metal-based precursors also yielded semiconductor aerogels of perovskite BaTiO 3 and CuO x nanocrystals. The potential of these materials is great to investigate these chitin sponges for biomedicine and these semiconductor aerogels for photocatalysis, gas sensing, and other applications. Our results present a new aerogel templating method of highly porous, ultralight materials with chitin liquid crystals.

  7. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    NASA Technical Reports Server (NTRS)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  8. Nitrogen doped nanocrystalline semiconductor metal oxide: An efficient UV active photocatalyst for the oxidation of an organic dye using slurry Photoreactor.

    PubMed

    Ramachandran, Saranya; Sivasamy, A; Kumar, B Dinesh

    2016-12-01

    Water pollution is a cause for serious concern in today's world. A major contributor to water pollution is industrial effluents containing dyes and other organic molecules. Waste water treatment has become a priority area in today's applied scientific research as it seeks to minimize the toxicity of the effluents being discharged and increase the possibility of water recycling. An efficient and eco-friendly way of degrading toxic molecules is to use nano metal-oxide photocatalysts. The present study aims at enhancing the photocatalytic activity of a semiconductor metal oxide by doping it with nitrogen. A sol-gel cum combustion method was employed to synthesize the catalyst. The prepared catalyst was characterized by FT-IR, XRD, UV-DRS, FESEM and AFM techniques. UV-DRS result showed the catalyst to possess band gap energy of 2.97eV, thus making it active in the UV region of the spectrum. Its photocatalytic activity was evaluated by the degradation of a model pollutant-Orange G dye, under UV light irradiation. Preliminary experiments were carried out to study the effects of pH, catalyst dosage and initial dye concentration on the extent of dye degradation. Kinetic studies revealed that the reaction followed pseudo first order kinetics. The effect of electrolytes on catalyst efficiency was also studied. The progress of the reaction was monitored by absorption studies and measuring the reduction in COD. The catalyst thus prepared was seen to have a high photocatalytic efficiency. The use of this catalyst is a promising means of waste water treatment. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. SEM evaluation of metallization on semiconductors. [Scanning Electron Microscope

    NASA Technical Reports Server (NTRS)

    Fresh, D. L.; Adolphsen, J. W.

    1974-01-01

    A test method for the evaluation of metallization on semiconductors is presented and discussed. The method has been prepared in MIL-STD format for submittal as a proposed addition to MIL-STD-883. It is applicable to discrete devices and to integrated circuits and specifically addresses batch-process oriented defects. Quantitative accept/reject criteria are given for contact windows, other oxide steps, and general interconnecting metallization. Figures are provided that illustrate typical types of defects. Apparatus specifications, sampling plans, and specimen preparation and examination requirements are described. Procedures for glassivated devices and for multi-metal interconnection systems are included.

  10. Semiconductor quantum dot super-emitters: spontaneous emission enhancement combined with suppression of defect environment using metal-oxide plasmonic metafilms

    NASA Astrophysics Data System (ADS)

    Sadeghi, Seyed M.; Wing, Waylin J.; Gutha, Rithvik R.; Sharp, Christina

    2018-01-01

    We demonstrate that a metal-oxide plasmonic metafilm consisting of a Si/Al oxide junction in the vicinity of a thin gold layer can quarantine excitons in colloidal semiconductor quantum dots against their defect environments. This process happens while the plasmon fields of the gold layer enhance spontaneous emission decay rates of the quantum dots. We study the emission dynamics of such quantum dots when the distance between the Si/Al oxide junction and the gold thin layer is varied. The results show that for distances less than a critical value the lifetime of the quantum dots can be elongated while they experience intense plasmon fields. This suggests that the metal-oxide metafilm can keep photo-excited electrons in the cores of the quantum dots, suppressing their migration to the surface defect sites. This leads to suppression of Auger recombination, offering quantum dot super-emitters with emission that is enhanced not only by the plasmon fields (Purcell effect), but also by strong suppression of the non-radiative decay caused by the defect sites.

  11. Silicon Metal-oxide-semiconductor Quantum Dots for Single-electron Pumping

    PubMed Central

    Rossi, Alessandro; Tanttu, Tuomo; Hudson, Fay E.; Sun, Yuxin; Möttönen, Mikko; Dzurak, Andrew S.

    2015-01-01

    As mass-produced silicon transistors have reached the nano-scale, their behavior and performances are increasingly affected, and often deteriorated, by quantum mechanical effects such as tunneling through single dopants, scattering via interface defects, and discrete trap charge states. However, progress in silicon technology has shown that these phenomena can be harnessed and exploited for a new class of quantum-based electronics. Among others, multi-layer-gated silicon metal-oxide-semiconductor (MOS) technology can be used to control single charge or spin confined in electrostatically-defined quantum dots (QD). These QD-based devices are an excellent platform for quantum computing applications and, recently, it has been demonstrated that they can also be used as single-electron pumps, which are accurate sources of quantized current for metrological purposes. Here, we discuss in detail the fabrication protocol for silicon MOS QDs which is relevant to both quantum computing and quantum metrology applications. Moreover, we describe characterization methods to test the integrity of the devices after fabrication. Finally, we give a brief description of the measurement set-up used for charge pumping experiments and show representative results of electric current quantization. PMID:26067215

  12. Cross-plane electrical and thermal transport in oxide metal/semiconductor superlattices

    NASA Astrophysics Data System (ADS)

    Jha, Pankaj

    Perovskite oxides display a rich variety of electronic properties as metals, ferroelectrics, ferromagnetics, multiferroics, and thermoelectrics. Cross-plane electron filtering transport in metal/semiconductor superlattices provides a potential approach to increase the thermoelectric figure of merit (ZT). La0.67Sr0.33MnO3 (LSMO) and LaMnO3 (LMO) thin-film depositions were optimized using pulsed laser deposition (PLD) to achieve low resistivity constituent materials for LSMO/LMO superlattice heterostructures on (100)-strontium titanate (STO) substrates. X-ray diffraction and high-resolution reciprocal space mapping (RSM) indicate that the superlattices are epitaxial and pseudomorphic. Cross-plane devices were fabricated by etching cylindrical pillar structures in superlattices using inductively-coupled-plasma reactive-ion etching. The cross-plane electrical conductivity data for LSMO/LMO superlattices reveal an effective barrier height of 220 meV. The cross-plane LSMO/LMO superlattices showed a giant Seebeck coefficient of 2560 microV/K at 300K that increases to 16640 microV/K at 360K. The large Seebeck coefficient may arise due to hot electron and spin filtering as LSMO/LMO superlattice constituent materials exhibit spintronic properties where charges and spin current are intertwined and can generate a spin-Seebeck effect. The room temperature thermal conductivity achieved in low resistivity superlattices was 0.92 W/mK, which indicates that cross-plane phonon scattering at interfaces reduces the lattice contribution to the thermal conductivity. The giant contribution of spin-Seebeck, the large temperature dependence of the cross-plane power factor, and the low thermal conductivity in low resistance LSMO/LMO superlattices may offer opportunities to realize spin-magnetic thermoelectric devices, and suggests a direction for further investigations of the potential of LSMO/LMO oxide superlattices for thermoelectric devices.

  13. Degradation of Gate Oxide Integrity by Formation of Tiny Holes by Metal Contamination of Raw Wafer

    NASA Astrophysics Data System (ADS)

    Chen, Po-Ying

    2008-12-01

    Heavy metal atoms (such as Cu) spontaneously undergo a dissolution reaction when they come into contact with silicon. Most investigations in this extensively studied area begin with a clean, bare wafer and focus on metal contamination during the IC manufacturing stage. In this work, the effect of Fe and Cu contamination on raw wafers was elucidated. When two batches of raw wafers are scheduled, one uncontaminated and one with various degrees of contamination ranging from 0.1 to 10 ppb undergo the typical steps of the 90 nm LOGIC complementary metal-oxide-semiconductor (CMOS) semiconductor manufacturing process. The main contribution of this work is the discovery of a previously unidentified cause of gate oxide leakage: the formation of tiny holes by metal contamination during the wafer manufacturing stage. Because tiny holes are formed, a spontaneous reaction can occur even with at very low metal concentration (0.2 ppb), revealing that the wafer manufacturing stage is more vulnerable to metal contamination than the IC manufacturing stage and therefore requires stricter contamination control.

  14. Positron annihilation in a metal-oxide semiconductor studied by using a pulsed monoenergetic positron beam

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Ohji, Y.

    1993-12-01

    The positron annihilation in a metal-oxide semiconductor was studied by using a pulsed monoenergetic positron beam. Lifetime spectra of positrons were measured as a function of incident positron energy for a polycrystalline Si(100 nm)/SiO2(400 nm)/Si specimen. Applying a gate voltage between the polycrystalline Si film and the Si substrate, positrons implanted into the specimen were accumulated at the SiO2/Si interface. From the measurements, it was found that the annihilation probability of ortho-positronium (ortho-Ps) drastically decreased at the SiO2/Si interface. The observed inhibition of the Ps formation was attributed to an interaction between positrons and defects at the SiO2/Si interface.

  15. Trap densities and transport properties of pentacene metal-oxide-semiconductor transistors: II—Numerical modeling of dc characteristics

    NASA Astrophysics Data System (ADS)

    Basile, A. F.; Kyndiah, A.; Biscarini, F.; Fraboni, B.

    2014-06-01

    A numerical procedure to calculate the drain-current (ID) vs. gate-voltage (VG) characteristics from numerical solutions of the Poisson equation for organic Thin-Film Transistors (TFTs) is presented. Polaron transport is modeled as two-dimensional charge transport in a semiconductor having free-carrier density of states proportional to the density of molecules and traps with energy equal to the polaron-hopping barrier. The simulated ID-VG curves are proportional to the product of the density of free carriers, calculated as a function of VG, and the intrinsic mobility, assumed to be a constant independent of temperature. The presence of traps in the oxide was also taken into account in the model, which was applied to a TFT made with six monolayers of pentacene grown on an oxide substrate. The polaron-hopping barrier determines the temperature dependence of the simulated ID-VG curves, trapping in the oxide is responsible for current reduction at high bias and the slope of the characteristics near threshold is related to the metal-semiconductor work-function difference. The values of the model parameters yielding the best match between calculations and experiments are consistent with previous experimental results and theoretical predictions. Therefore, this model enables to extract both physical and technological properties of thin-film devices from the temperature-dependent dc characteristics.

  16. Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Zhu, Shuanglin

    Solution processed metal oxide semiconductors have attracted intensive attention in the last several decades and have emerged as a promising candidate for the application of thin film transistor (TFT) due to their nature of transparency, flexibility, high mobility, simple processing technique and potential low manufacturing cost. However, metal oxide thin film fabricated by solution process usually requires a high temperature (over 300 °C), which is above the glass transition temperature of some conventional polymer substrates. In order to fabricate the flexible electronic device on polymer substrates, it is necessary to find a facile approach to lower the fabrication temperature and minimize defects in metal oxide thin film. In this thesis, the electrical properties dependency on temperature is discussed and an UV-assisted annealing method incorporating Deep ultraviolet (DUV)-decomposable additives is demonstrated, which can effectively improve electrical properties solution processed metal oxide semiconductors processed at temperature as low as 220 °C. By studying a widely used indium oxide (In2O3) TFT as a model system, it is worth noted that compared with the sample without UV treatment, the linear mobility and saturation mobility of UV-annealing sample are improved by 56% and 40% respectively. Meanwhile, the subthreshold swing is decreased by 32%, indicating UV-treated device could turn on and off more efficiently. In addition to pure In2O3 film, the similar phenomena have also been observed in indium oxide based Indium-Gallium-Zinc Oxide (IGZO) system. These finding presented in this thesis suggest that the UV assisted annealing process open a new route to fabricate high performance metal oxide semiconductors under low temperatures.

  17. Metal-oxide-metal point contact junction detectors. [detection mechanism and mechanical stability

    NASA Technical Reports Server (NTRS)

    Baird, J.; Havemann, R. H.; Fults, R. D.

    1973-01-01

    The detection mechanism(s) and design of a mechanically stable metal-oxide-metal point contact junction detector are considered. A prototype for a mechanically stable device has been constructed and tested. A technique has been developed which accurately predicts microwave video detector and heterodyne mixer SIM (semiconductor-insulator-metal) diode performance from low dc frequency volt-ampere curves. The difference in contact potential between the two metals and geometrically induced rectification constitute the detection mechanisms.

  18. Flexible Electronics Powered by Mixed Metal Oxide Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Marrs, Michael

    A low temperature amorphous oxide thin film transistor (TFT) and amorphous silicon PIN diode backplane technology for large area flexible digital x-ray detectors has been developed to create 7.9-in. diagonal backplanes. The critical steps in the evolution of the backplane process include the qualification and optimization of the low temperature (200 °C) metal oxide TFT and a-Si PIN photodiode process, the stability of the devices under forward and reverse bias stress, the transfer of the process to flexible plastic substrates, and the fabrication and assembly of the flexible detectors. Mixed oxide semiconductor TFTs on flexible plastic substrates suffer from performance and stability issues related to the maximum processing temperature limitation of the polymer. A novel device architecture based upon a dual active layer improves both the performance and stability. Devices are directly fabricated below 200 ºC on a polyethylene naphthalate (PEN) substrate using mixed metal oxides of either zinc indium oxide (ZIO) or indium gallium zinc oxide (IGZO) as the active semiconductor. The dual active layer architecture allows for adjustment to the saturation mobility and threshold voltage stability without the requirement of high temperature annealing, which is not compatible with flexible plastic substrates like PEN. The device performance and stability is strongly dependent upon the composition of the mixed metal oxide; this dependency provides a simple route to improving the threshold voltage stability and drive performance. By switching from a single to a dual active layer, the saturation mobility increases from 1.2 cm2/V-s to 18.0 cm2/V-s, while the rate of the threshold voltage shift decreases by an order of magnitude. This approach could assist in enabling the production of devices on flexible substrates using amorphous oxide semiconductors. Low temperature (200°C) processed amorphous silicon photodiodes were developed successfully by balancing the tradeoffs

  19. Group IIB-VIA semiconductor oxide cluster ions

    NASA Astrophysics Data System (ADS)

    Jayasekharan, Thankan

    2018-05-01

    Metal oxide cluster ions, MnOm± (M = Zn, Cd) and HgnOm- of various stoichiometry have been generated from solid IIB-VIA semiconductor oxides targets, (ZnO(s), CdO(s), and HgO(s)) by using pulse laser desorption ionization time of flight mass spectrometry with a laser of λ = 355 nm. Analysis of mass spectral data indicates the formation of stoichiometric cluster ions viz., (ZnO)n=1-30+ and (CdO)n=1-40+ along with -O bound anions, (ZnO)n=1-30O-, (CdO)n=1-40O- and (HgO)n=1-36O- from their respective solids. Further, metal oxoanions such as ZnOn=2,3-, CdOn=2,3,6-, and HgOn=2,3,6,7- have also been noted signifying the higher coordination ability of both Cd and Hg with O/O2/O3 species.

  20. A feasible strategy to balance the crystallinity and specific surface area of metal oxide nanocrystals

    NASA Astrophysics Data System (ADS)

    Zhang, Q. P.; Xu, X. N.; Liu, Y. T.; Xu, M.; Deng, S. H.; Chen, Y.; Yuan, H.; Yu, F.; Huang, Y.; Zhao, K.; Xu, S.; Xiong, G.

    2017-04-01

    Practical, efficient synthesis of metal oxide nanocrystals with good crystallinity and high specific surface area by a modified polymer-network gel method is demonstrated, taking ZnO nanocrystals as an example. A novel stepwise heat treatment yields significant improvement in crystal quality. Such nanophase materials can effectively degrade common organic dyes under solar radiation and can perform very well in photo-assisted detection of NO2 gas. Other typical metal oxide nanocrystals with good crystallinity and high specific surface area were also synthesized successfully under similar conditions. This work provides a general strategy for the synthesis of metal oxide nanocrystals, balancing the crystallinity and specific surface area.

  1. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  2. Low temperature processed complementary metal oxide semiconductor (CMOS) device by oxidation effect from capping layer.

    PubMed

    Wang, Zhenwei; Al-Jawhari, Hala A; Nayak, Pradipta K; Caraveo-Frescas, J A; Wei, Nini; Hedhili, M N; Alshareef, H N

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190 °C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  3. Metal oxide electrocatalysts for alternative energy technologies

    NASA Astrophysics Data System (ADS)

    Pacquette, Adele Lawren

    This dissertation focuses on the development of metal oxide electrocatalysts with varying applications for alternative energy technologies. Interest in utilizing clean, renewable and sustainable sources of energy for powering the planet in the future has received much attention. This will address the growing concern of the need to reduce our dependence on fossil fuels. The facile synthesis of metal oxides from earth abundant metals was explored in this work. The electrocatalysts can be incorporated into photoelectrochemical devices, fuel cells, and other energy storage devices. The first section addresses the utilization of semiconductors that can harness solar energy for water splitting to generate hydrogen. An oxysulfide was studied in order to combine the advantageous properties of the stability of metal oxides and the visible light absorbance of metal chalcogenides. Bi 2O2S was synthesized under facile hydrothermal conditions. The band gap of Bi2O2S was smaller than that of its oxide counterpart, Bi2O3. Light absorption by Bi 2O2S was extended to the visible region (>600 nm) in comparison to Bi2O3. The formation of a composite with In 2O3 was formed in order to create a UV irradiation protective coating of the Bi2O2S. The Bi2O2S/In 2O3 composite coupled with a dye CrTPP(Cl) and cocatalysts Pt and Co3O4 was utilized for water splitting under light irradiation to generate hydrogen and oxygen. The second section focuses on improving the stability and light absorption of semiconductors by changing the shapes and morphologies. One of the limitations of semiconductor materials is that recombination of electron-hole pairs occur within the bulk of the materials instead of migration to the surface. Three-dimensional shapes, such as nanorods, can prevent this recombination in comparison to spherical particles. Hierarchical structures, such as dendrites, cubes, and multipods, were synthesized under hydrothermal conditions, in order to reduce recombination and improve

  4. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    PubMed

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  5. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  6. Resistive switching characteristics and mechanisms in silicon oxide memory devices

    NASA Astrophysics Data System (ADS)

    Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Zhou, Fei; Wu, Xiaohan; Chen, Yen-Ting; Wang, Yanzhen; Xue, Fei; Lee, Jack C.

    2016-05-01

    Intrinsic unipolar SiOx-based resistance random access memories (ReRAM) characterization, switching mechanisms, and applications have been investigated. Device structures, material compositions, and electrical characteristics are identified that enable ReRAM cells with high ON/OFF ratio, low static power consumption, low switching power, and high readout-margin using complementary metal-oxide semiconductor transistor (CMOS)-compatible SiOx-based materials. These ideas are combined with the use of horizontal and vertical device structure designs, composition optimization, electrical control, and external factors to help understand resistive switching (RS) mechanisms. Measured temperature effects, pulse response, and carrier transport behaviors lead to compact models of RS mechanisms and energy band diagrams in order to aid the development of computer-aided design for ultralarge-v scale integration. This chapter presents a comprehensive investigation of SiOx-based RS characteristics and mechanisms for the post-CMOS device era.

  7. Photoinduced electron transfer from semiconductor quantum dots to metal oxide nanoparticles.

    PubMed

    Tvrdy, Kevin; Frantsuzov, Pavel A; Kamat, Prashant V

    2011-01-04

    Quantum dot-metal oxide junctions are an integral part of next-generation solar cells, light emitting diodes, and nanostructured electronic arrays. Here we present a comprehensive examination of electron transfer at these junctions, using a series of CdSe quantum dot donors (sizes 2.8, 3.3, 4.0, and 4.2 nm in diameter) and metal oxide nanoparticle acceptors (SnO(2), TiO(2), and ZnO). Apparent electron transfer rate constants showed strong dependence on change in system free energy, exhibiting a sharp rise at small driving forces followed by a modest rise further away from the characteristic reorganization energy. The observed trend mimics the predicted behavior of electron transfer from a single quantum state to a continuum of electron accepting states, such as those present in the conduction band of a metal oxide nanoparticle. In contrast with dye-sensitized metal oxide electron transfer studies, our systems did not exhibit unthermalized hot-electron injection due to relatively large ratios of electron cooling rate to electron transfer rate. To investigate the implications of these findings in photovoltaic cells, quantum dot-metal oxide working electrodes were constructed in an identical fashion to the films used for the electron transfer portion of the study. Interestingly, the films which exhibited the fastest electron transfer rates (SnO(2)) were not the same as those which showed the highest photocurrent (TiO(2)). These findings suggest that, in addition to electron transfer at the quantum dot-metal oxide interface, other electron transfer reactions play key roles in the determination of overall device efficiency.

  8. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    PubMed Central

    Wang, Zhenwei; Al-Jawhari, Hala A.; Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wei, Nini; Hedhili, M. N.; Alshareef, H. N.

    2015-01-01

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field. PMID:25892711

  9. Positive and negative gain exceeding unity magnitude in silicon quantum well metal-oxide-semiconductor transistors

    NASA Astrophysics Data System (ADS)

    Hu, Gangyi; Wijesinghe, Udumbara; Naquin, Clint; Maggio, Ken; Edwards, H. L.; Lee, Mark

    2017-10-01

    Intrinsic gain (AV) measurements on Si quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors show that these devices can have |AV| > 1 in quantum transport negative transconductance (NTC) operation at room temperature. QW NMOS devices were fabricated using an industrial 45 nm technology node process incorporating ion implanted potential barriers to define a lateral QW in the conduction channel under the gate. While NTC at room temperature arising from transport through gate-controlled QW bound states has been previously established, it was unknown whether the quantum NTC mechanism could support gain magnitude exceeding unity. Bias conditions were found giving both positive and negative AV with |AV| > 1 at room temperature. This result means that QW NMOS devices could be useful in amplifier and oscillator applications.

  10. A Comprehensive Review of One-Dimensional Metal-Oxide Nanostructure Photodetectors

    PubMed Central

    Zhai, Tianyou; Fang, Xiaosheng; Liao, Meiyong; Xu, Xijin; Zeng, Haibo; Yoshio, Bando; Golberg, Dmitri

    2009-01-01

    One-dimensional (1D) metal-oxide nanostructures are ideal systems for exploring a large number of novel phenomena at the nanoscale and investigating size and dimensionality dependence of nanostructure properties for potential applications. The construction and integration of photodetectors or optical switches based on such nanostructures with tailored geometries have rapidly advanced in recent years. Active 1D nanostructure photodetector elements can be configured either as resistors whose conductions are altered by a charge-transfer process or as field-effect transistors (FET) whose properties can be controlled by applying appropriate potentials onto the gates. Functionalizing the structure surfaces offers another avenue for expanding the sensor capabilities. This article provides a comprehensive review on the state-of-the-art research activities in the photodetector field. It mainly focuses on the metal oxide 1D nanostructures such as ZnO, SnO2, Cu2O, Ga2O3, Fe2O3, In2O3, CdO, CeO2, and their photoresponses. The review begins with a survey of quasi 1D metal-oxide semiconductor nanostructures and the photodetector principle, then shows the recent progresses on several kinds of important metal-oxide nanostructures and their photoresponses and briefly presents some additional prospective metal-oxide 1D nanomaterials. Finally, the review is concluded with some perspectives and outlook on the future developments in this area. PMID:22454597

  11. Ultra-large nonlinear parameter in graphene-silicon waveguide structures.

    PubMed

    Donnelly, Christine; Tan, Dawn T H

    2014-09-22

    Mono-layer graphene integrated with optical waveguides is studied for the purpose of maximizing E-field interaction with the graphene layer, for the generation of ultra-large nonlinear parameters. It is shown that the common approach used to minimize the waveguide effective modal area does not accurately predict the configuration with the maximum nonlinear parameter. Both photonic and plasmonic waveguide configurations and graphene integration techniques realizable with today's fabrication tools are studied. Importantly, nonlinear parameters exceeding 10(4) W(-1)/m, two orders of magnitude larger than that in silicon on insulator waveguides without graphene, are obtained for the quasi-TE mode in silicon waveguides incorporating mono-layer graphene in the evanescent part of the optical field. Dielectric loaded surface plasmon polariton waveguides incorporating mono-layer graphene are observed to generate nonlinear parameters as large as 10(5) W(-1)/m, three orders of magnitude larger than that in silicon on insulator waveguides without graphene. The ultra-large nonlinear parameters make such waveguides promising platforms for nonlinear integrated optics at ultra-low powers, and for previously unobserved nonlinear optical effects to be studied in a waveguide platform.

  12. Oxide semiconductor thin-film transistors: a review of recent advances.

    PubMed

    Fortunato, E; Barquinha, P; Martins, R

    2012-06-12

    Transparent electronics is today one of the most advanced topics for a wide range of device applications. The key components are wide bandgap semiconductors, where oxides of different origins play an important role, not only as passive component but also as active component, similar to what is observed in conventional semiconductors like silicon. Transparent electronics has gained special attention during the last few years and is today established as one of the most promising technologies for leading the next generation of flat panel display due to its excellent electronic performance. In this paper the recent progress in n- and p-type oxide based thin-film transistors (TFT) is reviewed, with special emphasis on solution-processed and p-type, and the major milestones already achieved with this emerging and very promising technology are summarizeed. After a short introduction where the main advantages of these semiconductors are presented, as well as the industry expectations, the beautiful history of TFTs is revisited, including the main landmarks in the last 80 years, finishing by referring to some papers that have played an important role in shaping transparent electronics. Then, an overview is presented of state of the art n-type TFTs processed by physical vapour deposition methods, and finally one of the most exciting, promising, and low cost but powerful technologies is discussed: solution-processed oxide TFTs. Moreover, a more detailed focus analysis will be given concerning p-type oxide TFTs, mainly centred on two of the most promising semiconductor candidates: copper oxide and tin oxide. The most recent data related to the production of complementary metal oxide semiconductor (CMOS) devices based on n- and p-type oxide TFT is also be presented. The last topic of this review is devoted to some emerging applications, finalizing with the main conclusions. Related work that originated at CENIMAT|I3N during the last six years is included in more detail, which

  13. Photoinduced electron transfer from semiconductor quantum dots to metal oxide nanoparticles

    PubMed Central

    Tvrdy, Kevin; Frantsuzov, Pavel A.; Kamat, Prashant V.

    2011-01-01

    Quantum dot-metal oxide junctions are an integral part of next-generation solar cells, light emitting diodes, and nanostructured electronic arrays. Here we present a comprehensive examination of electron transfer at these junctions, using a series of CdSe quantum dot donors (sizes 2.8, 3.3, 4.0, and 4.2 nm in diameter) and metal oxide nanoparticle acceptors (SnO2, TiO2, and ZnO). Apparent electron transfer rate constants showed strong dependence on change in system free energy, exhibiting a sharp rise at small driving forces followed by a modest rise further away from the characteristic reorganization energy. The observed trend mimics the predicted behavior of electron transfer from a single quantum state to a continuum of electron accepting states, such as those present in the conduction band of a metal oxide nanoparticle. In contrast with dye-sensitized metal oxide electron transfer studies, our systems did not exhibit unthermalized hot-electron injection due to relatively large ratios of electron cooling rate to electron transfer rate. To investigate the implications of these findings in photovoltaic cells, quantum dot-metal oxide working electrodes were constructed in an identical fashion to the films used for the electron transfer portion of the study. Interestingly, the films which exhibited the fastest electron transfer rates (SnO2) were not the same as those which showed the highest photocurrent (TiO2). These findings suggest that, in addition to electron transfer at the quantum dot-metal oxide interface, other electron transfer reactions play key roles in the determination of overall device efficiency. PMID:21149685

  14. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    NASA Astrophysics Data System (ADS)

    Yokoyama, Masafumi; Asakura, Yuji; Yokoyama, Haruki; Takenaka, Mitsuru; Takagi, Shinichi

    2014-06-01

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al2O3/GaSb MOS interface properties. The Al2O3/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (Dit) of ˜4.5 × 1013 cm-2 eV-1. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al2O3/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  15. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2018-06-04

    Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high- k oxides on hydrogenated-diamond (H-diamond) for metal-oxide-semiconductor (MOS) capacitors and MOS field-effect transistors (MOSFETs) is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High- k oxide insulators are deposited using atomic layer deposition (ALD) and sputtering deposition (SD) techniques. Electrical properties of the H-diamond MOS capacitors with high- k oxides of ALD-Al₂O₃, ALD-HfO₂, ALD-HfO₂/ALD-Al₂O₃ multilayer, SD-HfO₂/ALD-HfO₂ bilayer, SD-TiO₂/ALD-Al₂O₃ bilayer, and ALD-TiO₂/ALD-Al₂O₃ bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al₂O₃/H-diamond and SD-HfO₂/ALD-HfO₂/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO₂/ALD-Al₂O₃ bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p -type channel characteristics for the ALD-Al₂O₃/H-diamond, SD-HfO₂/ALD-HfO₂/H-diamond, and ALD-TiO₂/ALD-Al₂O₃/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high- k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  16. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    NASA Astrophysics Data System (ADS)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  17. Absorption properties of metal-semiconductor hybrid nanoparticles.

    PubMed

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  18. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  19. Experimental study of uniaxial stress effects on Coulomb-limited mobility in p-type metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kobayashi, Shigeki; Saitoh, Masumi; Nakabayashi, Yukio; Uchida, Ken

    2007-11-01

    Uniaxial stress effects on Coulomb-limited mobility (μCoulomb) in Si metal-oxide-semiconductor field-effect transistors (MOSFETs) are investigated experimentally. By using the four-point bending method, uniaxial stress corresponding to 0.1% strain is applied to MOSFETs along the channel direction. It is found that μCoulomb in p-type MOSFETs is enhanced greatly by uniaxial stress; μCoulomb is as sensitive as phonon-limited mobility. The high sensitivity of μCoulomb in p-type MOSFETs to stress arises from the stress-induced change of hole effective mass.

  20. Role of order and disorder on the electronic performances of oxide semiconductor thin film transistors

    NASA Astrophysics Data System (ADS)

    Martins, R.; Barquinha, P.; Ferreira, I.; Pereira, L.; Gonçalves, G.; Fortunato, E.

    2007-02-01

    The role of order and disorder on the electronic performances of n-type ionic oxides such as zinc oxide, gallium zinc oxide, and indium zinc oxide used as active (channel) or passive (drain/source) layers in thin film transistors (TFTs) processed at room temperature are discussed, taking as reference the known behavior observed in conventional covalent semiconductors such as silicon. The work performed shows that while in the oxide semiconductors the Fermi level can be pinned up within the conduction band, independent of the state of order, the same does not happen with silicon. Besides, in the oxide semiconductors the carrier mobility is not bandtail limited and so disorder does not affect so strongly the mobility as it happens in covalent semiconductors. The electrical properties of the oxide films (resistivity, carrier concentration, and mobility) are highly dependent on the oxygen vacancies (source of free carriers), which can be controlled by changing the oxygen partial pressure during the deposition process and/or by adding other metal ions to the matrix. In this case, we make the oxide matrix less sensitive to the presence of oxygen, widening the range of oxygen partial pressures that can be used and thus improving the process control of the film resistivity. The results obtained in fully transparent TFT using polycrystalline ZnO or amorphous indium zinc oxide (IZO) as channel layers and highly conductive poly/nanocrystalline ZGO films or amorphous IZO as drain/source layers show that both devices work in the enhancement mode, but the TFT with the highest electronic saturation mobility and on/off ratio 49.9cm2/Vs and 4.3×108, respectively, are the ones in which the active and passive layers are amorphous. The ZnO TFT whose channel is based on polycrystalline ZnO, the mobility and on/off ratio are, respectively, 26cm2/Vs and 3×106. This behavior is attributed to the fact that the electronic transport is governed by the s-like metal cation conduction bands

  1. Anisotropy-based crystalline oxide-on-semiconductor material

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  2. Effect of Water Vapor and Surface Morphology on the Low Temperature Response of Metal Oxide Semiconductor Gas Sensors

    PubMed Central

    Maier, Konrad; Helwig, Andreas; Müller, Gerhard; Hille, Pascal; Eickhoff, Martin

    2015-01-01

    In this work the low temperature response of metal oxide semiconductor gas sensors is analyzed. Important characteristics of this low-temperature response are a pronounced selectivity to acid- and base-forming gases and a large disparity of response and recovery time constants which often leads to an integrator-type of gas response. We show that this kind of sensor performance is related to the trend of semiconductor gas sensors to adsorb water vapor in multi-layer form and that this ability is sensitively influenced by the surface morphology. In particular we show that surface roughness in the nanometer range enhances desorption of water from multi-layer adsorbates, enabling them to respond more swiftly to changes in the ambient humidity. Further experiments reveal that reactive gases, such as NO2 and NH3, which are easily absorbed in the water adsorbate layers, are more easily exchanged across the liquid/air interface when the humidity in the ambient air is high. PMID:28793583

  3. Sub-0.5 V Highly Stable Aqueous Salt Gated Metal Oxide Electronics

    PubMed Central

    Park, Sungjun; Lee, SeYeong; Kim, Chang-Hyun; Lee, Ilseop; Lee, Won-June; Kim, Sohee; Lee, Byung-Geun; Jang, Jae-Hyung; Yoon, Myung-Han

    2015-01-01

    Recently, growing interest in implantable bionics and biochemical sensors spurred the research for developing non-conventional electronics with excellent device characteristics at low operation voltages and prolonged device stability under physiological conditions. Herein, we report high-performance aqueous electrolyte-gated thin-film transistors using a sol-gel amorphous metal oxide semiconductor and aqueous electrolyte dielectrics based on small ionic salts. The proper selection of channel material (i.e., indium-gallium-zinc-oxide) and precautious passivation of non-channel areas enabled the development of simple but highly stable metal oxide transistors manifested by low operation voltages within 0.5 V, high transconductance of ~1.0 mS, large current on-off ratios over 107, and fast inverter responses up to several hundred hertz without device degradation even in physiologically-relevant ionic solutions. In conjunction with excellent transistor characteristics, investigation of the electrochemical nature of the metal oxide-electrolyte interface may contribute to the development of a viable bio-electronic platform directly interfacing with biological entities in vivo. PMID:26271456

  4. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  5. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    NASA Technical Reports Server (NTRS)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  6. NIOSH field studies team assessment: Worker exposure to aerosolized metal oxide nanoparticles in a semiconductor fabrication facility.

    PubMed

    Brenner, Sara A; Neu-Baker, Nicole M; Eastlake, Adrienne C; Beaucham, Catherine C; Geraci, Charles L

    2016-11-01

    The ubiquitous use of engineered nanomaterials-particulate materials measuring approximately 1-100 nanometers (nm) on their smallest axis, intentionally engineered to express novel properties-in semiconductor fabrication poses unique issues for protecting worker health and safety. Use of new substances or substances in a new form may present hazards that have yet to be characterized for their acute or chronic health effects. Uncharacterized or emerging occupational health hazards may exist when there is insufficient validated hazard data available to make a decision on potential hazard and risk to exposed workers under condition of use. To advance the knowledge of potential worker exposure to engineered nanomaterials, the National Institute for Occupational Safety and Health Nanotechnology Field Studies Team conducted an on-site field evaluation in collaboration with on-site researchers at a semiconductor research and development facility on April 18-21, 2011. The Nanomaterial Exposure Assessment Technique (2.0) was used to perform a complete exposure assessment. A combination of filter-based sampling and direct-reading instruments was used to identify, characterize, and quantify the potential for worker inhalation exposure to airborne alumina and amorphous silica nanoparticles associated with th e chemical mechanical planarization wafer polishing process. Engineering controls and work practices were evaluated to characterize tasks that might contribute to potential exposures and to assess existing engineering controls. Metal oxide structures were identified in all sampling areas, as individual nanoparticles and agglomerates ranging in size from 60 nm to >1,000 nm, with varying structure morphology, from long and narrow to compact. Filter-based samples indicated very little aerosolized material in task areas or worker breathing zone. Direct-reading instrument data indicated increased particle counts relative to background in the wastewater treatment area; however

  7. Structural and semiconductor-to-metal transitions of double-perovskite cobalt oxide Sr2-xLaxCoTiO6-δ with enhanced thermoelectric capability

    NASA Astrophysics Data System (ADS)

    Sugahara, Tohru; Ohtaki, Michitaka

    2011-08-01

    The thermoelectric properties of double-perovskite oxide Sr2-xLaxCoTiO6-δ were revealed to vary anomalously with the La concentration, plausibly due to a structural transition found in this study. Although the temperature dependence of the resistivity and thermopower of the present oxide showed a semiconductor-to-metal transition similar to those observed for other perovskite-related Co oxides such as Sr1-xYxCoO3-δ, the transition temperature was more than 350 K higher, implying considerable stabilization of the low-spin state of Co ions in the double-perovskite oxide. Consequently, the operating temperature range of the oxide for potential thermoelectric applications was significantly expanded toward higher temperatures.

  8. All-Graphene Planar Self-Switching MISFEDs, Metal-Insulator-Semiconductor Field-Effect Diodes

    PubMed Central

    Al-Dirini, Feras; Hossain, Faruque M.; Nirmalathas, Ampalavanapillai; Skafidas, Efstratios

    2014-01-01

    Graphene normally behaves as a semimetal because it lacks a bandgap, but when it is patterned into nanoribbons a bandgap can be introduced. By varying the width of these nanoribbons this band gap can be tuned from semiconducting to metallic. This property allows metallic and semiconducting regions within a single Graphene monolayer, which can be used in realising two-dimensional (2D) planar Metal-Insulator-Semiconductor field effect devices. Based on this concept, we present a new class of nano-scale planar devices named Graphene Self-Switching MISFEDs (Metal-Insulator-Semiconductor Field-Effect Diodes), in which Graphene is used as the metal and the semiconductor concurrently. The presented devices exhibit excellent current-voltage characteristics while occupying an ultra-small area with sub-10 nm dimensions and an ultimate thinness of a single atom. Quantum mechanical simulation results, based on the Extended Huckel method and Nonequilibrium Green's Function Formalism, show that a Graphene Self-Switching MISFED with a channel as short as 5 nm can achieve forward-to-reverse current rectification ratios exceeding 5000. PMID:24496307

  9. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    PubMed

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Semiconducting transition metal oxides.

    PubMed

    Lany, Stephan

    2015-07-22

    Open shell transition metal oxides are usually described as Mott or charge transfer insulators, which are often viewed as being disparate from semiconductors. Based on the premise that the presence of a correlated gap and semiconductivity are not mutually exclusive, this work reviews electronic structure calculations on the binary 3d oxides, so to distill trends and design principles for semiconducting transition metal oxides. This class of materials possesses the potential for discovery, design, and development of novel functional semiconducting compounds, e.g. for energy applications. In order to place the 3d orbitals and the sp bands into an integrated picture, band structure calculations should treat both contributions on the same footing and, at the same time, account fully for electron correlation in the 3d shell. Fundamentally, this is a rather daunting task for electronic structure calculations, but quasi-particle energy calculations in GW approximation offer a viable approach for band structure predictions in these materials. Compared to conventional semiconductors, the inherent multivalent nature of transition metal cations is more likely to cause undesirable localization of electron or hole carriers. Therefore, a quantitative prediction of the carrier self-trapping energy is essential for the assessing the semiconducting properties and to determine whether the transport mechanism is a band-like large-polaron conduction or a small-polaron hopping conduction. An overview is given for the binary 3d oxides on how the hybridization between the 3d crystal field symmetries with the O-p orbitals of the ligands affects the effective masses and the likelihood of electron and hole self-trapping, identifying those situations where small masses and band-like conduction are more likely to be expected. The review concludes with an illustration of the implications of the increased electronic complexity of transition metal cations on the defect physics and doping, using

  11. Disorder induced semiconductor to metal transition and modifications of grain boundaries in nanocrystalline zinc oxide thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Fouran; Kumar, Vinod; Chaudhary, Babloo

    2012-10-01

    This paper report on the disorder induced semiconductor to metal transition (SMT) and modifications of grain boundaries in nanocrystalline zinc oxide thin film. Disorder is induced using energetic ion irradiation. It eliminates the possibility of impurities induced transition. However, it is revealed that some critical concentration of defects is needed for inducing such kind of SMT at certain critical temperature. Above room temperature, the current-voltage characteristics in reverse bias attributes some interesting phenomenon, such as electric field induced charge transfer, charge trapping, and diffusion of defects. The transition is explained by the defects induced disorder and strain in ZnO crystallitesmore » created by high density of electronic excitations.« less

  12. A compact quantum correction model for symmetric double gate metal-oxide-semiconductor field-effect transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Edward Namkyu; Shin, Yong Hyeon; Yun, Ilgu, E-mail: iyun@yonsei.ac.kr

    2014-11-07

    A compact quantum correction model for a symmetric double gate (DG) metal-oxide-semiconductor field-effect transistor (MOSFET) is investigated. The compact quantum correction model is proposed from the concepts of the threshold voltage shift (ΔV{sub TH}{sup QM}) and the gate capacitance (C{sub g}) degradation. First of all, ΔV{sub TH}{sup QM} induced by quantum mechanical (QM) effects is modeled. The C{sub g} degradation is then modeled by introducing the inversion layer centroid. With ΔV{sub TH}{sup QM} and the C{sub g} degradation, the QM effects are implemented in previously reported classical model and a comparison between the proposed quantum correction model and numerical simulationmore » results is presented. Based on the results, the proposed quantum correction model can be applicable to the compact model of DG MOSFET.« less

  13. Defect Chemistry and Plasmon Physics of Colloidal Metal Oxide Nanocrystals.

    PubMed

    Lounis, Sebastien D; Runnerstrom, Evan L; Llordés, Anna; Milliron, Delia J

    2014-05-01

    Plasmonic nanocrystals of highly doped metal oxides have seen rapid development in the past decade and represent a class of materials with unique optoelectronic properties. In this Perspective, we discuss doping mechanisms in metal oxides and the accompanying physics of free carrier scattering, both of which have implications in determining the properties of localized surface plasmon resonances (LSPRs) in these nanocrystals. The balance between activation and compensation of dopants limits the free carrier concentration of the most common metal oxides, placing a ceiling on the LSPR frequency. Furthermore, because of ionized impurity scattering of the oscillating plasma by dopant ions, scattering must be treated in a fundamentally different way in semiconductor metal oxide materials when compared with conventional metals. Though these effects are well-understood in bulk metal oxides, further study is needed to understand their manifestation in nanocrystals and corresponding impact on plasmonic properties, and to develop materials that surpass current limitations in free carrier concentration.

  14. Lattice matched semiconductor growth on crystalline metallic substrates

    DOEpatents

    Norman, Andrew G; Ptak, Aaron J; McMahon, William E

    2013-11-05

    Methods of fabricating a semiconductor layer or device and said devices are disclosed. The methods include but are not limited to providing a metal or metal alloy substrate having a crystalline surface with a known lattice parameter (a). The methods further include growing a crystalline semiconductor alloy layer on the crystalline substrate surface by coincident site lattice matched epitaxy. The semiconductor layer may be grown without any buffer layer between the alloy and the crystalline surface of the substrate. The semiconductor alloy may be prepared to have a lattice parameter (a') that is related to the lattice parameter (a). The semiconductor alloy may further be prepared to have a selected band gap.

  15. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. Themore » device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.« less

  16. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situmore » ALD process to avoid the high-temperature-induced degradations.« less

  17. Self-assembled bifunctional surface mimics an enzymatic and templating protein for the synthesis of a metal oxide semiconductor

    PubMed Central

    Kisailus, David; Truong, Quyen; Amemiya, Yosuke; Weaver, James C.; Morse, Daniel E.

    2006-01-01

    The recent discovery and characterization of silicatein, a mineral-synthesizing enzyme that assembles to form the filamentous organic core of the glassy skeletal elements (spicules) of a marine sponge, has led to the development of new low-temperature synthetic routes to metastable semiconducting metal oxides. These protein filaments were shown in vitro to catalyze the hydrolysis and structurally direct the polycondensation of metal oxides at neutral pH and low temperature. Based on the confirmation of the catalytic mechanism and the essential participation of specific serine and histidine residues (presenting a nucleophilic hydroxyl and a nucleophilicity-enhancing hydrogen-bonding imidazole nitrogen) in silicatein’s catalytic active site, we therefore sought to develop a synthetic mimic that provides both catalysis and the surface determinants necessary to template and structurally direct heterogeneous nucleation through condensation. Using lithographically patterned poly(dimethylsiloxane) stamps, bifunctional self-assembled monolayer surfaces containing the essential catalytic and templating elements were fabricated by using alkane thiols microcontact-printed on gold substrates. The interface between chemically distinct self-assembled monolayer domains provided the necessary juxtaposition of nucleophilic (hydroxyl) and hydrogen-bonding (imidazole) agents to catalyze the hydrolysis of a gallium oxide precursor and template the condensed product to form gallium oxohydroxide (GaOOH) and the defect spinel, gamma-gallium oxide (γ-Ga2O3). Using this approach, the production of patterned substrates for catalytic synthesis and templating of semiconductors for device applications can be envisioned. PMID:16585518

  18. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    NASA Astrophysics Data System (ADS)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  19. High quality HfO{sub 2}/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8 nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barth, Michael; Datta, Suman, E-mail: sdatta@engr.psu.edu; Bruce Rayner, G.

    2014-12-01

    We investigate in-situ cleaning of GaSb surfaces and its effect on the electrical performance of p-type GaSb metal-oxide-semiconductor capacitor (MOSCAP) using a remote hydrogen plasma. Ultrathin HfO{sub 2} films grown by atomic layer deposition were used as a high permittivity gate dielectric. Compared to conventional ex-situ chemical cleaning methods, the in-situ GaSb surface treatment resulted in a drastic improvement in the impedance characteristics of the MOSCAPs, directly evidencing a much lower interface trap density and enhanced Fermi level movement efficiency. We demonstrate that by using a combination of ex-situ and in-situ surface cleaning steps, aggressively scaled HfO{sub 2}/p-GaSb MOSCAP structuresmore » with a low equivalent oxide thickness of 0.8 nm and efficient gate modulation of the surface potential are achieved, allowing to push the Fermi level far away from the valence band edge high up into the band gap of GaSb.« less

  20. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    PubMed Central

    Irokawa, Yoshihiro

    2011-01-01

    In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C–V) characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C–V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C–V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I–V) characterization, suggesting that low-frequency C–V method would be effective in detecting very low hydrogen concentrations. PMID:22346597

  1. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1997-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  2. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1995-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  3. Thermal stability of atomic layer deposited WCxNy electrodes for metal oxide semiconductor devices

    NASA Astrophysics Data System (ADS)

    Zonensain, Oren; Fadida, Sivan; Fisher, Ilanit; Gao, Juwen; Danek, Michal; Eizenberg, Moshe

    2018-01-01

    This study is a thorough investigation of the chemical, structural, and electrical stability of W based organo-metallic films, grown by atomic layer deposition, for future use as gate electrodes in advanced metal oxide semiconductor structures. In an earlier work, we have shown that high effective work-function (4.7 eV) was produced by nitrogen enriched films (WCxNy) dominated by W-N chemical bonding, and low effective work-function (4.2 eV) was produced by hydrogen plasma resulting in WCx films dominated by W-C chemical bonding. In the current work, we observe, using x-ray diffraction analysis, phase transformation of the tungsten carbide and tungsten nitride phases after 900 °C annealing to the cubic tungsten phase. Nitrogen diffusion is also observed and is analyzed with time-of-flight secondary ion mass spectroscopy. After this 900 °C anneal, WCxNy effective work function tunability is lost and effective work-function values of 4.7-4.8 eV are measured, similar to stable effective work function values measured for PVD TiN up to 900 °C anneal. All the observed changes after annealing are discussed and correlated to the observed change in the effective work function.

  4. Nanoscale chirality in metal and semiconductor nanoparticles

    PubMed Central

    Thomas, K. George

    2016-01-01

    The field of chirality has recently seen a rejuvenation due to the observation of chirality in inorganic nanomaterials. The advancements in understanding the origin of nanoscale chirality and the potential applications of chiroptical nanomaterials in the areas of optics, catalysis and biosensing, among others, have opened up new avenues toward new concepts and design of novel materials. In this article, we review the concept of nanoscale chirality in metal nanoclusters and semiconductor quantum dots, then focus on recent experimental and theoretical advances in chiral metal nanoparticles and plasmonic chirality. Selected examples of potential applications and an outlook on the research on chiral nanomaterials are additionally provided. PMID:27752651

  5. Nanoscale chirality in metal and semiconductor nanoparticles.

    PubMed

    Kumar, Jatish; Thomas, K George; Liz-Marzán, Luis M

    2016-10-18

    The field of chirality has recently seen a rejuvenation due to the observation of chirality in inorganic nanomaterials. The advancements in understanding the origin of nanoscale chirality and the potential applications of chiroptical nanomaterials in the areas of optics, catalysis and biosensing, among others, have opened up new avenues toward new concepts and design of novel materials. In this article, we review the concept of nanoscale chirality in metal nanoclusters and semiconductor quantum dots, then focus on recent experimental and theoretical advances in chiral metal nanoparticles and plasmonic chirality. Selected examples of potential applications and an outlook on the research on chiral nanomaterials are additionally provided.

  6. Ratiometric, filter-free optical sensor based on a complementary metal oxide semiconductor buried double junction photodiode.

    PubMed

    Yung, Ka Yi; Zhan, Zhiyong; Titus, Albert H; Baker, Gary A; Bright, Frank V

    2015-07-16

    We report a complementary metal oxide semiconductor integrated circuit (CMOS IC) with a buried double junction (BDJ) photodiode that (i) provides a real-time output signal that is related to the intensity ratio at two emission wavelengths and (ii) simultaneously eliminates the need for an optical filter to block Rayleigh scatter. We demonstrate the BDJ platform performance for gaseous NH3 and aqueous pH detection. We also compare the BDJ performance to parallel results obtained by using a slew scanned fluorimeter (SSF). The BDJ results are functionally equivalent to the SSF results without the need for any wavelength filtering or monochromators and the BDJ platform is not prone to errors associated with source intensity fluctuations or sensor signal drift. Copyright © 2015 Elsevier B.V. All rights reserved.

  7. Synthesis of a Nano-Silver Metal Ink for Use in Thick Conductive Film Fabrication Applied on a Semiconductor Package

    PubMed Central

    Yung, Lai Chin; Fei, Cheong Choke; Mandeep, JS; Binti Abdullah, Huda; Wee, Lai Khin

    2014-01-01

    The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID) and light emitting diode (LED) industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail. PMID:24830317

  8. Synthesis of a nano-silver metal ink for use in thick conductive film fabrication applied on a semiconductor package.

    PubMed

    Yung, Lai Chin; Fei, Cheong Choke; Mandeep, Js; Binti Abdullah, Huda; Wee, Lai Khin

    2014-01-01

    The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID) and light emitting diode (LED) industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail.

  9. Ultra-Large Solar Sail

    NASA Technical Reports Server (NTRS)

    Burton, Rodney; Coverstone, Victoria

    2009-01-01

    UltraSail is a next-generation ultra-large (km2 class) sail system. Analysis of the launch, deployment, stabilization, and control of these sails shows that high-payload-mass fractions for interplanetary and deep-space missions are possible. UltraSail combines propulsion and control systems developed for formation-flying microsatellites with a solar sail architecture to achieve controllable sail areas approaching 1 km2. Electrically conductive CP-1 polyimide film results in sail subsystem area densities as low as 5 g/m2. UltraSail produces thrust levels many times those of ion thrusters used for comparable deep-space missions. The primary innovation involves the near-elimination of sail-supporting structures by attaching each blade tip to a formation- flying microsatellite, which deploys the sail and then articulates the sail to provide attitude control, including spin stabilization and precession of the spin axis. These microsatellite tips are controlled by microthrusters for sail-film deployment and mission operations. UltraSail also avoids the problems inherent in folded sail film, namely stressing, yielding, or perforating, by storing the film in a roll for launch and deployment. A 5-km long by 2 micrometer thick film roll on a mandrel with a 1 m circumference (32 cm diameter) has a stored thickness of 5 cm. A 5 m-long mandrel can store a film area of 25,000 m2, and a four-blade system has an area of 0.1 sq km.

  10. Bipolar resistive switching in metal-insulator-semiconductor nanostructures based on silicon nitride and silicon oxide

    NASA Astrophysics Data System (ADS)

    Koryazhkina, M. N.; Tikhov, S. V.; Mikhaylov, A. N.; Belov, A. I.; Korolev, D. S.; Antonov, I. N.; Karzanov, V. V.; Gorshkov, O. N.; Tetelbaum, D. I.; Karakolis, P.; Dimitrakis, P.

    2018-03-01

    Bipolar resistive switching in metal-insulator-semiconductor (MIS) capacitor-like structures with an inert Au top electrode and a Si3N4 insulator nanolayer (6 nm thick) has been observed. The effect of a highly doped n +-Si substrate and a SiO2 interlayer (2 nm) is revealed in the changes in the semiconductor space charge region and small-signal parameters of parallel and serial equivalent circuit models measured in the high- and low-resistive capacitor states, as well as under laser illumination. The increase in conductivity of the semiconductor capacitor plate significantly reduces the charging and discharging times of capacitor-like structures.

  11. Band-Gap Engineering at a Semiconductor-Crystalline Oxide Interface

    DOE PAGES

    Jahangir-Moghadam, Mohammadreza; Ahmadi-Majlan, Kamyar; Shen, Xuan; ...

    2015-02-09

    The epitaxial growth of crystalline oxides on semiconductors provides a pathway to introduce new functionalities to semiconductor devices. Key to integrating the functionalities of oxides onto semiconductors is controlling the band alignment at interfaces between the two materials. Here we apply principles of band gap engineering traditionally used at heterojunctions between conventional semiconductors to control the band offset between a single crystalline oxide and a semiconductor. Reactive molecular beam epitaxy is used to realize atomically abrupt and structurally coherent interfaces between SrZr xTi 1-xO₃ and Ge, in which the band gap of the former is enhanced with Zr content x.more » We present structural and electrical characterization of SrZr xTi 1-xO₃-Ge heterojunctions and demonstrate a type-I band offset can be achieved. These results demonstrate that band gap engineering can be exploited to realize functional semiconductor crystalline oxide heterojunctions.« less

  12. Thin Semiconductor/Metal Films For Infrared Devices

    NASA Technical Reports Server (NTRS)

    Lamb, James L.; Nagendra, Channamallappa L.

    1995-01-01

    Spectral responses of absorbers and reflectors tailored. Thin cermet films composites of metals and semiconductors undergoing development for use as broadband infrared reflectors and absorbers. Development extends concepts of semiconductor and dielectric films used as interference filters for infrared light and visible light. Composite films offer advantages over semiconductor films. Addition of metal particles contributes additional thermal conductivity, reducing thermal gradients and associated thermal stresses, with resultant enhancements of thermal stability. Because values of n in composite films made large, same optical effects achieved with lesser thicknesses. By decreasing thicknesses of films, one not only decreases weights but also contributes further to reductions of thermal stresses.

  13. BRIEF COMMUNICATIONS: Q switching of a resonator by the metal-semiconductor phase transition

    NASA Astrophysics Data System (ADS)

    Bugaev, A. A.; Zakharchenya, Boris P.; Chudnovskiĭ, F. A.

    1981-12-01

    An experimental study was made of Q switching in a resonator by a mirror with a nonlinear reflection coefficient. This mirror was an interference reflecting structure containing a vanadium oxide film capable of undergoing a metal-semiconductor transition. The nonlinearity of the reflection coefficient was due to initiation of this phase transition by laser radiation. A determination was made of the parameters of a giant radiation pulse obtained using such a passive switch with a vanadium oxide film.

  14. Defect-related electroluminescence from metal-oxide-semiconductor devices with ZrO2 films on silicon

    NASA Astrophysics Data System (ADS)

    Lv, Chunyan; Zhu, Chen; Wang, Canxing; Li, Dongsheng; Ma, Xiangyang; Yang, Deren

    2016-11-01

    Defect-related electroluminescence (EL) from ZrO2 films annealed under different atmosphere has been realized by means of electrical pumping scheme of metal-oxide-semiconductor (MOS) devices. At the same injection current, the acquired EL from the MOS device with the vacuum-annealed ZrO2 film is much stronger than that from the counterpart with the oxygen-annealed ZrO2 film. This is because the vacuum-annealed ZrO2 film contains more oxygen vacancies and Zr3+ ions. Analysis on the current-voltage characteristic of the ZrO2-based MOS devices indicates the P-F conduction mechanism dominates the electron transportation at the EL-enabling voltages under forward bias. It is tentatively proposed that the recombination of the electrons trapped in multiple oxygen-vacancy-related states with the holes in the defect level pertaining to Zr3+ ions brings about the EL emissions.

  15. Using a Semiconductor-to-Metal Transition to Control Optical Transmission through Subwavelength Hole Arrays

    DOE PAGES

    Donev, E. U.; Suh, J. Y.; Lopez, R.; ...

    2008-01-01

    We describe a simple configuration in which the extraordinary optical transmission effect through subwavelength hole arrays in noble-metal films can be switched by the semiconductor-to-metal transition in an underlying thin film of vanadium dioxide. In these experiments, the transition is brought about by thermal heating of the bilayer film. The surprising reverse hysteretic behavior of the transmission through the subwavelength holes in the vanadium oxide suggest that this modulation is accomplished by a dielectric-matching condition rather than plasmon coupling through the bilayer film. The results of this switching, including the wavelength dependence, are qualitatively reproduced by a transfer matrix model.more » The prospects for effecting a similar modulation on a much faster time scale by using ultrafast laser pulses to trigger the semiconductor-to-metal transition are also discussed.« less

  16. New Concentric Electrode Metal-Semiconductor-Metal Photodetectors

    NASA Technical Reports Server (NTRS)

    Towe, Elias

    1996-01-01

    A new metal-semiconductor-metal (MSM) photodetector geometry is proposed. The new device has concentric metal electrodes which exhibit a high degree of symmetry and a design flexibility absent in the conventional MSM device. The concentric electrodes are biased to alternating potentials as in the conventional interdigitated device. Because of the high symmetry configuration, however, the new device also has a lower effective capacitance. This device and the conventional MSM structure are analyzed within a common theoretical framework which allows for the comparison of the important performance characteristics.

  17. Laser line scan underwater imaging by complementary metal-oxide-semiconductor camera

    NASA Astrophysics Data System (ADS)

    He, Zhiyi; Luo, Meixing; Song, Xiyu; Wang, Dundong; He, Ning

    2017-12-01

    This work employs the complementary metal-oxide-semiconductor (CMOS) camera to acquire images in a scanning manner for laser line scan (LLS) underwater imaging to alleviate backscatter impact of seawater. Two operating features of the CMOS camera, namely the region of interest (ROI) and rolling shutter, can be utilized to perform image scan without the difficulty of translating the receiver above the target as the traditional LLS imaging systems have. By the dynamically reconfigurable ROI of an industrial CMOS camera, we evenly divided the image into five subareas along the pixel rows and then scanned them by changing the ROI region automatically under the synchronous illumination by the fun beams of the lasers. Another scanning method was explored by the rolling shutter operation of the CMOS camera. The fun beam lasers were turned on/off to illuminate the narrow zones on the target in a good correspondence to the exposure lines during the rolling procedure of the camera's electronic shutter. The frame synchronization between the image scan and the laser beam sweep may be achieved by either the strobe lighting output pulse or the external triggering pulse of the industrial camera. Comparison between the scanning and nonscanning images shows that contrast of the underwater image can be improved by our LLS imaging techniques, with higher stability and feasibility than the mechanically controlled scanning method.

  18. Influence of quantizing magnetic field and Rashba effect on indium arsenide metal-oxide-semiconductor structure accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Kovchavtsev, A. P.; Aksenov, M. S.; Tsarenko, A. V.; Nastovjak, A. E.; Pogosov, A. G.; Pokhabov, D. A.; Tereshchenko, O. E.; Valisheva, N. A.

    2018-05-01

    The accumulation capacitance oscillations behavior in the n-InAs metal-oxide-semiconductor structures with different densities of the built-in charge (Dbc) and the interface traps (Dit) at temperature 4.2 K in the magnetic field (B) 2-10 T, directed perpendicular to the semiconductor-dielectric interface, is studied. A decrease in the oscillation frequency and an increase in the capacitance oscillation amplitude are observed with the increase in B. At the same time, for a certain surface accumulation band bending, the influence of the Rashba effect, which is expressed in the oscillations decay and breakdown, is traced. The experimental capacitance-voltage curves are in a good agreement with the numeric simulation results of the self-consistent solution of Schrödinger and Poisson equations in the magnetic field, taking into account the quantization, nonparabolicity of dispersion law, and Fermi-Dirac electron statistics, with the allowance for the Rashba effect. The Landau quantum level broadening in a two-dimensional electron gas (Lorentzian-shaped density of states), due to the electron scattering mechanism, linearly depends on the magnetic field. The correlation between the interface electronic properties and the characteristic scattering times was established.

  19. Indium tin oxide films prepared by atmospheric plasma annealing and their semiconductor-metal conductivity transition around room temperature

    NASA Astrophysics Data System (ADS)

    Li, Yali; Li, Chunyang; He, Deyan; Li, Junshuai

    2009-05-01

    We report the synthesis of indium tin oxide (ITO) films using the atmospheric plasma annealing (APA) technique combined with the spin-coating method. The ITO film with a low resistivity of ~4.6 × 10-4 Ω cm and a high visible light transmittance, above 85%, was achieved. Hall measurement indicates that compared with the optimized ITO films deposited by magnetron sputtering, the above-mentioned ITO film has a higher carrier concentration of ~1.21 × 1021 cm-3 and a lower mobility of ~11.4 cm2 V-1 s-1. More interestingly, these electrical characteristics result in the semiconductor-metal conductivity transition around room temperature for the ITO films prepared by APA.

  20. Radiation hardness of β-Ga2O3 metal-oxide-semiconductor field-effect transistors against gamma-ray irradiation

    NASA Astrophysics Data System (ADS)

    Wong, Man Hoi; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Sasaki, Kohei; Kuramata, Akito; Yamakoshi, Shigenobu; Higashiwaki, Masataka

    2018-01-01

    The effects of ionizing radiation on β-Ga2O3 metal-oxide-semiconductor field-effect transistors (MOSFETs) were investigated. A gamma-ray tolerance as high as 1.6 MGy(SiO2) was demonstrated for the bulk Ga2O3 channel by virtue of weak radiation effects on the MOSFETs' output current and threshold voltage. The MOSFETs remained functional with insignificant hysteresis in their transfer characteristics after exposure to the maximum cumulative dose. Despite the intrinsic radiation hardness of Ga2O3, radiation-induced gate leakage and drain current dispersion ascribed respectively to dielectric damage and interface charge trapping were found to limit the overall radiation hardness of these devices.

  1. Noncontact, Electrode-free Capacitance/Voltage Measurement Based on General Theory of Metal-Oxide-Semiconductor (MOS) Structure

    NASA Astrophysics Data System (ADS)

    Sakai, Takamasa; Kohno, Motohiro; Hirae, Sadao; Nakatani, Ikuyoshi; Kusuda, Tatsufumi

    1993-09-01

    In this paper, we discussed a novel approach to semiconductor surface inspection, which is analysis using the C--V curve measured in a noncontact method by the metal-air-semiconductor (MAIS) technique. A new gap sensing method using the so-called Goos-Haenchen effect was developed to achieve the noncontact C--V measurement. The MAIS technique exhibited comparable sensitivity and repeatability to those of conventional C--V measurement, and hence, good reproducibility and resolution for quantifying the electrically active impurity on the order of 1× 109/cm2, which is better than most spectrometric techniques, such as secondary ion mass spectroscopy (SIMS), electron spectroscopy for chemical analysis (ESCA) and Auger electron spectrocopy (AES) which are time-consuming and destructive. This measurement without preparation of any electrical contact metal electrode suggested, for the first time, the possibility of measuring an intrinsic characteristic of the semiconductor surface, using the examples of a concrete examination.

  2. Improvement of charge-pumping electrically detected magnetic resonance and its application to silicon metal-oxide-semiconductor field-effect transistor

    NASA Astrophysics Data System (ADS)

    Hori, Masahiro; Tsuchiya, Toshiaki; Ono, Yukinori

    2017-01-01

    Charge-pumping electrically detected magnetic resonance (CP EDMR), or EDMR in the CP mode, is improved and applied to a silicon metal-oxide-semiconductor field-effect transistor (MOSFET). Real-time monitoring of the CP process reveals that high-frequency transient currents are an obstacle to signal amplification for EDMR. Therefore, we introduce cutoff circuitry, leading to a detection limit for the number of spins as low as 103 for Si MOS interface defects. With this improved method, we demonstrate that CP EDMR inherits one of the most important features of the CP method: the gate control of the energy window of the detectable interface defects for spectroscopy.

  3. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    NASA Astrophysics Data System (ADS)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  4. Ultrafast photoinduced charge separation in metal-semiconductor nanohybrids.

    PubMed

    Mongin, Denis; Shaviv, Ehud; Maioli, Paolo; Crut, Aurélien; Banin, Uri; Del Fatti, Natalia; Vallée, Fabrice

    2012-08-28

    Hybrid nano-objects formed by two or more disparate materials are among the most promising and versatile nanosystems. A key parameter in their properties is interaction between their components. In this context we have investigated ultrafast charge separation in semiconductor-metal nanohybrids using a model system of gold-tipped CdS nanorods in a matchstick architecture. Experiments are performed using an optical time-resolved pump-probe technique, exciting either the semiconductor or the metal component of the particles, and probing the light-induced change of their optical response. Electron-hole pairs photoexcited in the semiconductor part of the nanohybrids are shown to undergo rapid charge separation with the electron transferred to the metal part on a sub-20 fs time scale. This ultrafast gold charging leads to a transient red-shift and broadening of the metal surface plasmon resonance, in agreement with results for free clusters but in contrast to observation for static charging of gold nanoparticles in liquid environments. Quantitative comparison with a theoretical model is in excellent agreement with the experimental results, confirming photoexcitation of one electron-hole pair per nanohybrid followed by ultrafast charge separation. The results also point to the utilization of such metal-semiconductor nanohybrids in light-harvesting applications and in photocatalysis.

  5. Printing Peptide arrays with a complementary metal oxide semiconductor chip.

    PubMed

    Loeffler, Felix F; Cheng, Yun-Chien; Muenster, Bastian; Striffler, Jakob; Liu, Fanny C; Ralf Bischoff, F; Doersam, Edgar; Breitling, Frank; Nesterov-Mueller, Alexander

    2013-01-01

    : In this chapter, we discuss the state-of-the-art peptide array technologies, comparing the spot technique, lithographical methods, and microelectronic chip-based approaches. Based on this analysis, we describe a novel peptide array synthesis method with a microelectronic chip printer. By means of a complementary metal oxide semiconductor chip, charged bioparticles can be patterned on its surface. The bioparticles serve as vehicles to transfer molecule monomers to specific synthesis spots. Our chip offers 16,384 pixel electrodes on its surface with a spot-to-spot pitch of 100 μm. By switching the voltage of each pixel between 0 and 100 V separately, it is possible to generate arbitrary particle patterns for combinatorial molecule synthesis. Afterwards, the patterned chip surface serves as a printing head to transfer the particle pattern from its surface to a synthesis substrate. We conducted a series of proof-of-principle experiments to synthesize high-density peptide arrays. Our solid phase synthesis approach is based on the 9-fluorenylmethoxycarbonyl protection group strategy. After melting the particles, embedded monomers diffuse to the surface and participate in the coupling reaction to the surface. The method demonstrated herein can be easily extended to the synthesis of more complicated artificial molecules by using bioparticles with artificial molecular building blocks. The possibility of synthesizing artificial peptides was also shown in an experiment in which we patterned biotin particles in a high-density array format. These results open the road to the development of peptide-based functional modules for diverse applications in biotechnology.

  6. Influence of CO annealing in metal-oxide-semiconductor capacitors with SiO2 films thermally grown on Si and on SiC

    NASA Astrophysics Data System (ADS)

    Pitthan, E.; dos Reis, R.; Corrêa, S. A.; Schmeisser, D.; Boudinov, H. I.; Stedile, F. C.

    2016-01-01

    Understanding the influence of SiC reaction with CO, a by-product of SiC thermal oxidation, is a key point to elucidate the origin of electrical defects in SiC metal-oxide-semiconductor (MOS) devices. In this work, the effects on electrical, structural, and chemical properties of SiO2/Si and SiO2/SiC structures submitted to CO annealing were investigated. It was observed that long annealing times resulted in the incorporation of carbon from CO in the Si substrate, followed by deterioration of the SiO2/Si interface, and its crystallization as SiC. Besides, this incorporated carbon remained in the Si surface (previous SiO2/Si region) after removal of the silicon dioxide film by HF etching. In the SiC case, an even more defective surface region was observed due to the CO interaction. All MOS capacitors formed using both semiconductor materials presented higher leakage current and generation of positive effective charge after CO annealings. Such results suggest that the negative fixed charge, typically observed in SiO2/SiC structures, is not originated from the interaction of the CO by-product, formed during SiC oxidation, with the SiO2/SiC interfacial region.

  7. Emerging Hierarchical Aerogels: Self-Assembly of Metal and Semiconductor Nanocrystals.

    PubMed

    Cai, Bin; Sayevich, Vladimir; Gaponik, Nikolai; Eychmüller, Alexander

    2018-06-19

    Aerogels assembled from colloidal metal or semiconductor nanocrystals (NCs) feature large surface area, ultralow density, and high porosity, thus rendering them attractive in various applications, such as catalysis, sensors, energy storage, and electronic devices. Morphological and structural modification of the aerogel backbones while maintaining the aerogel properties enables a second stage of the aerogel research, which is defined as hierarchical aerogels. Different from the conventional aerogels with nanowire-like backbones, those hierarchical aerogels are generally comprised of at least two levels of architectures, i.e., an interconnected porous structure on the macroscale and a specially designed configuration at local backbones at the nanoscale. This combination "locks in" the inherent properties of the NCs, so that the beneficial genes obtained by nanoengineering are retained in the resulting monolithic hierarchical aerogels. Herein, groundbreaking advances in the design, synthesis, and physicochemical properties of the hierarchical aerogels are reviewed and organized in three sections: i) pure metallic hierarchical aerogels, ii) semiconductor hierarchical aerogels, and iii) metal/semiconductor hybrid hierarchical aerogels. This report aims to define and demonstrate the concept, potential, and challenges of the hierarchical aerogels, thereby providing a perspective on the further development of these materials. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Aerosol-spray diverse mesoporous metal oxides from metal nitrates.

    PubMed

    Kuai, Long; Wang, Junxin; Ming, Tian; Fang, Caihong; Sun, Zhenhua; Geng, Baoyou; Wang, Jianfang

    2015-04-21

    Transition metal oxides are widely used in solar cells, batteries, transistors, memories, transparent conductive electrodes, photocatalysts, gas sensors, supercapacitors, and smart windows. In many of these applications, large surface areas and pore volumes can enhance molecular adsorption, facilitate ion transfer, and increase interfacial areas; the formation of complex oxides (mixed, doped, multimetallic oxides and oxide-based hybrids) can alter electronic band structures, modify/enhance charge carrier concentrations/separation, and introduce desired functionalities. A general synthetic approach to diverse mesoporous metal oxides is therefore very attractive. Here we describe a powerful aerosol-spray method for synthesizing various mesoporous metal oxides from low-cost nitrate salts. During spray, thermal heating of precursor droplets drives solvent evaporation and induces surfactant-directed formation of mesostructures, nitrate decomposition and oxide cross-linking. Thirteen types of monometallic oxides and four groups of complex ones are successfully produced, with mesoporous iron oxide microspheres demonstrated for photocatalytic oxygen evolution and gas sensing with superior performances.

  9. Aerosol-spray diverse mesoporous metal oxides from metal nitrates

    PubMed Central

    Kuai, Long; Wang, Junxin; Ming, Tian; Fang, Caihong; Sun, Zhenhua; Geng, Baoyou; Wang, Jianfang

    2015-01-01

    Transition metal oxides are widely used in solar cells, batteries, transistors, memories, transparent conductive electrodes, photocatalysts, gas sensors, supercapacitors, and smart windows. In many of these applications, large surface areas and pore volumes can enhance molecular adsorption, facilitate ion transfer, and increase interfacial areas; the formation of complex oxides (mixed, doped, multimetallic oxides and oxide-based hybrids) can alter electronic band structures, modify/enhance charge carrier concentrations/separation, and introduce desired functionalities. A general synthetic approach to diverse mesoporous metal oxides is therefore very attractive. Here we describe a powerful aerosol-spray method for synthesizing various mesoporous metal oxides from low-cost nitrate salts. During spray, thermal heating of precursor droplets drives solvent evaporation and induces surfactant-directed formation of mesostructures, nitrate decomposition and oxide cross-linking. Thirteen types of monometallic oxides and four groups of complex ones are successfully produced, with mesoporous iron oxide microspheres demonstrated for photocatalytic oxygen evolution and gas sensing with superior performances. PMID:25897988

  10. Shell Filling and Magnetic Anisotropy In A Few Hole Silicon Metal-Oxide-Semiconductor Quantum Dot

    NASA Astrophysics Data System (ADS)

    Hamilton, Alex; Li., R.; Liles, S. D.; Yang, C. H.; Hudson, F. E.; Veldhorst, M. E.; Dzurak, A. S.

    There is growing interest in hole spin states in group IV materials for quantum information applications. The near-absence of nuclear spins in group IV crystals promises long spin coherence times, while the strong spin-orbit interaction of the hole states provides fast electrical spin manipulation methods. However, the level-mixing and magnetic field dependence of the p-orbital hole states is non-trivial in nanostructures, and is not as well understood as for electron systems. In this work, we study the hole states in a gate-defined silicon metal-oxide-semiconductor quantum dot. Using an adjacent charge sensor, we monitor quantum dot orbital level spacing down to the very last hole, and find the standard two-dimensional (2D) circular dot shell filling structure. We can change the shell filling sequence by applying an out-of-plane magnetic field. However, when the field is applied in-plane, the shell filling is not changed. This magnetic field anisotropy suggests that the confined hole states are Ising-like.

  11. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down tomore » the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.« less

  12. Chemically Modified Metal Oxide Nanostructure for Photoelectrochemical Water Splitting

    NASA Astrophysics Data System (ADS)

    Wang, Gongming

    Hydrogen gas is chemical fuel with high energy density, and represents a clean, renewable and carbon-free burning fuel, which has the potential to solve the more and more urgent energy crisis in today's society. Inspired by natural photosynthesis, artificial photosynthesis to generate hydrogen energy has attracted a lot of attentions in the field of chemistry, physics and material. Photoelectrochemical water splitting based on semiconductors represents a green and low cost method to generate hydrogen fuel. However, the current overall efficiency of solar to hydrogen is quite low, due to some intrinsic limitations such as bandgap, diffusion distance, carrier lifetime and photostability of semiconductors. Although nanostructured semiconductors can improve their photoelectrochemical water splitting performance to some extent, by increasing electrolyte accessible area and shortening minority carrier diffusion distance, nanostructure engineering cannot change their intrinsic electronic properties. Recent development in chemically modified nanostructures such as surface catalyst decoration, element doping, plasmonic modification and interfacial hetero-junction design have led to significant advancement in the photoelectrochemical water splitting, by improving surface reaction kinetics and charge separation, transportation and collection efficiency. In this thesis, I will give a detailed discussion on the chemically modified metal oxide nanostructures for photoelectrocemical hydrogen generation, with a focus on the element doping, hydrogen treatment and catalyst modification. I have demonstrated nitrogen doping on ZnO and Ti doping on hematite can improve their photoelectrochemical performance. In addition, we found hydrogen treatment is a general and effective method to improve the photocatalytic performance, by increasing their carrier desities. Hydrogen treatment has been demonstrated on TiO2, WO3 and BiVO4. In the end, we also used electrochemical catalyt to modify

  13. Finite Element Analysis of Film Stack Architecture for Complementary Metal-Oxide-Semiconductor Image Sensors.

    PubMed

    Wu, Kuo-Tsai; Hwang, Sheng-Jye; Lee, Huei-Huang

    2017-05-02

    Image sensors are the core components of computer, communication, and consumer electronic products. Complementary metal oxide semiconductor (CMOS) image sensors have become the mainstay of image-sensing developments, but are prone to leakage current. In this study, we simulate the CMOS image sensor (CIS) film stacking process by finite element analysis. To elucidate the relationship between the leakage current and stack architecture, we compare the simulated and measured leakage currents in the elements. Based on the analysis results, we further improve the performance by optimizing the architecture of the film stacks or changing the thin-film material. The material parameters are then corrected to improve the accuracy of the simulation results. The simulated and experimental results confirm a positive correlation between measured leakage current and stress. This trend is attributed to the structural defects induced by high stress, which generate leakage. Using this relationship, we can change the structure of the thin-film stack to reduce the leakage current and thereby improve the component life and reliability of the CIS components.

  14. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  15. GaN metal-oxide-semiconductor field-effect transistors on AlGaN/GaN heterostructure with recessed gate

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Ao, Jin-Ping; Wang, Pangpang; Jiang, Ying; Li, Liuan; Kawaharada, Kazuya; Liu, Yang

    2015-04-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure with a recess gate were fabricated and characterized. The device showed good pinch-off characteristics and a maximum field-effect mobility of 145.2 cm2·V-1·s-1. The effects of etching gas of Cl2 and SiCl4 were investigated in the gate recess process. SiCl4-etched devices showed higher channel mobility and lower threshold voltage. Atomic force microscope measurement was done to investigate the etching profile with different etching protection mask. Compared with photoresist, SiO2-masked sample showed lower surface roughness and better profile with stepper sidewall and weaker trenching effect resulting in higher channel mobility in the MOSFET.

  16. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission.

    PubMed

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO(2) and In(2)O(3) are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications. This journal is © The Royal Society of Chemistry 2011

  17. Nanoimprinted Hybrid Metal-Semiconductor Plasmonic Multilayers with Controlled Surface Nano Architecture for Applications in NIR Detectors

    PubMed Central

    Khosroabadi, Akram A.; Gangopadhyay, Palash; Hernandez, Steven; Kim, Kyungjo; Peyghambarian, Nasser; Norwood, Robert A.

    2015-01-01

    We present a proof of concept for tunable plasmon resonance frequencies in a core shell nano-architectured hybrid metal-semiconductor multilayer structure, with Ag as the active shell and ITO as the dielectric modulation media. Our method relies on the collective change in the dielectric function within the metal semiconductor interface to control the surface. Here we report fabrication and optical spectroscopy studies of large-area, nanostructured, hybrid silver and indium tin oxide (ITO) structures, with feature sizes below 100 nm and a controlled surface architecture. The optical and electrical properties of these core shell electrodes, including the surface plasmon frequency, can be tuned by suitably changing the order and thickness of the dielectric layers. By varying the dimensions of the nanopillars, the surface plasmon wavelength of the nanopillar Ag can be tuned from 650 to 690 nm. Adding layers of ITO to the structure further shifts the resonance wavelength toward the IR region and, depending on the sequence and thickness of the layers within the structure, we show that such structures can be applied in sensing devices including enhancing silicon as a photodetection material. PMID:28793489

  18. Electrostatic analysis of n-doped SrTiO{sub 3} metal-insulator-semiconductor systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamerbeek, A. M., E-mail: a.m.kamerbeek@rug.nl; Banerjee, T.; Hueting, R. J. E.

    2015-12-14

    Electron doped SrTiO{sub 3}, a complex-oxide semiconductor, possesses novel electronic properties due to its strong temperature and electric-field dependent permittivity. Due to the high permittivity, metal/n-SrTiO{sub 3} systems show reasonably strong rectification even when SrTiO{sub 3} is degenerately doped. Our experiments show that the insertion of a sub nanometer layer of AlO{sub x} in between the metal and n-SrTiO{sub 3} interface leads to a dramatic reduction of the Schottky barrier height (from around 0.90 V to 0.25 V). This reduces the interface resistivity by 4 orders of magnitude. The derived electrostatic analysis of the metal-insulator-semiconductor (n-SrTiO{sub 3}) system is consistent with thismore » trend. When compared with a Si based MIS system, the change is much larger and mainly governed by the high permittivity of SrTiO{sub 3}. The non-linear permittivity of n-SrTiO{sub 3} leads to unconventional properties such as a temperature dependent surface potential non-existent for semiconductors with linear permittivity such as Si. This allows tuning of the interfacial band alignment, and consequently the Schottky barrier height, in a much more drastic way than in conventional semiconductors.« less

  19. Electrical characteristics and thermal stability of HfO{sub 2} metal-oxide-semiconductor capacitors fabricated on clean reconstructed GaSb surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miyata, Noriyuki, E-mail: nori.miyata@aist.go.jp; Mori, Takahiro; Yasuda, Tetsuji

    2014-06-09

    HfO{sub 2}/GaSb interfaces fabricated by high-vacuum HfO{sub 2} deposition on clean reconstructed GaSb surfaces were examined to explore a thermally stable GaSb metal-oxide-semiconductor structure with low interface-state density (D{sub it}). Interface Sb-O bonds were electrically and thermally unstable, and post-metallization annealing at temperatures higher than 200 °C was required to stabilize the HfO{sub 2}/GaSb interfaces. However, the annealing led to large D{sub it} in the upper-half band gap. We propose that the decomposition products that are associated with elemental Sb atoms act as interface states, since a clear correlation between the D{sub it} and the Sb coverage on the initial GaSbmore » surfaces was observed.« less

  20. The Morphologies of the Semiconductor Oxides and Their Gas-Sensing Properties

    PubMed Central

    Lv, Xin; Li, Shuang; Wang, Qingji

    2017-01-01

    Semiconductor oxide chemoresistive gas sensors are widely used for detecting deleterious gases due to low cost, simple preparation, rapid response and high sensitivity. The performance of gas sensor is greatly affected by the morphology of the semiconductor oxide. There are many semiconductor oxide morphologies, including zero-dimensional, one-dimensional, two-dimensional and three-dimensional ones. The semiconductor oxides with different morphologies significantly enhance the gas-sensing performance. Among the various morphologies, hollow nanostructures and core-shell nanostructures are always the focus of research in the field of gas sensors due to their distinctive structural characteristics and superior performance. Herein the morphologies of semiconductor oxides and their gas-sensing properties are reviewed. This review also proposes a potential strategy for the enhancement of gas-sensing performance in the future. PMID:29189714

  1. Exploration of oxide-based diluted magnetic semiconductors toward transparent spintronics

    NASA Astrophysics Data System (ADS)

    Fukumura, T.; Yamada, Y.; Toyosaki, H.; Hasegawa, T.; Koinuma, H.; Kawasaki, M.

    2004-02-01

    A review is given for the recent progress of research in the field of oxide-based diluted magnetic semiconductor (DMS), which was triggered by combinatorial discovery of transparent ferromagnet. The possible advantages of oxide semiconductor as a host of DMS are described in comparison with conventional compound semiconductors. Limits and problems for identifying novel ferromagnetic DMS are described in view of recent reports in this field. Several characterization techniques are proposed in order to eliminate unidentified ferromagnetism of oxide-based DMS unidentified ferromagnetic oxide (UFO). Perspectives and possible devices are also given.

  2. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materialsmore » on the basis of porous silicon and nanostructures with a high aspect ratio.« less

  3. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  4. Modifying the catalytic and adsorption properties of metals and oxides

    NASA Astrophysics Data System (ADS)

    Yagodovskii, V. D.

    2015-11-01

    A new approach to interpreting the effect of promoters (inhibitors) of nonmetals and metals added to a host metal (catalyst) is considered. Theoretical calculations are based on a model of an actual two-dimensional electron gas and adsorbate particles. An equation is derived for the isotherm of induced adsorption on metals and semiconductors with respect to small fillings of θ ~ 0.1-0.15. The applicability of this equation is verified experimentally for metals (Ag, Pd, Cu, Fe, and Ni), graphitized ash, and semiconductor oxides Ta2O5, ZnO, and Ni. The applicability of the theoretical model of promotion is verified by the hydrogenation reaction of CO on ultradispersed nickel powder. The use of plasmachemical surface treatments of metals and oxides, accompanied by an increase in activity and variation in selectivity, are investigated based on the dehydrocyclization reactions of n-hexane and the dehydrogenation and dehydration of alcohols. It is established that such treatments for metals (Pt, Cu, Ni, and Co) raise their activity due to the growth of the number of active centers upon an increase in the activation energy. Applying XPES and XRD methods to metallic catalysts, it is shown that the rise in activity is associated with a change in their surface states (variation in the structural characteristics of metal particles and localization of certain forms of carbon in catalytically active centers). It is shown that plasmachemical treatments also alter their surface composition, surface activity, and raise their activity when used with complex phosphate oxides of the NASICON type. It is shown by the example of conversion of butanol-2 that abrupt variations in selectivity (prevalence of dehydration over dehydrogenation and vice versa) occur, depending on the type of plasma. It is concluded that plasmachemical treatments of metals and ZnO and NiO alter the isosteric heats and entropies of adsorption of isopropanol.

  5. Heterogeneous integration of low-temperature metal-oxide TFTs

    NASA Astrophysics Data System (ADS)

    Schuette, Michael L.; Green, Andrew J.; Leedy, Kevin D.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-02-01

    The breadth of circuit fabrication opportunities enabled by metal-oxide thin-film transistors (MO-TFTs) is unprecedented. Large-area deposition techniques and high electron mobility are behind their adoption in the display industry, and substrate agnosticism and low process temperatures enabled the present wave of flexible electronics research. Reports of circuits involving complementaryMO-TFTs, oxide-organic hybrid combinations, and even MO-TFTs integrated onto Si LSI back end of line interconnects demonstrate this technology's utility in 2D and 3D monolithic heterogeneous integration (HI). In addition to a brief literature review focused on functional HI between MO-TFTs and a variety of dissimilar active devices, we share progress toward integrating MO-TFTs with compound semiconductor devices, namely GaN HEMTs. A monolithically integrated cascode topology was used to couple a HEMT's >200 V breakdown characteristic with the gate driving characteristic of an IGZO TFT, effectively shifting the HEMT threshold voltage from -3 V to +1 V.

  6. A Wide-Range Tunable Level-Keeper Using Vertical Metal-Oxide-Semiconductor Field-Effect Transistors for Current-Reuse Systems

    NASA Astrophysics Data System (ADS)

    Tanoi, Satoru; Endoh, Tetsuo

    2012-04-01

    A wide-range tunable level-keeper using vertical metal-oxide-semiconductor field-effect transistors (MOSFETs) is proposed for current-reuse analog systems. The design keys for widening tunable range of the operation are a two-path feed-back and a vertical MOSFET with back-bias-effect free. The proposed circuit with the vertical MOSFETs shows the 1.23-V tunable-range of the input level with the 2.4-V internal-supply voltage (VDD) in the simulation. This tunable-range of the proposed circuit is 4.7 times wider than that of the conventional. The achieved current efficiency of the proposed level-keeper is 66% at the 1.2-V output with the 2.4-V VDD. This efficiency of the proposed circuit is twice higher than that of the traditional voltage down converter.

  7. Interfacial oxide re-growth in thin film metal oxide III-V semiconductor systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McDonnell, S.; Dong, H.; Hawkins, J. M.

    2012-04-02

    The Al{sub 2}O{sub 3}/GaAs and HfO{sub 2}/GaAs interfaces after atomic layer deposition are studied using in situ monochromatic x-ray photoelectron spectroscopy. Samples are deliberately exposed to atmospheric conditions and interfacial oxide re-growth is observed. The extent of this re-growth is found to depend on the dielectric material and the exposure temperature. Comparisons with previous studies show that ex situ characterization can result in misleading conclusions about the interface reactions occurring during the metal oxide deposition process.

  8. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  9. Enhancement mode GaN-based multiple-submicron channel array gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Wang, Chun-Chi

    2018-04-01

    To study the function of channel width in multiple-submicron channel array, we fabricated the enhancement mode GaN-based gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors (MOS-HEMTs) with a channel width of 450 nm and 195 nm, respectively. In view of the enhanced gate controllability in a narrower fin-channel structure, the transconductance was improved from 115 mS/mm to 151 mS/mm, the unit gain cutoff frequency was improved from 6.2 GHz to 6.8 GHz, and the maximum oscillation frequency was improved from 12.1 GHz to 13.1 GHz of the devices with a channel width of 195 nm, compared with the devices with a channel width of 450 nm.

  10. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    PubMed

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  11. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  12. Stress Characterization of 4H-SiC Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) using Raman Spectroscopy and the Finite Element Method.

    PubMed

    Yoshikawa, Masanobu; Kosaka, Kenichi; Seki, Hirohumi; Kimoto, Tsunenobu

    2016-07-01

    We measured the depolarized and polarized Raman spectra of a 4H-SiC metal-oxide-semiconductor field-effect transistor (MOSFET) and found that compressive stress of approximately 20 MPa occurs under the source and gate electrodes and tensile stress of approximately 10 MPa occurs between the source and gate electrodes. The experimental result was in close agreement with the result obtained by calculation using the finite element method (FEM). A combination of Raman spectroscopy and FEM provides much data on the stresses in 4H-SiC MOSFET. © The Author(s) 2016.

  13. Band-to-band tunneling in a carbon nanotube metal-oxide-semiconductor field-effect transistor is dominated by phonon-assisted tunneling.

    PubMed

    Koswatta, Siyuranga O; Lundstrom, Mark S; Nikonov, Dmitri E

    2007-05-01

    Band-to-band tunneling (BTBT) devices have recently gained a lot of interest due to their potential for reducing power dissipation in integrated circuits. We have performed extensive simulations for the BTBT operation of carbon nanotube metal-oxide-semiconductor field-effect transistors (CNT-MOSFETs) using the nonequilibrium Green's function formalism for both ballistic and dissipative quantum transport. In comparison with recently reported experimental data (J. Am. Chem. Soc. 2006, 128, 3518-3519), we have obtained strong evidence that BTBT in CNT-MOSFETs is dominated by optical phonon assisted inelastic transport, which can have important implications on the transistor characteristics. It is shown that, under large biasing conditions, two-phonon scattering may also become important.

  14. Electrical characteristics and thermal stability of n+ polycrystalline- Si/ZrO2/SiO2/Si metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lim, Kwan-Yong; Park, Dae-Gyu; Cho, Heung-Jae; Kim, Joong-Jung; Yang, Jun-Mo; Ii, Choi-Sang; Yeo, In-Seok; Park, Jin Won

    2002-01-01

    We have investigated the thermal stability of n+ polycrystalline-Si(poly-Si)/ZrO2(50-140 Å)/SiO2(7 Å)/p-Si metal-oxide-semiconductor (MOS) capacitors via electrical and material characterization. The ZrO2 gate dielectric was prepared by atomic layer chemical vapor deposition using ZrCl4 and H2O vapor. Capacitance-voltage hysteresis as small as ˜12 mV with the flatband voltage of -0.5 V and the interface trap density of ˜5×1010cm-2 eV-1 were attained with activation anneal at 750 °C. A high level of gate leakage current was observed at the activation temperatures over 750 °C and attributed to the interfacial reaction of poly-Si and ZrO2 during the poly-Si deposition and the following high temperature anneal. Because of this, the ZrO2 gate dielectric is incompatible with the conventional poly-Si gate process. In the MOS capacitors having a smaller active area (<50×50 μm2), fortunately, the electrical degradation by further severe silicidation does not occur up to an 800 °C anneal in N2 for 30 min.

  15. Three-Dimensional Flexible Complementary Metal-Oxide-Semiconductor Logic Circuits Based On Two-Layer Stacks of Single-Walled Carbon Nanotube Networks.

    PubMed

    Zhao, Yudan; Li, Qunqing; Xiao, Xiaoyang; Li, Guanhong; Jin, Yuanhao; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2016-02-23

    We have proposed and fabricated stable and repeatable, flexible, single-walled carbon nanotube (SWCNT) thin film transistor (TFT) complementary metal-oxide-semiconductor (CMOS) integrated circuits based on a three-dimensional (3D) structure. Two layers of SWCNT-TFT devices were stacked, where one layer served as n-type devices and the other one served as p-type devices. On the basis of this method, it is able to save at least half of the area required to construct an inverter and make large-scale and high-density integrated CMOS circuits easier to design and manufacture. The 3D flexible CMOS inverter gain can be as high as 40, and the total noise margin is more than 95%. Moreover, the input and output voltage of the inverter are exactly matched for cascading. 3D flexible CMOS NOR, NAND logic gates, and 15-stage ring oscillators were fabricated on PI substrates with high performance as well. Stable electrical properties of these circuits can be obtained with bending radii as small as 3.16 mm, which shows that such a 3D structure is a reliable architecture and suitable for carbon nanotube electrical applications in complex flexible and wearable electronic devices.

  16. Improved Hot Carrier Reliability Characteristics of Metal Oxide Semiconductor Field Effect Transistors with High-k Gate Dielectric by Using High Pressure Deuterium Post Metallization Annealing

    NASA Astrophysics Data System (ADS)

    Park, Hokyung; Choi, Rino; Lee, Byoung Hun; Hwang, Hyunsang

    2007-09-01

    High pressure deuterium annealing on the hot carrier reliability characteristics of HfSiO metal oxide semiconductor field effect transistor (MOSFET) was investigated. Comparing with the conventional forming gas (H2/Ar=10%/96%, 480 °C, 30 min) annealed sample, MOSFET annealed in 5 atm pure deuterium ambient at 400 °C showed the improvement of linear drain current, reduction of interface trap density, and improvement of the hot carrier reliability characteristics. These improvements can be attributed to the effective passivation of the interface trap site after high pressure annealing and heavy mass effect of deuterium. These results indicate that high pressure pure deuterium annealing can be a promising process for improving device performance as well as hot carrier reliability, together.

  17. The heterogeneous integration of single-walled carbon nanotubes onto complementary metal oxide semiconductor circuitry for sensing applications.

    PubMed

    Chen, Chia-Ling; Agarwal, Vinay; Sonkusale, Sameer; Dokmeci, Mehmet R

    2009-06-03

    A simple methodology for integrating single-walled carbon nanotubes (SWNTs) onto complementary metal oxide semiconductor (CMOS) circuitry is presented. The SWNTs were incorporated onto the CMOS chip as the feedback resistor of a two-stage Miller compensated operational amplifier utilizing dielectrophoretic assembly. The measured electrical properties from the integrated SWNTs yield ohmic behavior with a two-terminal resistance of approximately 37.5 kOmega and the measured small signal ac gain (-2) from the inverting amplifier confirmed successful integration of carbon nanotubes onto the CMOS circuitry. Furthermore, the temperature response of the SWNTs integrated onto CMOS circuitry has been measured and had a thermal coefficient of resistance (TCR) of -0.4% degrees C(-1). This methodology, demonstrated for the integration of SWNTs onto CMOS technology, is versatile, high yield and paves the way for the realization of novel miniature carbon-nanotube-based sensor systems.

  18. Recent progress in magnetic iron oxide-semiconductor composite nanomaterials as promising photocatalysts

    NASA Astrophysics Data System (ADS)

    Wu, Wei; Changzhong Jiang, Affc; Roy, Vellaisamy A. L.

    2014-11-01

    Photocatalytic degradation of toxic organic pollutants is a challenging tasks in ecological and environmental protection. Recent research shows that the magnetic iron oxide-semiconductor composite photocatalytic system can effectively break through the bottleneck of single-component semiconductor oxides with low activity under visible light and the challenging recycling of the photocatalyst from the final products. With high reactivity in visible light, magnetic iron oxide-semiconductors can be exploited as an important magnetic recovery photocatalyst (MRP) with a bright future. On this regard, various composite structures, the charge-transfer mechanism and outstanding properties of magnetic iron oxide-semiconductor composite nanomaterials are sketched. The latest synthesis methods and recent progress in the photocatalytic applications of magnetic iron oxide-semiconductor composite nanomaterials are reviewed. The problems and challenges still need to be resolved and development strategies are discussed.

  19. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    NASA Astrophysics Data System (ADS)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  20. 2 Gbit/s 0.5 μm complementary metal-oxide semiconductor optical transceiver with event-driven dynamic power-on capability

    NASA Astrophysics Data System (ADS)

    Wang, Xingle; Kiamilev, Fouad; Gui, Ping; Wang, Xiaoqing; Ekman, Jeremy; Zuo, Yongrong; Blankenberg, Jason; Haney, Michael

    2006-06-01

    A 2 Gb/s0.5 μm complementary metal-oxide semiconductor optical transceiver designed for board- or backplane level power-efficient interconnections is presented. The transceiver supports optical wake-on-link (OWL), an event-driven dynamic power-on technique. Depending on external events, the transceiver resides in either the active mode or the sleep mode and switches accordingly. The active-to-sleep transition shuts off the normal, gigabit link and turns on dedicated circuits to establish a low-power (~1.8 mW), low data rate (less than 100 Mbits/s) link. In contrast the normal, gigabit link consumes over 100 mW. Similarly the sleep-to-active transition shuts off the low-power link and turns on the normal, gigabit link. The low-power link, sharing the same optical channel with the normal, gigabit link, is used to achieve transmitter/receiver pair power-on synchronization and greatly reduces the power consumption of the transceiver. A free-space optical platform was built to evaluate the transceiver performance. The experiment successfully demonstrated the event-driven dynamic power-on operation. To our knowledge, this is the first time a dynamic power-on scheme has been implemented for optical interconnects. The areas of the circuits that implement the low-power link are approximately one-tenth of the areas of the gigabit link circuits.

  1. Comparison of modification strategies towards enhanced charge carrier separation and photocatalytic degradation activity of metal oxide semiconductors (TiO2, WO3 and ZnO)

    NASA Astrophysics Data System (ADS)

    Kumar, S. Girish; Rao, K. S. R. Koteswara

    2017-01-01

    Metal oxide semiconductors (TiO2, WO3 and ZnO) finds unparalleled opportunity in wastewater purification under UV/visible light, largely encouraged by their divergent admirable features like stability, non-toxicity, ease of preparation, suitable band edge positions and facile generation of active oxygen species in the aqueous medium. However, the perennial failings of these photocatalysts emanates from the stumbling blocks like rapid charge carrier recombination and meager visible light response. In this review, tailoring the surface-bulk electronic structure through the calibrated and veritable approaches such as impurity doping, deposition with noble metals, sensitizing with other compounds (dyes, polymers, inorganic complexes and simple chelating ligands), hydrogenation process (annealing under hydrogen atmosphere), electronic integration with other semiconductors, modifying with carbon nanostructures, designing with exposed facets and tailoring with hierarchical morphologies to overcome their critical drawbacks are summarized. Taking into account the materials intrinsic properties, the pros and cons together with similarities and striking differences for each strategy in specific to TiO2, WO3 & ZnO are highlighted. These subtlety enunciates the primacy for improving the structure-electronic properties of metal oxides and credence to its fore in the practical applications. Future research must focus on comparing the performances of ZnO, TiO2 and WO3 in parallel to get insight into their photocatalytic behaviors. Such comparisons not only reveal the changed surface-electronic structure upon various modifications, but also shed light on charge carrier dynamics, free radical generation, structural stability and compatibility for photocatalytic reactions. It is envisioned that these cardinal tactics have profound implications and can be replicated to other semiconductor photocatalysts like CeO2, In2O3, Bi2O3, Fe2O3, BiVO4, AgX, BiOX (X = Cl, Br & I), Bi2WO6, Bi2MoO6

  2. Spin-on metal oxide materials with high etch selectivity and wet strippability

    NASA Astrophysics Data System (ADS)

    Yao, Huirong; Mullen, Salem; Wolfer, Elizabeth; McKenzie, Douglas; Rahman, Dalil; Cho, JoonYeon; Padmanaban, Munirathna; Petermann, Claire; Hong, SungEun; Her, YoungJun

    2016-03-01

    Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.

  3. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  4. Chemically Derivatized Semiconductor Photoelectrodes.

    ERIC Educational Resources Information Center

    Wrighton, Mark S.

    1983-01-01

    Deliberate modification of semiconductor photoelectrodes to improve durability and enhance rate of desirable interfacial redox processes is discussed for a variety of systems. Modification with molecular-based systems or with metals/metal oxides yields results indicating an important role for surface modification in devices for fundamental study…

  5. Method of producing homogeneous mixed metal oxides and metal-metal oxide mixtures

    DOEpatents

    Quinby, Thomas C.

    1978-01-01

    Metal powders, metal oxide powders, and mixtures thereof of controlled particle size are provided by reacting an aqueous solution containing dissolved metal values with excess urea. Upon heating, urea reacts with water from the solution leaving a molten urea solution containing the metal values. The molten urea solution is heated to above about 180.degree. C. whereupon metal values precipitate homogeneously as a powder. The powder is reduced to metal or calcined to form oxide particles. One or more metal oxides in a mixture can be selectively reduced to produce metal particles or a mixture of metal and metal oxide particles.

  6. Operation of the GaSb p-channel metal-oxide-semiconductor field-effect transistors fabricated on (111)A surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nishi, K., E-mail: nishi@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    2014-12-08

    We demonstrate the operation of GaSb p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on (111)A surfaces with Al{sub 2}O{sub 3} gate dielectrics formed by atomic-layer deposition at 150 °C. The p-MOSFETs on (111)A surfaces exhibit higher drain current and lower subthreshold swing than those on (100) surfaces. We find that the interface-state density (D{sub it}) values at the Al{sub 2}O{sub 3}/GaSb MOS interfaces on the (111)A surfaces are lower than those on the (100) surfaces, which can lead to performance enhancement of the GaSb p-MOSFETs on (111)A surfaces. The mobility of the GaSb p-MOSFETs on (111)A surfaces is 80% higher than that onmore » (100) surfaces.« less

  7. Stable surface passivation process for compound semiconductors

    DOEpatents

    Ashby, Carol I. H.

    2001-01-01

    A passivation process for a previously sulfided, selenided or tellurated III-V compound semiconductor surface. The concentration of undesired mid-gap surface states on a compound semiconductor surface is reduced by the formation of a near-monolayer of metal-(sulfur and/or selenium and/or tellurium)-semiconductor that is effective for long term passivation of the underlying semiconductor surface. Starting with the III-V compound semiconductor surface, any oxidation present thereon is substantially removed and the surface is then treated with sulfur, selenium or tellurium to form a near-monolayer of chalcogen-semiconductor of the surface in an oxygen-free atmosphere. This chalcogenated surface is then contacted with a solution of a metal that will form a low solubility chalcogenide to form a near-monolayer of metal-chalcogen-semiconductor. The resulting passivating layer provides long term protection for the underlying surface at or above the level achieved by a freshly chalcogenated compound semiconductor surface in an oxygen free atmosphere.

  8. Cross-plane electronic and thermal transport properties of p-type La0.67Sr0.33MnO3/LaMnO3 perovskite oxide metal/semiconductor superlattices

    NASA Astrophysics Data System (ADS)

    Jha, Pankaj; Sands, Timothy D.; Cassels, Laura; Jackson, Philip; Favaloro, Tela; Kirk, Benjamin; Zide, Joshua; Xu, Xianfan; Shakouri, Ali

    2012-09-01

    Lanthanum strontium manganate (La0.67Sr0.33MnO3, i.e., LSMO)/lanthanum manganate (LaMnO3, i.e., LMO) perovskite oxide metal/semiconductor superlattices were investigated as a potential p-type thermoelectric material. Growth was performed using pulsed laser deposition to achieve epitaxial LSMO (metal)/LMO (p-type semiconductor) superlattices on (100)-strontium titanate (STO) substrates. The magnitude of the in-plane Seebeck coefficient of LSMO thin films (<20 μV/K) is consistent with metallic behavior, while LMO thin films were p-type with a room temperature Seebeck coefficient of 140 μV/K. Thermal conductivity measurements via the photo-acoustic (PA) technique showed that LSMO/LMO superlattices exhibit a room temperature cross-plane thermal conductivity (0.89 W/m.K) that is significantly lower than the thermal conductivity of individual thin films of either LSMO (1.60 W/m.K) or LMO (1.29 W/m.K). The lower thermal conductivity of LSMO/LMO superlattices may help overcome one of the major limitations of oxides as thermoelectrics. In addition to a low cross-plane thermal conductivity, a high ZT requires a high power factor (S2σ). Cross-plane electrical transport measurements were carried out on cylindrical pillars etched in LSMO/LMO superlattices via inductively coupled plasma reactive ion etching. Cross-plane electrical resistivity data for LSMO/LMO superlattices showed a magnetic phase transition temperature (TP) or metal-semiconductor transition at ˜330 K, which is ˜80 K higher than the TP observed for in-plane resistivity of LSMO, LMO, or LSMO/LMO thin films. The room temperature cross-plane resistivity (ρc) was found to be greater than the in-plane resistivity by about three orders of magnitude. The magnitude and temperature dependence of the cross-plane conductivity of LSMO/LMO superlattices suggests the presence of a barrier with the effective barrier height of ˜300 meV. Although the magnitude of the cross-plane power factor is too low for thermoelectric

  9. Mesoporous Transition Metal Oxides for Supercapacitors.

    PubMed

    Wang, Yan; Guo, Jin; Wang, Tingfeng; Shao, Junfeng; Wang, Dong; Yang, Ying-Wei

    2015-10-14

    Recently, transition metal oxides, such as ruthenium oxide (RuO₂), manganese dioxide (MnO₂), nickel oxides (NiO) and cobalt oxide (Co₃O₄), have been widely investigated as electrode materials for pseudo-capacitors. In particular, these metal oxides with mesoporous structures have become very hot nanomaterials in the field of supercapacitors owing to their large specific surface areas and suitable pore size distributions. The high specific capacities of these mesoporous metal oxides are resulted from the effective contacts between electrode materials and electrolytes as well as fast transportation of ions and electrons in the bulk of electrode and at the interface of electrode and electrolyte. During the past decade, many achievements on mesoporous transition metal oxides have been made. In this mini-review, we select several typical nanomaterials, such as RuO₂, MnO₂, NiO, Co₃O₄ and nickel cobaltite (NiCo₂O₄), and briefly summarize the recent research progress of these mesoporous transition metal oxides-based electrodes in the field of supercapacitors.

  10. Protection of inorganic semiconductors for sustained, efficient photoelectrochemical water oxidation

    DOE PAGES

    Lichterman, Michael F.; Sun, Ke; Hu, Shu; ...

    2015-10-25

    Small-band-gap (E g < 2 eV) semiconductors must be stabilized for use in integrated devices that convert solar energy into the bonding energy of a reduced fuel, specifically H 2 (g) or a reduced-carbon species such as CH 3 OH or CH 4 . To sustainably and scalably complete the fuel cycle, electrons must be liberated through the oxidation of water to O 2 (g). Strongly acidic or strongly alkaline electrolytes are needed to enable efficient and intrinsically safe operation of a full solar-driven water-splitting system. But, under water-oxidation conditions, the small-band-gap semiconductors required for efficient cell operation aremore » unstable, either dissolving or forming insulating surface oxides. Here, we describe herein recent progress in the protection of semiconductor photoanodes under such operational conditions. We specifically describe the properties of two protective overlayers, TiO 2 /Ni and NiO x , both of which have demonstrated the ability to protect otherwise unstable semiconductors for > 100 h of continuous solar-driven water oxidation when in contact with a highly alkaline aqueous electrolyte (1.0 M KOH(aq)). Furthermore, the stabilization of various semiconductor photoanodes is reviewed in the context of the electronic characteristics and a mechanistic analysis of the TiO 2 films, along with a discussion of the optical, catalytic, and electronic nature of NiO x films for stabilization of semiconductor photoanodes for water oxidation.« less

  11. HAlign-II: efficient ultra-large multiple sequence alignment and phylogenetic tree reconstruction with distributed and parallel computing.

    PubMed

    Wan, Shixiang; Zou, Quan

    2017-01-01

    Multiple sequence alignment (MSA) plays a key role in biological sequence analyses, especially in phylogenetic tree construction. Extreme increase in next-generation sequencing results in shortage of efficient ultra-large biological sequence alignment approaches for coping with different sequence types. Distributed and parallel computing represents a crucial technique for accelerating ultra-large (e.g. files more than 1 GB) sequence analyses. Based on HAlign and Spark distributed computing system, we implement a highly cost-efficient and time-efficient HAlign-II tool to address ultra-large multiple biological sequence alignment and phylogenetic tree construction. The experiments in the DNA and protein large scale data sets, which are more than 1GB files, showed that HAlign II could save time and space. It outperformed the current software tools. HAlign-II can efficiently carry out MSA and construct phylogenetic trees with ultra-large numbers of biological sequences. HAlign-II shows extremely high memory efficiency and scales well with increases in computing resource. THAlign-II provides a user-friendly web server based on our distributed computing infrastructure. HAlign-II with open-source codes and datasets was established at http://lab.malab.cn/soft/halign.

  12. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  13. Model for determination of mid-gap states in amorphous metal oxides from thin film transistors

    NASA Astrophysics Data System (ADS)

    Bubel, S.; Chabinyc, M. L.

    2013-06-01

    The electronic density of states in metal oxide semiconductors like amorphous zinc oxide (a-ZnO) and its ternary and quaternary oxide alloys with indium, gallium, tin, or aluminum are different from amorphous silicon, or disordered materials such as pentacene, or P3HT. Many ZnO based semiconductors exhibit a steep decaying density of acceptor tail states (trap DOS) and a Fermi level (EF) close to the conduction band energy (EC). Considering thin film transistor (TFT) operation in accumulation mode, the quasi Fermi level for electrons (Eq) moves even closer to EC. Classic analytic TFT simulations use the simplification EC-EF> `several'kT and cannot reproduce exponential tail states with a characteristic energy smaller than 1/2 kT. We demonstrate an analytic model for tail and deep acceptor states, valid for all amorphous metal oxides and include the effect of trap assisted hopping instead of simpler percolation or mobility edge models, to account for the observed field dependent mobility.

  14. Superabsorbing, Artificial Metal Films Constructed from Semiconductor Nanoantennas.

    PubMed

    Kim, Soo Jin; Park, Junghyun; Esfandyarpour, Majid; Pecora, Emanuele F; Kik, Pieter G; Brongersma, Mark L

    2016-06-08

    In 1934, Wilhelm Woltersdorff demonstrated that the absorption of light in an ultrathin, freestanding film is fundamentally limited to 50%. He concluded that reaching this limit would require a film with a real-valued sheet resistance that is exactly equal to R = η/2 ≈ 188.5Ω/□, where [Formula: see text] is the impedance of free space. This condition can be closely approximated over a wide frequency range in metals that feature a large imaginary relative permittivity εr″, that is, a real-valued conductivity σ = ε0εr″ω. A thin, continuous sheet of semiconductor material does not facilitate such strong absorption as its complex-valued permittivity with both large real and imaginary components preclude effective impedance matching. In this work, we show how a semiconductor metafilm constructed from optically resonant semiconductor nanostructures can be created whose optical response mimics that of a metallic sheet. For this reason, the fundamental absorption limit mentioned above can also be reached with semiconductor materials, opening up new opportunities for the design of ultrathin optoelectronic and light harvesting devices.

  15. Interfaces of electrical contacts in organic semiconductor devices

    NASA Astrophysics Data System (ADS)

    Demirkan, Korhan

    ,2'-ethyl-hexyloxy-phenylene vinylene) (MEH-PPV), polystyrene (PS) and ozone treated polystyrene (PS-O3) surfaces by thermal deposition of aluminum. Photoelectron spectroscopy showed the degree of chemical interaction between Al and each polymer, for MEH-PPV, the chemical interactions were mainly through the C-O present in the side chain of the polymer structure. The chemical interaction of Al with polystyrene was less significant, but it showed a dramatic increase after ozone treatment of the polystyrene surface (due to the formation of exposed oxygen sites). Formation of metal oxide and metal-organic compound is detected during the Al metallization of MEH-PPV and ozone-treated PS surfaces. Our results showed that the condensation of Al on polymer surfaces is highly dependent on surface reactivity. Enormous differences were observed for the condensation coefficient of Al on PS and PS-O3 surfaces. For the inert PS surface, results showed that Al atoms poorly wet the polymer surface and form distributed clusters at the surface. Results on reactive polymer surfaces suggest morphology reminiscent of a Stranski-Krastanov-type growth and high contact area. Many studies have shown that the insertion of a thin interlayer of the oxide or fluoride of alkali or alkaline metals between the low work function electrode and the organic semiconductor layers dramatically lowers the onset voltage and increases the efficiency compared to identical devices without the insulating layer. Various modes have been suggested for the mechanism of device performance enhancement. We have investigated the chemical and electrical interaction of (i) LiF with MEH-PPV, (ii) Al with MEH-PPV in the presence of a thin LiF layer at the interface, and finally (iii) the interaction of Al with LiF. AFM and XPS data showed that LiF forms island on the surface. Our data in agreement with various existing models suggested the (i) alteration in the electronic properties under applied bias, (ii) doping of the organic

  16. In situ growth of metal particles on 3D urchin-like WO3 nanostructures.

    PubMed

    Xi, Guangcheng; Ye, Jinhua; Ma, Qiang; Su, Ning; Bai, Hua; Wang, Chao

    2012-04-18

    Metal/semiconductor hybrid materials of various sizes and morphologies have many applications in areas such as catalysis and sensing. Various organic agents are necessary to stabilize metal nanoparticles during synthesis, which leads to a layer of organic compounds present at the interfaces between the metal particles and the semiconductor supports. Generally, high-temperature oxidative treatment is used to remove the organics, which can extensively change the size and morphology of the particles, in turn altering their activity. Here we report a facile method for direct growth of noble-metal particles on WO(3) through an in situ redox reaction between weakly reductive WO(2.72) and oxidative metal salts in aqueous solution. This synthetic strategy has the advantages that it takes place in one step and requires no foreign reducing agents, stabilizing agents, or pretreatment of the precursors, making it a practical method for the controlled synthesis of metal/semiconductor hybrid nanomaterials. This synthetic method may open up a new way to develop metal-nanoparticle-loaded semiconductor composites. © 2012 American Chemical Society

  17. Realizing high-quality ultralarge momentum states and ultrafast topological transitions using semiconductor hyperbolic metamaterials

    DOE PAGES

    Campione, Salvatore; Liu, Sheng; Luk, Ting S.; ...

    2015-08-05

    We employ both the effective medium approximation (EMA) and Bloch theory to compare the dispersion properties of semiconductor hyperbolic metamaterials (SHMs) at mid-infrared frequencies and metallic hyperbolic metamaterials (MHMs) at visible frequencies. This analysis reveals the conditions under which the EMA can be safely applied for both MHMs and SHMs. We find that the combination of precise nanoscale layering and the longer infrared operating wavelengths puts the SHMs well within the effective medium limit and, in contrast to MHMs, allows for the attainment of very high photon momentum states. Additionally, SHMs allow for new phenomena such as ultrafast creation ofmore » the hyperbolic manifold through optical pumping. Furthermore, we examine the possibility of achieving ultrafast topological transitions through optical pumping which can photo-dope appropriately designed quantum wells on the femtosecond time scale.« less

  18. Mesoporous Transition Metal Oxides for Supercapacitors

    PubMed Central

    Wang, Yan; Guo, Jin; Wang, Tingfeng; Shao, Junfeng; Wang, Dong; Yang, Ying-Wei

    2015-01-01

    Recently, transition metal oxides, such as ruthenium oxide (RuO2), manganese dioxide (MnO2), nickel oxides (NiO) and cobalt oxide (Co3O4), have been widely investigated as electrode materials for pseudo-capacitors. In particular, these metal oxides with mesoporous structures have become very hot nanomaterials in the field of supercapacitors owing to their large specific surface areas and suitable pore size distributions. The high specific capacities of these mesoporous metal oxides are resulted from the effective contacts between electrode materials and electrolytes as well as fast transportation of ions and electrons in the bulk of electrode and at the interface of electrode and electrolyte. During the past decade, many achievements on mesoporous transition metal oxides have been made. In this mini-review, we select several typical nanomaterials, such as RuO2, MnO2, NiO, Co3O4 and nickel cobaltite (NiCo2O4), and briefly summarize the recent research progress of these mesoporous transition metal oxides-based electrodes in the field of supercapacitors. PMID:28347088

  19. Measurement of n-type Dry Thermally Oxidized 6H-SiC Metal-oxide Semiconductor Diodes by Quasistatic and High-Frequency Capacitance Versus Voltage and Capacitance Transient Techniques

    NASA Technical Reports Server (NTRS)

    Neudeck, P.; Kang, S.; Petit, J.; Tabib-Azar, M.

    1994-01-01

    Dry-oxidized n-type 6H-SiC metal-oxide-semiconductor capacitors are investigated using quasistatic capacitance versus voltage (C-V), high-frequency C-V, and pulsed high-frequency capacitance transient (C-t) analysis over the temperature range from 297 to 573 K. The quasistatic C - V characteristics presented are the first reported for 6H-SiC MOS capacitors, and exhibit startling nonidealities due to nonequilibrium conditions that arise from the fact that the recombination/generation process in 6H-SiC is extraordinarily slow even at the highest measurement temperature employed. The high-frequency dark C-V characteristics all showed deep depletion with no observable hysteresis. The recovery of the high-frequency capacitance from deep depletion to inversion was used to characterize the minority-carrier generation process as a function of temperature. Zerbst analysis conducted on the resulting C-t transients, which were longer than 1000 s at 573 K, showed a generation lifetime thermal activation energy of 0.49 eV.

  20. Plasmonic doped semiconductor nanocrystals: Properties, fabrication, applications and perspectives

    NASA Astrophysics Data System (ADS)

    Kriegel, Ilka; Scotognella, Francesco; Manna, Liberato

    2017-02-01

    Degenerately doped semiconductor nanocrystals (NCs) are of recent interest to the NC community due to their tunable localized surface plasmon resonances (LSPRs) in the near infrared (NIR). The high level of doping in such materials with carrier densities in the range of 1021cm-3 leads to degeneracy of the doping levels and intense plasmonic absorption in the NIR. The lower carrier density in degenerately doped semiconductor NCs compared to noble metals enables LSPR tuning over a wide spectral range, since even a minor change of the carrier density strongly affects the spectral position of the LSPR. Two classes of degenerate semiconductors are most relevant in this respect: impurity doped semiconductors, such as metal oxides, and vacancy doped semiconductors, such as copper chalcogenides. In the latter it is the density of copper vacancies that controls the carrier concentration, while in the former the introduction of impurity atoms adds carriers to the system. LSPR tuning in vacancy doped semiconductor NCs such as copper chalcogenides occurs by chemically controlling the copper vacancy density. This goes in hand with complex structural modifications of the copper chalcogenide crystal lattice. In contrast the LSPR of degenerately doped metal oxide NCs is modified by varying the doping concentration or by the choice of host and dopant atoms, but also through the addition of capacitive charge carriers to the conduction band of the metal oxide upon post-synthetic treatments, such as by electrochemical- or photodoping. The NIR LSPRs and the option of their spectral fine-tuning make accessible important new features, such as the controlled coupling of the LSPR to other physical signatures or the enhancement of optical signals in the NIR, sensing application by LSPR tracking, energy production from the NIR plasmon resonance or bio-medical applications in the biological window. In this review we highlight the recent advances in the synthesis of various different plasmonic

  1. Insulator charging limits direct current across tunneling metal-insulator-semiconductor junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vilan, Ayelet

    Molecular electronics studies how the molecular nature affects the probability of charge carriers to tunnel through the molecules. Nevertheless, transport is also critically affected by the contacts to the molecules, an aspect that is often overlooked. Specifically, the limited ability of non-metallic contacts to maintain the required charge balance across the fairly insulating molecule often have dramatic effects. This paper shows that in the case of lead/organic monolayer-silicon junctions, a charge balance is responsible for an unusual current scaling, with the junction diameter (perimeter), rather than its area. This is attributed to the balance between the 2D charging at themore » metal/insulator interface and the 3D charging of the semiconductor space-charge region. A derivative method is developed to quantify transport across tunneling metal-insulator-semiconductor junctions; this enables separating the tunneling barrier from the space-charge barrier for a given current-voltage curve, without complementary measurements. The paper provides practical tools to analyze specific molecular junctions compatible with existing silicon technology, and demonstrates the importance of contacts' physics in modeling charge transport across molecular junctions.« less

  2. On Practical Charge Injection at the Metal/Organic Semiconductor Interface

    PubMed Central

    Kumatani, Akichika; Li, Yun; Darmawan, Peter; Minari, Takeo; Tsukagoshi, Kazuhito

    2013-01-01

    We have revealed practical charge injection at metal and organic semiconductor interface in organic field effect transistor configurations. We have developed a facile interface structure that consisted of double-layer electrodes in order to investigate the efficiency through contact metal dependence. The metal interlayer with few nanometers thickness between electrode and organic semiconductor drastically reduces the contact resistance at the interface. The improvement has clearly obtained when the interlayer is a metal with lower standard electrode potential of contact metals than large work function of the contact metals. The electrode potential also implies that the most dominant effect on the mechanism at the contact interface is induced by charge transfer. This mechanism represents a step forward towards understanding the fundamental physics of intrinsic charge injection in all organic devices. PMID:23293741

  3. Nonlinear modulation of the HI power spectrum on ultra-large scales. I

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Umeh, Obinna; Maartens, Roy; Santos, Mario, E-mail: umeobinna@gmail.com, E-mail: roy.maartens@gmail.com, E-mail: mgrsantos@uwc.ac.za

    2016-03-01

    Intensity mapping of the neutral hydrogen brightness temperature promises to provide a three-dimensional view of the universe on very large scales. Nonlinear effects are typically thought to alter only the small-scale power, but we show how they may bias the extraction of cosmological information contained in the power spectrum on ultra-large scales. For linear perturbations to remain valid on large scales, we need to renormalize perturbations at higher order. In the case of intensity mapping, the second-order contribution to clustering from weak lensing dominates the nonlinear contribution at high redshift. Renormalization modifies the mean brightness temperature and therefore the evolutionmore » bias. It also introduces a term that mimics white noise. These effects may influence forecasting analysis on ultra-large scales.« less

  4. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  5. Adjustable metal-semiconductor transition of FeS thin films by thermal annealing

    NASA Astrophysics Data System (ADS)

    Fu, Ganhua; Polity, Angelika; Volbers, Niklas; Meyer, Bruno K.; Mogwitz, Boris; Janek, Jürgen

    2006-12-01

    FeS polycrystalline thin films were prepared on float glass at 500°C by radio-frequency reactive sputtering. The influence of vacuum annealing on the metal-semiconductor transition of FeS films was investigated. It has been found that with the increase of the annealing temperature from 360to600°C, the metal-semiconductor transition temperature of FeS films first decreases and then increases, associated with first a reduction and then an enhancement of hysteresis width. The thermal stress is considered to give rise to the abnormal change of the metal-semiconductor transition of the FeS film during annealing.

  6. Low Power Operation of Temperature-Modulated Metal Oxide Semiconductor Gas Sensors.

    PubMed

    Burgués, Javier; Marco, Santiago

    2018-01-25

    Mobile applications based on gas sensing present new opportunities for low-cost air quality monitoring, safety, and healthcare. Metal oxide semiconductor (MOX) gas sensors represent the most prominent technology for integration into portable devices, such as smartphones and wearables. Traditionally, MOX sensors have been continuously powered to increase the stability of the sensing layer. However, continuous power is not feasible in many battery-operated applications due to power consumption limitations or the intended intermittent device operation. This work benchmarks two low-power, duty-cycling, and on-demand modes against the continuous power one. The duty-cycling mode periodically turns the sensors on and off and represents a trade-off between power consumption and stability. On-demand operation achieves the lowest power consumption by powering the sensors only while taking a measurement. Twelve thermally modulated SB-500-12 (FIS Inc. Jacksonville, FL, USA) sensors were exposed to low concentrations of carbon monoxide (0-9 ppm) with environmental conditions, such as ambient humidity (15-75% relative humidity) and temperature (21-27 °C), varying within the indicated ranges. Partial Least Squares (PLS) models were built using calibration data, and the prediction error in external validation samples was evaluated during the two weeks following calibration. We found that on-demand operation produced a deformation of the sensor conductance patterns, which led to an increase in the prediction error by almost a factor of 5 as compared to continuous operation (2.2 versus 0.45 ppm). Applying a 10% duty-cycling operation of 10-min periods reduced this prediction error to a factor of 2 (0.9 versus 0.45 ppm). The proposed duty-cycling powering scheme saved up to 90% energy as compared to the continuous operating mode. This low-power mode may be advantageous for applications that do not require continuous and periodic measurements, and which can tolerate slightly higher

  7. Thermodynamic determination of the metal/semiconductor separation of carbon nanotubes using hydrogels.

    PubMed

    Hirano, Atsushi; Tanaka, Takeshi; Kataura, Hiromichi

    2012-11-27

    The metal/semiconductor separation of single-wall carbon nanotubes (SWCNTs) using hydrogels, such as agarose gel and Sephacryl, together with sodium dodecyl sulfate is one of the most successful techniques necessary for industrial applications. Despite recent improvements in the technique, little is known about the separation mechanism. Here, we show that SWCNTs are reversibly adsorbed onto hydrogels in the presence of sodium dodecyl sulfate. The results enabled us to examine the thermodynamics of the adsorption reaction and thereby elucidate the separation mechanism. The adsorbability of SWCNTs onto the hydrogels was described by the standard Gibbs free energy for the adsorption, as well as the area of the hydrogels allowing the adsorption. We demonstrated, for the first time, that the free energy of adsorption for semiconducting SWCNTs was 0-12 kJ/mol lower than that for metallic SWCNTs in the temperature range of 290-320 K (e.g., ca. -4 kJ/mol for the agarose gel and ca. -9 kJ/mol for Sephacryl at 300 K), which permits metal/semiconductor separation. Importantly, the difference in the free energy was attributed to the difference in the enthalpy of adsorption: the enthalpy of adsorption of metallic SWCNTs was ca. 70 kJ/mol higher than that of semiconducting SWCNTs. Thus, the enthalpy of adsorption was found to be an important parameter in the metal/semiconductor separation of SWCNTs using hydrogels. In addition, the thermodynamic parameters depended on the hydrogel type and the surfactant concentration, which is most likely why under certain conditions hydrogels and surfactants produce different separations, e.g., chirality-selective or diameter-selective separation.

  8. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  9. Planarized thick copper gate polycrystalline silicon thin film transistors for ultra-large AMOLED displays

    NASA Astrophysics Data System (ADS)

    Yun, Seung Jae; Lee, Yong Woo; Son, Se Wan; Byun, Chang Woo; Reddy, A. Mallikarjuna; Joo, Seung Ki

    2012-08-01

    A planarized thick copper (Cu) gate low temperature polycrystalline silicon (LTPS) thin film transistors (TFTs) is fabricated for ultra-large active-matrix organic light-emitting diode (AMOLED) displays. We introduce a damascene and chemical mechanical polishing process to embed a planarized Cu gate of 500 nm thickness into a trench and Si3N4/SiO2 multilayer gate insulator, to prevent the Cu gate from diffusing into the silicon (Si) layer at 550°C, and metal-induced lateral crystallization (MILC) technology to crystallize the amorphous Si layer. A poly-Si TFT with planarized thick Cu gate exhibits a field effect mobility of 5 cm2/Vs and a threshold voltage of -9 V, and a subthreshold swing (S) of 1.4 V/dec.

  10. Fabrication of metal/semiconductor nanocomposites by selective laser nano-welding.

    PubMed

    Yu, Huiwu; Li, Xiangyou; Hao, Zhongqi; Xiong, Wei; Guo, Lianbo; Lu, Yongfeng; Yi, Rongxing; Li, Jiaming; Yang, Xinyan; Zeng, Xiaoyan

    2017-06-01

    A green and simple method to prepare metal/semiconductor nanocomposites by selective laser nano-welding metal and semiconductor nanoparticles was presented, in which the sizes, phases, and morphologies of the components can be maintained. Many types of nanocomposites (such as Ag/TiO 2 , Ag/SnO 2 , Ag/ZnO 2 , Pt/TiO 2 , Pt/SnO 2 , and Pt/ZnO) can be prepared by this method and their corresponding performances were enhanced.

  11. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    NASA Astrophysics Data System (ADS)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  12. Characterization and Modeling Analysis for Metal-Semiconductor-Metal GaAs Diodes with Pd/SiO2 Mixture Electrode

    PubMed Central

    Tan, Shih-Wei; Lai, Shih-Wen

    2012-01-01

    Characterization and modeling of metal-semiconductor-metal (MSM) GaAs diodes using to evaporate SiO2 and Pd simultaneously as a mixture electrode (called M-MSM diodes) compared with similar to evaporate Pd as the electrode (called Pd-MSM diodes) were reported. The barrier height (φ b) and the Richardson constant (A*) were carried out for the thermionic-emission process to describe well the current transport for Pd-MSM diodes in the consideration of the carrier over the metal-semiconductor barrier. In addition, in the consideration of the carrier over both the metal-semiconductor barrier and the insulator-semiconductor barrier simultaneously, thus the thermionic-emission process can be used to describe well the current transport for M-MSM diodes. Furthermore, in the higher applied voltage, the carrier recombination will be taken into discussion. Besides, a composite-current (CC) model is developed to evidence the concepts. Our calculated results are in good agreement with the experimental ones. PMID:23226352

  13. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    NASA Astrophysics Data System (ADS)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  14. Preparation of uniform nanoparticles of ultra-high purity metal oxides, mixed metal oxides, metals, and metal alloys

    DOEpatents

    Woodfield, Brian F.; Liu, Shengfeng; Boerio-Goates, Juliana; Liu, Qingyuan; Smith, Stacey Janel

    2012-07-03

    In preferred embodiments, metal nanoparticles, mixed-metal (alloy) nanoparticles, metal oxide nanoparticles and mixed-metal oxide nanoparticles are provided. According to embodiments, the nanoparticles may possess narrow size distributions and high purities. In certain preferred embodiments, methods of preparing metal nanoparticles, mixed-metal nanoparticles, metal oxide nanoparticles and mixed-metal nanoparticles are provided. These methods may provide tight control of particle size, size distribution, and oxidation state. Other preferred embodiments relate to a precursor material that may be used to form nanoparticles. In addition, products prepared from such nanoparticles are disclosed.

  15. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type <1 0 0> Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  16. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  17. Electrocatalytic N-Doped Graphitic Nanofiber - Metal/Metal Oxide Nanoparticle Composites.

    PubMed

    Tang, Hongjie; Chen, Wei; Wang, Jiangyan; Dugger, Thomas; Cruz, Luz; Kisailus, David

    2018-03-01

    Carbon-based nanocomposites have shown promising results in replacing commercial Pt/C as high-performance, low cost, nonprecious metal-based oxygen reduction reaction (ORR) catalysts. Developing unique nanostructures of active components (e.g., metal oxides) and carbon materials is essential for their application in next generation electrode materials for fuel cells and metal-air batteries. Herein, a general approach for the production of 1D porous nitrogen-doped graphitic carbon fibers embedded with active ORR components, (M/MO x , i.e., metal or metal oxide nanoparticles) using a facile two-step electrospinning and annealing process is reported. Metal nanoparticles/nanoclusters nucleate within the polymer nanofibers and subsequently catalyze graphitization of the surrounding polymer matrix and following oxidation, create an interconnected graphite-metal oxide framework with large pore channels, considerable active sites, and high specific surface area. The metal/metal oxide@N-doped graphitic carbon fibers, especially Co 3 O 4 , exhibit comparable ORR catalytic activity but superior stability and methanol tolerance versus Pt in alkaline solutions, which can be ascribed to the synergistic chemical coupling effects between Co 3 O 4 and robust 1D porous structures composed of interconnected N-doped graphitic nanocarbon rings. This finding provides a novel insight into the design of functional electrocatalysts using electrospun carbon nanomaterials for their application in energy storage and conversion fields. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. An optical relay approach to very low cost hybrid polymer-complementary metal-oxide semiconductor electrophoresis instrumentation.

    PubMed

    Hall, Gordon H; Sloan, David L; Ma, Tianchi; Couse, Madeline H; Martel, Stephane; Elliott, Duncan G; Glerum, D Moira; Backhouse, Christopher J

    2014-07-04

    Electrophoresis is an integral part of many molecular diagnostics protocols and an inexpensive implementation would greatly facilitate point-of-care (POC) applications. However, the high instrumentation cost presents a substantial barrier, much of it associated with fluorescence detection. The cost of such systems could be substantially reduced by placing the fluidic channel and photodiode directly above the detector in order to collect a larger portion of the fluorescent light. In future, this could be achieved through the integration and monolithic fabrication of photoresist microchannels on complementary metal-oxide semiconductor microelectronics (CMOS). However, the development of such a device is expensive due to high non-recurring engineering costs. To facilitate that development, we present a system that utilises an optical relay to integrate low-cost polymeric microfluidics with a CMOS chip that provides a photodiode, analog-digital conversion and a standard serial communication interface. This system embodies an intermediate level of microelectronic integration, and significantly decreases development costs. With a limit of detection of 1.3±0.4nM of fluorescently end-labeled deoxyribonucleic acid (DNA), it is suitable for diagnostic applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Electroluminescence from metal-oxide-semiconductor devices with erbium-doped CeO{sub 2} films on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lv, Chunyan; Department of Chemistry, Huzhou University, Zhejiang, Huzhou 313000; Zhu, Chen

    2015-04-06

    We report on erbium (Er)-related electroluminescence (EL) in the visible and near-infrared (NIR) from metal-oxide-semiconductor (MOS) devices with Er-doped CeO{sub 2} (CeO{sub 2}:Er) films on silicon. The onset voltage of such EL under either forward or reverse bias is smaller than 10 V. Moreover, the EL quenching can be avoidable for the CeO{sub 2}:Er-based MOS devices. Analysis on the current-voltage characteristic of the device indicates that the electron transportation at the EL-enabling voltages under either forward or reverse bias is dominated by trap-assisted tunneling mechanism. Namely, electrons in n{sup +}-Si/ITO can tunnel into the conduction band of CeO{sub 2} host viamore » defect states at sufficiently high forward/reverse bias voltages. Then, a fraction of such electrons are accelerated by electric field to become hot electrons, which impact-excite the Er{sup 3+} ions, thus leading to characteristic emissions. It is believed that this work has laid the foundation for developing viable silicon-based emitters using CeO{sub 2}:Er films.« less

  20. Identifying airborne metal particles sources near an optoelectronic and semiconductor industrial park

    NASA Astrophysics Data System (ADS)

    Chen, Ho-Wen; Chen, Wei-Yea; Chang, Cheng-Nan; Chuang, Yen-Hsun; Lin, Yu-Hao

    2016-06-01

    The recently developed Central Taiwan Science Park (CTSP) in central Taiwan is home to an optoelectronic and semiconductor industrial cluster. Therefore, exploring the elemental compositions and size distributions of airborne particles emitted from the CTSP would help to prevent pollution. This study analyzed size-fractionated metal-rich particle samples collected in upwind and downwind areas of CTSP during Jan. and Oct. 2013 by using micro-orifice uniform deposited impactor (MOUDI). Correlation analysis, hierarchical cluster analysis and particle mass-size distribution analysis are performed to identify the source of metal-rich particle near the CTSP. Analyses of elemental compositions and particle size distributions emitted from the CTSP revealed that the CTSP emits some metals (V, As, In Ga, Cd and Cu) in the ultrafine particles (< 1 μm). The statistical analysis combines with the particle mass-size distribution analysis could provide useful source identification information. In airborne particles with the size of 0.32 μm, Ga could be a useful pollution index for optoelectronic and semiconductor emission in the CTSP. Meanwhile, the ratios of As/Ga concentration at the particle size of 0.32 μm demonstrates that humans near the CTSP would be potentially exposed to GaAs ultrafine particles. That is, metals such as Ga and As and other metals that are not regulated in Taiwan are potentially harmful to human health.

  1. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    NASA Astrophysics Data System (ADS)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  2. Novel Approach to Evaluation of Charging on Semiconductor Surface by Noncontact, Electrode-Free Capacitance/Voltage Measurement

    NASA Astrophysics Data System (ADS)

    Hirae, Sadao; Kohno, Motohiro; Okada, Hiroshi; Matsubara, Hideaki; Nakatani, Ikuyoshi; Kusuda, Tatsufumi; Sakai, Takamasa

    1994-04-01

    This paper describes a novel approach to the quantitative characterization of semiconductor surface charging caused by plasma exposures and ion implantations. The problems in conventional evaluation of charging are also discussed. Following the discussions above, the necessity of unified criteria is suggested for efficient development of systems or processes without charging damage. Hence, the charging saturation voltage between a top oxide surface and substrate, V s, and the charging density per unit area per second, ρ0, should be taken as criteria of charging behavior, which effectively represent the charging characteristics of both processes. The unified criteria can be obtained from the exposure time dependence of a net charging density on the thick field oxide. In order to determine V s and ρ0, the analysis using the C-V curve measured in a noncontact method with the metal-air-insulator-semiconductor (MAIS) technique is employed. The total space-charge density in oxide and its centroid can be determined at the same time by analyzing the flat-band voltage (V fb) of the MAIS capacitor as a function of the air gap. The net charge density can be obtained by analyzing the difference between the total space-charge density in oxide before and after charging. Finally, it is shown that charge damage of the large area metal-oxide-semiconductor (MOS) capacitor can be estimated from both V s and ρ0 which are obtained from results for a thick field oxide implanted with As+ and exposed to oxygen plasma.

  3. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    PubMed

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Epitaxy of Polar Oxides and Semiconductors

    NASA Astrophysics Data System (ADS)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  5. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal-oxide-semiconductor field effect transistor channels

    NASA Astrophysics Data System (ADS)

    Grasby, T. J.; Parry, C. P.; Phillips, P. J.; McGregor, B. M.; Morris, , R. J. H.; Braithwaite, G.; Whall, T. E.; Parker, E. H. C.; Hammond, R.; Knights, A. P.; Coleman, P. G.

    1999-03-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V-1 s-1 for a sheet density of 6.2×1011 cm-2. The low temperature growth yields highly planar interfaces, which are maintained after anneal as evidenced from transmission electron microscopy. This and secondary ion mass spectroscopy measurements demonstrate that the metastably strained alloy layer can endure the in situ anneal procedure necessary for enhanced electrical properties. Further studies have shown that the layers can also withstand a 120 min thermal oxidation at 800 °C, commensurate with metal-oxide-semiconductor device fabrication.

  6. Method to determine the position-dependant metal correction factor for dose-rate equivalent laser testing of semiconductor devices

    DOEpatents

    Horn, Kevin M.

    2013-07-09

    A method reconstructs the charge collection from regions beneath opaque metallization of a semiconductor device, as determined from focused laser charge collection response images, and thereby derives a dose-rate dependent correction factor for subsequent broad-area, dose-rate equivalent, laser measurements. The position- and dose-rate dependencies of the charge-collection magnitude of the device are determined empirically and can be combined with a digital reconstruction methodology to derive an accurate metal-correction factor that permits subsequent absolute dose-rate response measurements to be derived from laser measurements alone. Broad-area laser dose-rate testing can thereby be used to accurately determine the peak transient current, dose-rate response of semiconductor devices to penetrating electron, gamma- and x-ray irradiation.

  7. Hydrogen Gas Sensors Based on Semiconductor Oxide Nanostructures

    PubMed Central

    Gu, Haoshuang; Wang, Zhao; Hu, Yongming

    2012-01-01

    Recently, the hydrogen gas sensing properties of semiconductor oxide (SMO) nanostructures have been widely investigated. In this article, we provide a comprehensive review of the research progress in the last five years concerning hydrogen gas sensors based on SMO thin film and one-dimensional (1D) nanostructures. The hydrogen sensing mechanism of SMO nanostructures and some critical issues are discussed. Doping, noble metal-decoration, heterojunctions and size reduction have been investigated and proved to be effective methods for improving the sensing performance of SMO thin films and 1D nanostructures. The effect on the hydrogen response of SMO thin films and 1D nanostructures of grain boundary and crystal orientation, as well as the sensor architecture, including electrode size and nanojunctions have also been studied. Finally, we also discuss some challenges for the future applications of SMO nanostructured hydrogen sensors. PMID:22778599

  8. Reliability Prediction Models for Discrete Semiconductor Devices

    DTIC Science & Technology

    1988-07-01

    influence failure rate were device construction, semiconductor material, junction temperature, electrical stress, circuit application., a plication...found to influence failure rate were device construction, semiconductor material, junction temperature, electrical stress, circuit application...MFA Airbreathlng 14issile, Flight MFF Missile, Free Flight ML Missile, Launch MMIC Monolithic Microwave Integrated Circuits MOS Metal-Oxide

  9. Investigation of 'surface donors' in Al2O3/AlGaN/GaN metal-oxide-semiconductor heterostructures: Correlation of electrical, structural, and chemical properties

    NASA Astrophysics Data System (ADS)

    Ťapajna, M.; Stoklas, R.; Gregušová, D.; Gucmann, F.; Hušeková, K.; Haščík, Š.; Fröhlich, K.; Tóth, L.; Pécz, B.; Brunner, F.; Kuzmík, J.

    2017-12-01

    III-N surface polarization compensating charge referred here to as 'surface donors' (SD) was analyzed in Al2O3/AlGaN/GaN metal-oxide-semiconductor (MOS) heterojunctions using scaled oxide films grown by metal-organic chemical vapor deposition at 600 °C. We systematically investigated impact of HCl pre-treatment prior to oxide deposition and post-deposition annealing (PDA) at 700 °C. SD density was reduced down to 1.9 × 1013 cm-2 by skipping HCl pre-treatment step as compared to 3.3 × 1013 cm-2 for structures with HCl pre-treatment followed by PDA. The nature and origin of SD was then analyzed based on the correlation between electrical, micro-structural, and chemical properties of the Al2O3/GaN interfaces with different SD density (NSD). From the comparison between distributions of interface traps of MOS heterojunction with different NSD, it is demonstrated that SD cannot be attributed to interface trapped charge. Instead, variation in the integrity of the GaOx interlayer confirmed by X-ray photoelectron spectroscopy is well correlated with NSD, indicating SD may be formed by border traps at the Al2O3/GaOx interface.

  10. Near interface traps in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors monitored by temperature dependent gate current transient measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fiorenza, Patrick; La Magna, Antonino; Vivona, Marilena

    This letter reports on the impact of gate oxide trapping states on the conduction mechanisms in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs). The phenomena were studied by gate current transient measurements, performed on n-channel MOSFETs operated in “gate-controlled-diode” configuration. The measurements revealed an anomalous non-steady conduction under negative bias (V{sub G} > |20 V|) through the SiO{sub 2}/4H-SiC interface. The phenomenon was explained by the coexistence of a electron variable range hopping and a hole Fowler-Nordheim (FN) tunnelling. A semi-empirical modified FN model with a time-depended electric field is used to estimate the near interface traps in the gate oxide (N{sub trap} ∼ 2 × 10{supmore » 11} cm{sup −2}).« less

  11. Destructive Clustering of Metal Nanoparticles in Chalcogenide and Oxide Glassy Matrices.

    PubMed

    Shpotyuk, M V; Shpotyuk, O I; Cebulski, J; Kozyukhin, S

    2016-12-01

    The energetic χ-criterion is developed to parameterize difference in the origin of high-order optical non-linearity associated with metallic atoms (Cu, Ag, Au) embedded destructively in oxide- and chalcogenide glasses. Within this approach, it is unambiguously proved that covalent-bonded networks of soft semiconductor chalcogenides exemplified by binary As(Ge)-S(Se) glasses differ essentially from those typical for hard dielectric oxides like vitreous silica by impossibility to accommodate pure agglomerates of metallic nanoparticles. In an excellence according to known experimental data, it is suggested that destructive clustering of nanoparticles is possible in Cu-, Ag-, and Au-ion-implanted dielectric oxide glass media, possessing a strongly negative χ-criterion. Some recent speculations trying to ascribe equally this ability to soft chalcogenide glasses despite an obvious difference in the corresponding bond dissociation energies have been disclosed and criticized as inconclusive.

  12. Photochemical metal organic deposition of metal oxides

    NASA Astrophysics Data System (ADS)

    Law, Wai Lung (Simon)

    This thesis pertains to the study of the deposition of metal oxide thin films via the process of Photochemical Metal Organic Deposition (PMOD). In this process, an amorphous metal organic precursor thin film is subjected to irradiation under ambient conditions. Fragmentation of the metal precursor results from the photoreaction, leading to the formation of metal oxide thin films in the presence of oxygen. The advantage of PMOD lies in its ability to perform lithography of metal oxide thin film without the application of photoresist. The metal organic precursor can be imaged directly by photolysis through a lithography mask under ambient conditions. Thus the PMOD process provides an attractive alternative to the conventional VLSI fabrication process. Metal carboxylates and metal acetylacetonates complexes were used as the precursors for PMOD process in this thesis. Transition metal carboxylate and metal acetylacetonate complexes have shown previously that when deposited as amorphous thin films, they will undergo fragmentation upon photolysis, leading to the formation of metal oxide thin films under ambient conditions. In this thesis, the formation of main group metal oxides of aluminum, indium and tin, as well as the formation of rare-earth metal oxides of cerium and europium by PMOD from its corresponding metal organic precursor will be presented. The nature of the photoreactions as well as the properties of the thin films deposited by PMOD will be investigated. Doped metal oxide thin films can also be prepared using the PMOD process. By mixing the metal precursors prior to deposition in the desired ratio, precursor films containing more than one metal precursor can be obtained. Mixed metal oxide thin films corresponding to the original metal ratio, in the precursor mixture, can be obtained upon photolysis under ambient conditions. In this thesis, the properties of doped metal oxide thin films of europium doped aluminum oxide as well as tin doped indium oxide thin

  13. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  14. Wide-band-gap, alkaline-earth-oxide semiconductor and devices utilizing same

    DOEpatents

    Abraham, Marvin M.; Chen, Yok; Kernohan, Robert H.

    1981-01-01

    This invention relates to novel and comparatively inexpensive semiconductor devices utilizing semiconducting alkaline-earth-oxide crystals doped with alkali metal. The semiconducting crystals are produced by a simple and relatively inexpensive process. As a specific example, a high-purity lithium-doped MgO crystal is grown by conventional techniques. The crystal then is heated in an oxygen-containing atmosphere to form many [Li].degree. defects therein, and the resulting defect-rich hot crystal is promptly quenched to render the defects stable at room temperature and temperatures well above the same. Quenching can be effected conveniently by contacting the hot crystal with room-temperature air.

  15. Note: A disposable x-ray camera based on mass produced complementary metal-oxide-semiconductor sensors and single-board computers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoidn, Oliver R.; Seidler, Gerald T., E-mail: seidler@uw.edu

    We have integrated mass-produced commercial complementary metal-oxide-semiconductor (CMOS) image sensors and off-the-shelf single-board computers into an x-ray camera platform optimized for acquisition of x-ray spectra and radiographs at energies of 2–6 keV. The CMOS sensor and single-board computer are complemented by custom mounting and interface hardware that can be easily acquired from rapid prototyping services. For single-pixel detection events, i.e., events where the deposited energy from one photon is substantially localized in a single pixel, we establish ∼20% quantum efficiency at 2.6 keV with ∼190 eV resolution and a 100 kHz maximum detection rate. The detector platform’s useful intrinsic energymore » resolution, 5-μm pixel size, ease of use, and obvious potential for parallelization make it a promising candidate for many applications at synchrotron facilities, in laser-heating plasma physics studies, and in laboratory-based x-ray spectrometry.« less

  16. Ultrathin metal-semiconductor-metal resonator for angle invariant visible band transmission filters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyu-Tae; Seo, Sungyong; Yong Lee, Jae

    We present transmission visible wavelength filters based on strong interference behaviors in an ultrathin semiconductor material between two metal layers. The proposed devices were fabricated on 2 cm × 2 cm glass substrate, and the transmission characteristics show good agreement with the design. Due to a significantly reduced light propagation phase change associated with the ultrathin semiconductor layer and the compensation in phase shift of light reflecting from the metal surface, the filters show an angle insensitive performance up to ±70°, thus, addressing one of the key challenges facing the previously reported photonic and plasmonic color filters. This principle, described in this paper, canmore » have potential for diverse applications ranging from color display devices to the image sensors.« less

  17. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  18. Comparison of the optical responses of O-poor and O-rich thermochromic VOX films during semiconductor-to-metal transition

    NASA Astrophysics Data System (ADS)

    Luo, Zhenfei; Wu, Zhiming; Wang, Tao; Xu, Xiangdong; Li, Weizhi; Li, Wei; Jiang, Yadong

    2012-09-01

    O-poor and O-rich thermochromic vanadium oxide (VOX) nanostructured thin films were prepared by applying reactive direct current magnetron sputtering and post-annealing in oxygen ambient. UV-visible spectrophotometer and spectroscopic ellipsometry were used to investigate the optical properties of films. It was found that, when the O-poor VOX thin film underwent semiconductor-to-metal transition, the values of optical conductivity and extinction coefficient in the visible region increased due to the existence of occupied band-gap states. This noticeable feature, however, was not observed for the O-rich film, which showed a similar optical behavior with the stoichiometric crystalline VO2 films reported in the literatures. Moreover, the O-poor VOX film exhibits consistent variations of transmission values in the visible/near-infrared region when it undergoes semiconductor-to-metal transition.

  19. Assembling non-ferromagnetic materials to ferromagnetic architectures using metal-semiconductor interfaces

    PubMed Central

    Ma, Ji; Liu, Chunting; Chen, Kezheng

    2016-01-01

    In this work, a facile and versatile solution route was used to fabricate room-temperature ferromagnetic fish bone-like, pteridophyte-like, poplar flower-like, cotton-like Cu@Cu2O architectures and golfball-like Cu@ZnO architecture. The ferromagnetic origins in these architectures were found to be around metal-semiconductor interfaces and defects, and the root cause for their ferromagnetism lay in charge transfer processes from metal Cu to semiconductors Cu2O and ZnO. Owing to different metallization at their interfaces, these architectures exhibited different ferromagnetic behaviors, including coercivity, saturation magnetization as well as magnetic interactions. PMID:27680286

  20. Lateral amorphous selenium metal-insulator-semiconductor-insulator-metal photodetectors using ultrathin dielectric blocking layers for dark current suppression

    NASA Astrophysics Data System (ADS)

    Chang, Cheng-Yi; Pan, Fu-Ming; Lin, Jian-Siang; Yu, Tung-Yuan; Li, Yi-Ming; Chen, Chieh-Yang

    2016-12-01

    We fabricated amorphous selenium (a-Se) photodetectors with a lateral metal-insulator-semiconductor-insulator-metal (MISIM) device structure. Thermal aluminum oxide, plasma-enhanced chemical vapor deposited silicon nitride, and thermal atomic layer deposited (ALD) aluminum oxide and hafnium oxide (ALD-HfO2) were used as the electron and hole blocking layers of the MISIM photodetectors for dark current suppression. A reduction in the dark current by three orders of magnitude can be achieved at electric fields between 10 and 30 V/μm. The effective dark current suppression is primarily ascribed to electric field lowering in the dielectric layers as a result of charge trapping in deep levels. Photogenerated carriers in the a-Se layer can be transported across the blocking layers to the Al electrodes via Fowler-Nordheim tunneling because a high electric field develops in the ultrathin dielectric layers under illumination. Since the a-Se MISIM photodetectors have a very low dark current without significant degradation in the photoresponse, the signal contrast is greatly improved. The MISIM photodetector with the ALD-HfO2 blocking layer has an optimal signal contrast more than 500 times the contrast of the photodetector without a blocking layer at 15 V/μm.

  1. Electrically coupling complex oxides to semiconductors: A route to novel material functionalities

    DOE PAGES

    Ngai, J. H.; Ahmadi-Majlan, K.; Moghadam, J.; ...

    2017-01-12

    Complex oxides and semiconductors exhibit distinct yet complementary properties owing to their respective ionic and covalent natures. By electrically coupling complex oxides to traditional semiconductors within epitaxial heterostructures, enhanced or novel functionalities beyond those of the constituent materials can potentially be realized. Essential to electrically coupling complex oxides to semiconductors is control of the physical structure of the epitaxially grown oxide, as well as the electronic structure of the interface. In this paper, we discuss how composition of the perovskite A- and B-site cations can be manipulated to control the physical and electronic structure of semiconductor—complex oxide heterostructures. Two prototypicalmore » heterostructures, Ba 1-xSr xTiO 3/Ge and SrZr xTi 1-xO 3/Ge, will be discussed. In the case of Ba 1-xSr xTiO 3/Ge, we discuss how strain can be engineered through A-site composition to enable the re-orientable ferroelectric polarization of the former to be coupled to carriers in the semiconductor. In the case of SrZr xTi 1-xO 3/Ge we discuss how B-site composition can be exploited to control the band offset at the interface. Finally, analogous to heterojunctions between compound semiconducting materials, control of band offsets, i.e., band-gap engineering, provides a pathway to electrically couple complex oxides to semiconductors to realize a host of functionalities.« less

  2. Electrically coupling complex oxides to semiconductors: A route to novel material functionalities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ngai, J. H.; Ahmadi-Majlan, K.; Moghadam, J.

    Complex oxides and semiconductors exhibit distinct yet complementary properties owing to their respective ionic and covalent natures. By electrically coupling complex oxides to traditional semiconductors within epitaxial heterostructures, enhanced or novel functionalities beyond those of the constituent materials can potentially be realized. Essential to electrically coupling complex oxides to semiconductors is control of the physical structure of the epitaxially grown oxide, as well as the electronic structure of the interface. In this paper, we discuss how composition of the perovskite A- and B-site cations can be manipulated to control the physical and electronic structure of semiconductor—complex oxide heterostructures. Two prototypicalmore » heterostructures, Ba 1-xSr xTiO 3/Ge and SrZr xTi 1-xO 3/Ge, will be discussed. In the case of Ba 1-xSr xTiO 3/Ge, we discuss how strain can be engineered through A-site composition to enable the re-orientable ferroelectric polarization of the former to be coupled to carriers in the semiconductor. In the case of SrZr xTi 1-xO 3/Ge we discuss how B-site composition can be exploited to control the band offset at the interface. Finally, analogous to heterojunctions between compound semiconducting materials, control of band offsets, i.e., band-gap engineering, provides a pathway to electrically couple complex oxides to semiconductors to realize a host of functionalities.« less

  3. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swain, Basudev, E-mail: Swain@iae.re.kr; Mishra, Chinmayee; Lee, Chan Gi

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leachmore » residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.« less

  4. Role of direct electron-phonon coupling across metal-semiconductor interfaces in thermal transport via molecular dynamics.

    PubMed

    Lin, Keng-Hua; Strachan, Alejandro

    2015-07-21

    Motivated by significant interest in metal-semiconductor and metal-insulator interfaces and superlattices for energy conversion applications, we developed a molecular dynamics-based model that captures the thermal transport role of conduction electrons in metals and heat transport across these types of interface. Key features of our model, denoted eleDID (electronic version of dynamics with implicit degrees of freedom), are the natural description of interfaces and free surfaces and the ability to control the spatial extent of electron-phonon (e-ph) coupling. Non-local e-ph coupling enables the energy of conduction electrons to be transferred directly to the semiconductor/insulator phonons (as opposed to having to first couple to the phonons in the metal). We characterize the effect of the spatial e-ph coupling range on interface resistance by simulating heat transport through a metal-semiconductor interface to mimic the conditions of ultrafast laser heating experiments. Direct energy transfer from the conduction electrons to the semiconductor phonons not only decreases interfacial resistance but also increases the ballistic transport behavior in the semiconductor layer. These results provide new insight for experiments designed to characterize e-ph coupling and thermal transport at the metal-semiconductor/insulator interfaces.

  5. Anomalous threshold voltage change by 2 MeV electron irradiation at 100 °C in deep submicron metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Hayama, K.; Ohyama, H.; Simoen, E.; Rafí, J. M.; Mercha, A.; Claeys, C.

    2004-04-01

    The degradation of the electrical properties of deep submicron metal-oxide-semiconductor field-effect transistors (MOSFETs) by 2 MeV electron irradiation at high temperatures was studied. The irradiation temperatures were 30, 100, 150 and 200 °C, and the fluence was fixed at 1015e/cm2. For most experimental conditions, the threshold voltage (VT) is observed to reduce in absolute value both for n- and p-MOSFETs. This reduction is most pronounced at 100 °C, as at this irradiation temperature, the radiation-induced density of interface traps is highest. It is proposed that hydrogen neutralization of the dopants in the substrate plays a key role, whereby the hydrogen is released from the gate by the 2 MeV electrons.

  6. Ultrasonic fingerprint sensor using a piezoelectric micromachined ultrasonic transducer array integrated with complementary metal oxide semiconductor electronics

    NASA Astrophysics Data System (ADS)

    Lu, Y.; Tang, H.; Fung, S.; Wang, Q.; Tsai, J. M.; Daneman, M.; Boser, B. E.; Horsley, D. A.

    2015-06-01

    This paper presents an ultrasonic fingerprint sensor based on a 24 × 8 array of 22 MHz piezoelectric micromachined ultrasonic transducers (PMUTs) with 100 μm pitch, fully integrated with 180 nm complementary metal oxide semiconductor (CMOS) circuitry through eutectic wafer bonding. Each PMUT is directly bonded to a dedicated CMOS receive amplifier, minimizing electrical parasitics and eliminating the need for through-silicon vias. The array frequency response and vibration mode-shape were characterized using laser Doppler vibrometry and verified via finite element method simulation. The array's acoustic output was measured using a hydrophone to be ˜14 kPa with a 28 V input, in reasonable agreement with predication from analytical calculation. Pulse-echo imaging of a 1D steel grating is demonstrated using electronic scanning of a 20 × 8 sub-array, resulting in 300 mV maximum received amplitude and 5:1 contrast ratio. Because the small size of this array limits the maximum image size, mechanical scanning was used to image a 2D polydimethylsiloxane fingerprint phantom (10 mm × 8 mm) at a 1.2 mm distance from the array.

  7. Charge transport in metal oxide nanocrystal-based materials

    NASA Astrophysics Data System (ADS)

    Runnerstrom, Evan Lars

    matrix, and that the morphology and properties of the nanocomposites can be manipulated by changing the chemical composition of the deposition solution. Careful application of AC impedance spectroscopy techniques and DC measurements are used to show that the nanocomposites exhibit mixed ionic and electronic conductivity, where electronic charge is transported through the ITO nanocrystal phase, and ionic charge is transported through the polymer matrix phase. The synthetic methods developed here and understanding of charge transport ultimately lead to the fabrication of a solid state nanocomposite electrochromic device based on nanocrystals of ITO and cerium oxide. Part II of this dissertation considers electron transport within individual metal oxide nanocrystals themselves. It primarily examines relationships between synthetic chemistry, doping mechanisms in metal oxides, and the accompanying physics of free carrier scattering within the interior of highly doped metal oxide nanocrystals, with particular mind paid to ITO nanocrystals. Additionally, synthetic methods as well as metal oxide defect chemistry influences the balance between activation and compensation of dopants, which limits the nanocrystals' free carrier concentration. Furthermore, because of ionized impurity scattering of the oscillating electrons by dopant ions, scattering must be treated in a fundamentally different way in semiconductor metal oxide materials when compared with conventional metals. (Abstract shortened by ProQuest.).

  8. Extracting metals directly from metal oxides

    DOEpatents

    Wai, Chien M.; Smart, Neil G.; Phelps, Cindy

    1997-01-01

    A method of extracting metals directly from metal oxides by exposing the oxide to a supercritical fluid solvent containing a chelating agent is described. Preferably, the metal is an actinide or a lanthanide. More preferably, the metal is uranium, thorium or plutonium. The chelating agent forms chelates that are soluble in the supercritical fluid, thereby allowing direct removal of the metal from the metal oxide. In preferred embodiments, the extraction solvent is supercritical carbon dioxide and the chelating agent is selected from the group consisting of .beta.-diketones, halogenated .beta.-diketones, phosphinic acids, halogenated phosphinic acids, carboxylic acids, halogenated carboxylic acids, and mixtures thereof. In especially preferred embodiments, at least one of the chelating agents is fluorinated. The method provides an environmentally benign process for removing metals from metal oxides without using acids or biologically harmful solvents. The chelate and supercritical fluid can be regenerated, and the metal recovered, to provide an economic, efficient process.

  9. Extracting metals directly from metal oxides

    DOEpatents

    Wai, C.M.; Smart, N.G.; Phelps, C.

    1997-02-25

    A method of extracting metals directly from metal oxides by exposing the oxide to a supercritical fluid solvent containing a chelating agent is described. Preferably, the metal is an actinide or a lanthanide. More preferably, the metal is uranium, thorium or plutonium. The chelating agent forms chelates that are soluble in the supercritical fluid, thereby allowing direct removal of the metal from the metal oxide. In preferred embodiments, the extraction solvent is supercritical carbon dioxide and the chelating agent is selected from the group consisting of {beta}-diketones, halogenated {beta}-diketones, phosphinic acids, halogenated phosphinic acids, carboxylic acids, halogenated carboxylic acids, and mixtures thereof. In especially preferred embodiments, at least one of the chelating agents is fluorinated. The method provides an environmentally benign process for removing metals from metal oxides without using acids or biologically harmful solvents. The chelate and supercritical fluid can be regenerated, and the metal recovered, to provide an economic, efficient process. 4 figs.

  10. Dark current suppression of MgZnO metal-semiconductor-metal solar-blind ultraviolet photodetector by asymmetric electrode structures.

    PubMed

    Wang, Ping; Zheng, Qinghong; Tang, Qing; Yang, Yintang; Guo, Lixin; Huang, Feng; Song, Zhenjie; Zhang, Zhiyong

    2014-01-15

    The application of asymmetric Schottky barrier and electrode area in an MgZnO metal-semiconductor-metal (MSM) solar-blind ultraviolet photodetector has been investigated by a physical-based numerical model in which the electron mobility is obtained by an ensemble Monte Carlo simulation combined with first principle calculations using the density functional theory. Compared with the experimental data of symmetric and asymmetric MSM structures based on ZnO substrate, the validity of this model is verified. The asymmetric Schottky barrier and electrode area devices exhibit reductions of 20 times and 1.3 times on dark current, respectively, without apparent photocurrent scarification. The plots of photo-to-dark current ratio (PDR) indicate that the asymmetric MgZnO MSM structure has better dark current characteristic than that of the symmetric one.

  11. Comprehensive electrical analysis of metal/Al2O3/O-terminated diamond capacitance

    NASA Astrophysics Data System (ADS)

    Pham, T. T.; Maréchal, A.; Muret, P.; Eon, D.; Gheeraert, E.; Rouger, N.; Pernot, J.

    2018-04-01

    Metal oxide semiconductor capacitors were fabricated using p - type oxygen-terminated (001) diamond and Al2O3 deposited by atomic layer deposition at two different temperatures 250 °C and 380 °C. Current voltage I(V), capacitance voltage C(V), and capacitance frequency C(f) measurements were performed and analyzed for frequencies ranging from 1 Hz to 1 MHz and temperatures from 160 K to 360 K. A complete model for the Metal-Oxide-Semiconductor Capacitors electrostatics, leakage current mechanisms through the oxide into the semiconductor and small a.c. signal equivalent circuit of the device is proposed and discussed. Interface states densities are then evaluated in the range of 1012eV-1cm-2 . The strong Fermi level pinning is demonstrated to be induced by the combined effects of the leakage current through the oxide and the presence of diamond/oxide interface states.

  12. Evaluating nanoscale ultra-thin metal films by means of lateral photovoltaic effect in metal-semiconductor structure.

    PubMed

    Zheng, Diyuan; Yu, Chongqi; Zhang, Qian; Wang, Hui

    2017-12-15

    Nanoscale metal-semiconductor (MS) structure materials occupy an important position in semiconductor and microelectronic field due to their abundant physical phenomena and effects. The thickness of metal films is a critical factor in determining characteristics of MS devices. How to detect or evaluate the metal thickness is always a key issue for realizing high performance MS devices. In this work, we propose a direct surface detection by use of the lateral photovoltaic effect (LPE) in MS structure, which can not only measure nanoscale thickness, but also detect the fluctuation of metal films. This method is based on the fact that the output of lateral photovoltaic voltage (LPV) is closely linked with the metal thickness at the laser spot. We believe this laser-based contact-free detection is a useful supplement to the traditional methods, such as AFM, SEM, TEM or step profiler. This is because these traditional methods are always incapable of directly detecting ultra-thin metal films in MS structure materials.

  13. Evaluating nanoscale ultra-thin metal films by means of lateral photovoltaic effect in metal-semiconductor structure

    NASA Astrophysics Data System (ADS)

    Zheng, Diyuan; Yu, Chongqi; Zhang, Qian; Wang, Hui

    2017-12-01

    Nanoscale metal-semiconductor (MS) structure materials occupy an important position in semiconductor and microelectronic field due to their abundant physical phenomena and effects. The thickness of metal films is a critical factor in determining characteristics of MS devices. How to detect or evaluate the metal thickness is always a key issue for realizing high performance MS devices. In this work, we propose a direct surface detection by use of the lateral photovoltaic effect (LPE) in MS structure, which can not only measure nanoscale thickness, but also detect the fluctuation of metal films. This method is based on the fact that the output of lateral photovoltaic voltage (LPV) is closely linked with the metal thickness at the laser spot. We believe this laser-based contact-free detection is a useful supplement to the traditional methods, such as AFM, SEM, TEM or step profiler. This is because these traditional methods are always incapable of directly detecting ultra-thin metal films in MS structure materials.

  14. Metal oxide-polymer composites

    NASA Technical Reports Server (NTRS)

    Wellinghoff, Stephen T. (Inventor)

    1997-01-01

    A method of making metal oxide clusters in a single stage by reacting a metal oxide with a substoichiometric amount of an acid in the presence of an oxide particle growth terminator and solubilizer. A method of making a ceramer is also disclosed in which the metal oxide clusters are reacted with a functionalized polymer. The resultant metal oxide clusters and ceramers are also disclosed.

  15. Metal oxide-polymer composites

    NASA Technical Reports Server (NTRS)

    Wellinghoff, Stephen T. (Inventor)

    1994-01-01

    A method of making metal oxide clusters in a single stage by reacting a metal oxide with a substoichiometric amount of an acid in the presence of an oxide particle growth terminator and solubilizer. A method of making a ceramer is also disclosed in which the metal oxide clusters are reacted with a functionalized polymer. The resultant metal oxide clusters and ceramers are also disclosed.

  16. Interface trap and oxide charge generation under negative bias temperature instability of p-channel metal-oxide-semiconductor field-effect transistors with ultrathin plasma-nitrided SiON gate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu Shiyang; Nakajima, Anri; Ohashi, Takuo

    2005-12-01

    The interface trap generation ({delta}N{sub it}) and fixed oxide charge buildup ({delta}N{sub ot}) under negative bias temperature instability (NBTI) of p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) with ultrathin (2 nm) plasma-nitrided SiON gate dielectrics were studied using a modified direct-current-current-voltage method and a conventional subthreshold characteristic measurement. Different stress time dependences were shown for {delta}N{sub it} and {delta}N{sub ot}. At the earlier stress times, {delta}N{sub it} dominates the threshold voltage shift ({delta}V{sub th}) and {delta}N{sub ot} is negligible. With increasing stress time, the rate of increase of {delta}N{sub it} decreases continuously, showing a saturating trend for longer stress times, while {delta}N{submore » ot} still has a power-law dependence on stress time so that the relative contribution of {delta}N{sub ot} increases. The thermal activation energy of {delta}N{sub it} and the NBTI lifetime of pMOSFETs, compared at a given stress voltage, are independent of the peak nitrogen concentration of the SiON film. This indicates that plasma nitridation is a more reliable method for incorporating nitrogen in the gate oxide.« less

  17. Low temperature production of large-grain polycrystalline semiconductors

    DOEpatents

    Naseem, Hameed A [Fayetteville, AR; Albarghouti, Marwan [Loudonville, NY

    2007-04-10

    An oxide or nitride layer is provided on an amorphous semiconductor layer prior to performing metal-induced crystallization of the semiconductor layer. The oxide or nitride layer facilitates conversion of the amorphous material into large grain polycrystalline material. Hence, a native silicon dioxide layer provided on hydrogenated amorphous silicon (a-Si:H), followed by deposited Al permits induced crystallization at temperatures far below the solid phase crystallization temperature of a-Si. Solar cells and thin film transistors can be prepared using this method.

  18. Electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP

    NASA Astrophysics Data System (ADS)

    Ferrandis, Philippe; Billaud, Mathilde; Duvernay, Julien; Martin, Mickael; Arnoult, Alexandre; Grampeix, Helen; Cassé, Mikael; Boutry, Hervé; Baron, Thierry; Vinet, Maud; Reimbold, Gilles

    2018-04-01

    To overcome the Fermi-level pinning in III-V metal-oxide-semiconductor capacitors, attention is usually focused on the choice of dielectric and surface chemical treatments prior to oxide deposition. In this work, we examined the influence of the III-V material surface cleaning and the semiconductor growth technique on the electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP(100) substrates. By means of the capacitance-voltage measurements, we demonstrated that samples do not have the same total oxide charge density depending on the cleaning solution used [(NH4)2S or NH4OH] prior to oxide deposition. The determination of the interface trap density revealed that a Fermi-level pinning occurs for samples grown by metalorganic chemical vapor deposition but not for similar samples grown by molecular beam epitaxy. Deep level transient spectroscopy analysis explained the Fermi-level pinning by an additional signal for samples grown by metalorganic chemical vapor deposition, attributed to the tunneling effect of carriers trapped in oxide toward interface states. This work emphasizes that the choice of appropriate oxide and cleaning treatment is not enough to prevent a Fermi-level pinning in III-V metal-oxide-semiconductor capacitors. The semiconductor growth technique needs to be taken into account because it impacts the trapping properties of the oxide.

  19. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    PubMed

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  20. Assessment of radiation exposure in dental cone-beam computerized tomography with the use of metal-oxide semiconductor field-effect transistor (MOSFET) dosimeters and Monte Carlo simulations.

    PubMed

    Koivisto, J; Kiljunen, T; Tapiovaara, M; Wolff, J; Kortesniemi, M

    2012-09-01

    The aims of this study were to assess the organ and effective dose (International Commission on Radiological Protection (ICRP) 103) resulting from dental cone-beam computerized tomography (CBCT) imaging using a novel metal-oxide semiconductor field-effect transistor (MOSFET) dosimeter device, and to assess the reliability of the MOSFET measurements by comparing the results with Monte Carlo PCXMC simulations. Organ dose measurements were performed using 20 MOSFET dosimeters that were embedded in the 8 most radiosensitive organs in the maxillofacial and neck area. The dose-area product (DAP) values attained from CBCT scans were used for PCXMC simulations. The acquired MOSFET doses were then compared with the Monte Carlo simulations. The effective dose measurements using MOSFET dosimeters yielded, using 0.5-cm steps, a value of 153 μSv and the PCXMC simulations resulted in a value of 136 μSv. The MOSFET dosimeters placed in a head phantom gave results similar to Monte Carlo simulations. Minor vertical changes in the positioning of the phantom had a substantial affect on the overall effective dose. Therefore, the MOSFET dosimeters constitute a feasible method for dose assessment of CBCT units in the maxillofacial region. Copyright © 2012 Elsevier Inc. All rights reserved.

  1. Metal-Semiconductor Nanocomposites for High Efficiency Thermoelectric Power Generation

    DTIC Science & Technology

    2013-12-07

    standard III–V compound semiconductor processing techniques with terbium- doped InGaAs of high terbium concentration, Journal of Vacuum Science...even lower the required temperature for strong covalent bonding. We performed the oxide bonding for this substrate transfer task (see Figure 16 for...appropriate controls for assessing ErSb:InGaSb and other nanocomposites of p-type III-V compound semiconductors and their alloys. UCSC group calculated

  2. MSM-Metal Semiconductor Metal Photo-detector Using Black Silicon Germanium (SiGe) for Extended Wavelength Near Infrared Detection

    DTIC Science & Technology

    2012-09-01

    MSM) photodectors fabricated using black silicon-germanium on silicon substrate (Si1–xGex//Si) for I-V, optical response, external quantum ...material for Si for many applications in low-power and high-speed semiconductor device technologies (4, 5). It is a promising material for quantum well ...MSM-Metal Semiconductor Metal Photo-detector Using Black Silicon Germanium (SiGe) for Extended Wavelength Near Infrared Detection by Fred

  3. Novel Dry-Type Glucose Sensor Based on a Metal-Oxide-Semiconductor Capacitor Structure with Horseradish Peroxidase + Glucose Oxidase Catalyzing Layer

    NASA Astrophysics Data System (ADS)

    Lin, Jing-Jenn; Wu, You-Lin; Hsu, Po-Yen

    2007-10-01

    In this paper, we present a novel dry-type glucose sensor based on a metal-oxide-semiconductor capacitor (MOSC) structure using SiO2 as a gate dielectric in conjunction with a horseradish peroxidase (HRP) + glucose oxidase (GOD) catalyzing layer. The tested glucose solution was dropped directly onto the window opened on the SiO2 layer, with a coating of HRP + GOD catalyzing layer on top of the gate dielectric. From the capacitance-voltage (C-V) characteristics of the sensor, we found that the glucose solution can induce an inversion layer on the silicon surface causing a gate leakage current flowing along the SiO2 surface. The gate current changes Δ I before and after the drop of glucose solution exhibits a near-linear relationship with increasing glucose concentration. The Δ I sensitivity is about 1.76 nA cm-2 M-1, and the current is quite stable 20 min after the drop of the glucose solution is tested.

  4. Determination of bulk and interface density of states in metal oxide semiconductor thin-film transistors by using capacitance-voltage characteristics

    NASA Astrophysics Data System (ADS)

    Wei, Xixiong; Deng, Wanling; Fang, Jielin; Ma, Xiaoyu; Huang, Junkai

    2017-10-01

    A physical-based straightforward extraction technique for interface and bulk density of states in metal oxide semiconductor thin film transistors (TFTs) is proposed by using the capacitance-voltage (C-V) characteristics. The interface trap density distribution with energy has been extracted from the analysis of capacitance-voltage characteristics. Using the obtained interface state distribution, the bulk trap density has been determined. With this method, for the interface trap density, it is found that deep state density nearing the mid-gap is approximately constant and tail states density increases exponentially with energy; for the bulk trap density, it is a superposition of exponential deep states and exponential tail states. The validity of the extraction is verified by comparisons with the measured current-voltage (I-V) characteristics and the simulation results by the technology computer-aided design (TCAD) model. This extraction method uses non-numerical iteration which is simple, fast and accurate. Therefore, it is very useful for TFT device characterization.

  5. Transition-metal impurities in semiconductors and heterojunction band lineups

    NASA Astrophysics Data System (ADS)

    Langer, Jerzy M.; Delerue, C.; Lannoo, M.; Heinrich, Helmut

    1988-10-01

    The validity of a recent proposal that transition-metal impurity levels in semiconductors may serve as a reference in band alignment in semiconductor heterojunctions is positively verified by using the most recent data on band offsets in the following lattice-matched heterojunctions: Ga1-xAlxAs/GaAs, In1-xGaxAsyP1-y/InP, In1-xGaxP/GaAs, and Cd1-xHgxTe/CdTe. The alignment procedure is justified theoretically by showing that transition-metal energy levels are effectively pinned to the average dangling-bond energy level, which serves as the reference level for the heterojunction band alignment. Experimental and theoretical arguments showing that an increasingly popular notion on transition-metal energy-level pinning to the vacuum level is unjustified and must be abandoned in favor of the internal-reference rule proposed recently [J. M. Langer and H. Heinrich, Phys. Rev. Lett. 55, 1414 (1985)] are presented.

  6. Electronic structure of metal-semiconductor nanojunctions in gold CdSe nanodumbbells.

    PubMed

    Steiner, D; Mokari, T; Banin, U; Millo, O

    2005-07-29

    The electronic properties of metal-semiconductor nanojunctions are investigated by scanning tunneling spectroscopy of gold-tipped CdSe rods. A gap similar to that in bare CdSe nanorods is observed near the nanodumbbell center, while subgap structure emerges near the metal-semiconductor nanocontact. This behavior is attributed to the formation of subgap interface states that vanish rapidly towards the center of the rod, consistent with theoretical predictions. These states lead also to modified Coulomb staircase, and in some cases to negative differential conductance, on the gold tips.

  7. Development of Room Temperature Excitonic Lasing From ZnO and MgZnO Thin Film Based Metal-Semiconductor-Metal Devices

    NASA Astrophysics Data System (ADS)

    Suja, Mohammad Zahir Uddin

    Room temperature excitonic lasing is demonstrated and developed by utilizing metal-semiconductor-metal devices based on ZnO and MgZnO materials. At first, Cu-doped p-type ZnO films are grown on c-sapphire substrates by plasma-assisted molecular beam epitaxy. Photoluminescence (PL) experiments reveal a shallow acceptor state at 0.15 eV above the valence band edge. Hall effect results indicate that a growth condition window is found for the formation of p-type ZnO thin films and the best conductivity is achieved with a high hole concentration of 1.54x1018 cm-3, a low resistivity of 0.6 O cm and a moderate mobility of 6.65 cm2 V -1 s-1 at room temperature. Metal oxide semiconductor (MOS) capacitor devices have been fabricated on the Cu-doped ZnO films and the characteristics of capacitance-voltage measurements demonstrate that the Cu-doped ZnO thin films under proper growth conditions are p-type. Seebeck measurements on these Cu-doped ZnO samples lead to positive Seebeck coefficients and further confirm the p-type conductivity. Other measurements such as XRD, XPS, Raman and absorption are also performed to elucidate the structural and optical characteristics of the Cu-doped p-type ZnO films. The p-type conductivity is explained to originate from Cu substitution of Zn with a valency of +1 state. However, all p-type samples are converted to n-type over time, which is mostly due to the carrier compensation from extrinsic defects of ZnO. To overcome the stability issue of p-type ZnO film, alternate devices other than p-n junction has been developed. Electrically driven plasmon-exciton coupled random lasing is demonstrated by incorporating Ag nanoparticles on Cu-doped ZnO metal-semiconductor-metal (MSM) devices. Both photoluminescence and electroluminescence studies show that emission efficiencies have been enhanced significantly due to coupling between ZnO excitons and Ag surface plasmons. With the incorporation of Ag nanoparticles on ZnO MSM structures, internal quantum

  8. MBE Growth of Ferromagnetic Metal/Compound Semiconductor Heterostructures for Spintronics

    ScienceCinema

    Palmstrom, Chris [University of California, Santa Barbara, California, United States

    2017-12-09

    Electrical transport and spin-dependent transport across ferromagnet/semiconductor contacts is crucial in the realization of spintronic devices. Interfacial reactions, the formation of non-magnetic interlayers, and conductivity mismatch have been attributed to low spin injection efficiency. MBE has been used to grow epitaxial ferromagnetic metal/GA(1-x)AL(x)As heterostructures with the aim of controlling the interfacial structural, electronic, and magnetic properties. In situ, STM, XPS, RHEED and LEED, and ex situ XRD, RBS, TEM, magnetotransport, and magnetic characterization have been used to develop ferromagnetic elemental and metallic compound/compound semiconductor tunneling contacts for spin injection. The efficiency of the spin polarized current injected from the ferromagnetic contact has been determined by measuring the electroluminescence polarization of the light emitted from/GA(1-x)AL(x)As light-emitting diodes as a function of applied magnetic field and temperature. Interfacial reactions during MBE growth and post-growth anneal, as well as the semiconductor device band structure, were found to have a dramatic influence on the measured spin injection, including sign reversal. Lateral spin-transport devices with epitaxial ferromagnetic metal source and drain tunnel barrier contacts have been fabricated with the demonstration of electrical detection and the bias dependence of spin-polarized electron injection and accumulation at the contacts. This talk emphasizes the progress and achievements in the epitaxial growth of a number of ferromagnetic compounds/III-V semiconductor heterostructures and the progress towards spintronic devices.

  9. Process for Making a Noble Metal on Tin Oxide Catalyst

    NASA Technical Reports Server (NTRS)

    Davis, Patricia; Miller, Irvin; Upchurch, Billy

    2010-01-01

    To produce a noble metal-on-metal oxide catalyst on an inert, high-surface-area support material (that functions as a catalyst at approximately room temperature using chloride-free reagents), for use in a carbon dioxide laser, requires two steps: First, a commercially available, inert, high-surface-area support material (silica spheres) is coated with a thin layer of metal oxide, a monolayer equivalent. Very beneficial results have been obtained using nitric acid as an oxidizing agent because it leaves no residue. It is also helpful if the spheres are first deaerated by boiling in water to allow the entire surface to be coated. A metal, such as tin, is then dissolved in the oxidizing agent/support material mixture to yield, in the case of tin, metastannic acid. Although tin has proven especially beneficial for use in a closed-cycle CO2 laser, in general any metal with two valence states, such as most transition metals and antimony, may be used. The metastannic acid will be adsorbed onto the high-surface-area spheres, coating them. Any excess oxidizing agent is then evaporated, and the resulting metastannic acid-coated spheres are dried and calcined, whereby the metastannic acid becomes tin(IV) oxide. The second step is accomplished by preparing an aqueous mixture of the tin(IV) oxide-coated spheres, and a soluble, chloride-free salt of at least one catalyst metal. The catalyst metal may be selected from the group consisting of platinum, palladium, ruthenium, gold, and rhodium, or other platinum group metals. Extremely beneficial results have been obtained using chloride-free salts of platinum, palladium, or a combination thereof, such as tetraammineplatinum (II) hydroxide ([Pt(NH3)4] (OH)2), or tetraammine palladium nitrate ([Pd(NH3)4](NO3)2).

  10. Dextran templating for the synthesis of metallic and metal oxide sponges

    NASA Astrophysics Data System (ADS)

    Walsh, Dominic; Arcelli, Laura; Ikoma, Toshiyuki; Tanaka, Junzo; Mann, Stephen

    2003-06-01

    Silver or gold-containing porous frameworks have been used extensively in catalysis, electrochemistry, heat dissipation and biofiltration. These materials are often prepared by thermal reduction of metal-ion-impregnated porous insoluble supports (such as alumina and pumice), and have surface areas of about 1 m2 g-1, which is typically higher than that obtained for pure metal powders or foils prepared electrolytically or by infiltration and thermal decomposition of insoluble cellulose supports. Starch gels have been used in association with zeolite nanoparticles to produce porous inorganic materials with structural hierarchy, but the use of soft sacrificial templates in the synthesis of metallic sponges has not been investigated. Here we demonstrate that self-supporting macroporous frameworks of silver, gold and copper oxide, as well as composites of silver/copper oxide or silver/titania can be routinely prepared by heating metal-salt-containing pastes of the polysaccharide, dextran, to temperatures between 500 and 900 °C. Magnetic sponges were similarly prepared by replacing the metal salt precursor with preformed iron oxide (magnetite) nanoparticles. The use of dextran as a sacrificial template for the fabrication of metallic and metal oxide sponges should have significant benefits over existing technologies because the method is facile, inexpensive, environmentally benign, and amenable to scale-up and processing.

  11. Highly Sensitive Sensors Based on Metal-Oxide Nanocolumns for Fire Detection.

    PubMed

    Lee, Kwangjae; Shim, Young-Seok; Song, Young Geun; Han, Soo Deok; Lee, Youn-Sung; Kang, Chong-Yun

    2017-02-07

    A fire detector is the most important component in a fire alarm system. Herein, we present the feasibility of a highly sensitive and rapid response gas sensor based on metal oxides as a high performance fire detector. The glancing angle deposition (GLAD) technique is used to make the highly porous structure such as nanocolumns (NCs) of various metal oxides for enhancing the gas-sensing performance. To measure the fire detection, the interface circuitry for our sensors (NiO, SnO₂, WO₃ and In₂O₃ NCs) is designed. When all the sensors with various metal-oxide NCs are exposed to fire environment, they entirely react with the target gases emitted from Poly(vinyl chlorides) (PVC) decomposed at high temperature. Before the emission of smoke from the PVC (a hot-plate temperature of 200 °C), the resistances of the metal-oxide NCs are abruptly changed and SnO₂ NCs show the highest response of 2.1. However, a commercial smoke detector did not inform any warning. Interestingly, although the NiO NCs are a p -type semiconductor, they show the highest response of 577.1 after the emission of smoke from the PVC (a hot-plate temperature of 350 °C). The response time of SnO₂ NCs is much faster than that of a commercial smoke detector at the hot-plate temperature of 350 °C. In addition, we investigated the selectivity of our sensors by analyzing the responses of all sensors. Our results show the high potential of a gas sensor based on metal-oxide NCs for early fire detection.

  12. Terahertz Modulator based on Metamaterials integrated with Metal-Semiconductor-Metal Varactors

    PubMed Central

    Nouman, Muhammad Tayyab; Kim, Hyun-Woong; Woo, Jeong Min; Hwang, Ji Hyun; Kim, Dongju; Jang, Jae-Hyung

    2016-01-01

    The terahertz (THz) band of the electromagnetic spectrum, with frequencies ranging from 300 GHz to 3 THz, has attracted wide interest in recent years owing to its potential applications in numerous areas. Significant progress has been made toward the development of devices capable of actively controlling terahertz waves; nonetheless, further advances in device functionality are necessary for employment of these devices in practical terahertz systems. Here, we demonstrate a low voltage, sharp switching terahertz modulator device based on metamaterials integrated with metal semiconductor metal (MSM) varactors, fabricated on an AlGaAs/InGaAs based heterostructure. By varying the applied voltage to the MSM-varactor located at the center of split ring resonator (SRR), the resonance frequency of the SRR-based metamaterial is altered. Upon varying the bias voltage from 0 V to 3 V, the resonance frequency exhibits a transition from 0.52 THz to 0.56 THz, resulting in a modulation depth of 45 percent with an insertion loss of 4.3 dB at 0.58 THz. This work demonstrates a new approach for realizing active terahertz devices with improved functionalities. PMID:27194128

  13. Metal complexes of alkyl-aryl dithiocarbamates: Structural studies, anticancer potentials and applications as precursors for semiconductor nanocrystals

    NASA Astrophysics Data System (ADS)

    Andrew, Fartisincha P.; Ajibade, Peter A.

    2018-03-01

    Dithiocarbamates are versatile ligands able to stabilize wide range of metal ions in their various oxidation states with the partial double bond character of Csbnd N and Csbnd S of thioureide moiety. Variation of the substituents attached to the nitrogen atom of dithiocarbamate moiety generates various intermolecular interactions, which lead to different structural arrangement in the solid state. The presence of bulky substituents on the N atom obviates the supramolecular aggregation via secondary Msbnd S interactions whereas smaller substituents encourage such aggregation that results in their wide properties and applications. Over the past decades, the synthesis and structural studies of metal complexes of dithiocarbamates have received considerable attention as potential anticancer agents with various degree of DNA binding affinity and cytotoxicity and as single molecule precursors for the preparation of semiconductor nanocrystals. In this paper, we review the synthesis, structural studies, anticancer potency and the use of alkyl-phenyl dithiocarbamate complexes as precursors for the preparation of semiconductor nanocrystals. The properties of these compounds and activities are ascribed to be due to either the dithiocarbamate moieties, the nature or type of the substituents around the dithiocarbamate backbone and the central metal ions or combination of these factors.

  14. Transition metal oxide as anode interface buffer for impedance spectroscopy

    NASA Astrophysics Data System (ADS)

    Xu, Hui; Tang, Chao; Wang, Xu-Liang; Zhai, Wen-Juan; Liu, Rui-Lan; Rong, Zhou; Pang, Zong-Qiang; Jiang, Bing; Fan, Qu-Li; Huang, Wei

    2015-12-01

    Impedance spectroscopy is a strong method in electric measurement, which also shows powerful function in research of carrier dynamics in organic semiconductors when suitable mathematical physical models are used. Apart from this, another requirement is that the contact interface between the electrode and materials should at least be quasi-ohmic contact. So in this report, three different transitional metal oxides, V2O5, MoO3 and WO3 were used as hole injection buffer for interface of ITO/NPB. Through the impedance spectroscopy and PSO algorithm, the carrier mobilities and I-V characteristics of the NPB in different devices were measured. Then the data curves were compared with the single layer device without the interface layer in order to investigate the influence of transitional metal oxides on the carrier mobility. The careful research showed that when the work function (WF) of the buffer material was just between the work function of anode and the HOMO of the organic material, such interface material could work as a good bridge for carrier injection. Under such condition, the carrier mobility measured through impedance spectroscopy should be close to the intrinsic value. Considering that the HOMO (or LUMO) of most organic semiconductors did not match with the work function of the electrode, this report also provides a method for wide application of impedance spectroscopy to the research of carrier dynamics.

  15. Charge transport in nanoscale "all-inorganic" networks of semiconductor nanorods linked by metal domains.

    PubMed

    Lavieville, Romain; Zhang, Yang; Casu, Alberto; Genovese, Alessandro; Manna, Liberato; Di Fabrizio, Enzo; Krahne, Roman

    2012-04-24

    Charge transport across metal-semiconductor interfaces at the nanoscale is a crucial issue in nanoelectronics. Chains of semiconductor nanorods linked by Au particles represent an ideal model system in this respect, because the metal-semiconductor interface is an intrinsic feature of the nanosystem and does not manifest solely as the contact to the macroscopic external electrodes. Here we investigate charge transport mechanisms in all-inorganic hybrid metal-semiconductor networks fabricated via self-assembly in solution, in which CdSe nanorods were linked to each other by Au nanoparticles. Thermal annealing of our devices changed the morphology of the networks and resulted in the removal of small Au domains that were present on the lateral nanorod facets, and in ripening of the Au nanoparticles in the nanorod junctions with more homogeneous metal-semiconductor interfaces. In such thermally annealed devices the voltage dependence of the current at room temperature can be well described by a Schottky barrier lowering at a metal semiconductor contact under reverse bias, if the spherical shape of the gold nanoparticles is considered. In this case the natural logarithm of the current does not follow the square-root dependence of the voltage as in the bulk, but that of V(2/3). From our fitting with this model we extract the effective permittivity that agrees well with theoretical predictions for the permittivity near the surface of CdSe nanorods. Furthermore, the annealing improved the network conductance at cryogenic temperatures, which could be related to the reduction of the number of trap states.

  16. Fabrication and characterization of the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Qing-Wen, Song; Xiao-Yan, Tang; Yan-Jing, He; Guan-Nan, Tang; Yue-Hu, Wang; Yi-Meng, Zhang; Hui, Guo; Ren-Xu, Jia; Hong-Liang, Lv; Yi-Men, Zhang; Yu-Ming, Zhang

    2016-03-01

    In this paper, the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFFETs) have been fabricated and characterized. A sandwich- (nitridation-oxidation-nitridation) type process was used to grow the gate dielectric film to obtain high channel mobility. The interface properties of 4H-SiC/SiO2 were examined by the measurement of HF I-V, G-V, and C-V over a range of frequencies. The ideal C-V curve with little hysteresis and the frequency dispersion were observed. As a result, the interface state density near the conduction band edge of 4H-SiC was reduced to 2 × 1011 eV-1·cm-2, the breakdown field of the grown oxides was about 9.8 MV/cm, the median peak field-effect mobility is about 32.5 cm2·V-1·s-1, and the maximum peak field-effect mobility of 38 cm2·V-1·s-1 was achieved in fabricated lateral 4H-SiC MOSFFETs. Projcet supported by the National Natural Science Foundation of China (Grant Nos. 61404098, 61176070, and 61274079), the Doctoral Fund of Ministry of Education of China (Grant Nos. 20110203110010 and 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), and the Key Specific Projects of Ministry of Education of China (Grant No. 625010101).

  17. Gate voltage dependent 1/f noise variance model based on physical noise generation mechanisms in n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Arai, Yukiko; Aoki, Hitoshi; Abe, Fumitaka; Todoroki, Shunichiro; Khatami, Ramin; Kazumi, Masaki; Totsuka, Takuya; Wang, Taifeng; Kobayashi, Haruo

    2015-04-01

    1/f noise is one of the most important characteristics for designing analog/RF circuits including operational amplifiers and oscillators. We have analyzed and developed a novel 1/f noise model in the strong inversion, saturation, and sub-threshold regions based on SPICE2 type model used in any public metal-oxide-semiconductor field-effect transistor (MOSFET) models developed by the University of California, Berkeley. Our model contains two noise generation mechanisms that are mobility and interface trap number fluctuations. Noise variability dependent on gate voltage is also newly implemented in our model. The proposed model has been implemented in BSIM4 model of a SPICE3 compatible circuit simulator. Parameters of the proposed model are extracted with 1/f noise measurements for simulation verifications. The simulation results show excellent agreements between measurement and simulations.

  18. A Self-Aligned InGaAs Quantum-Well Metal-Oxide-Semiconductor Field-Effect Transistor Fabricated through a Lift-Off-Free Front-End Process

    NASA Astrophysics Data System (ADS)

    Lin, Jianqiang; Kim, Tae-Woo; Antoniadis, Dimitri A.; del Alamo, Jesús A.

    2012-06-01

    We present a novel n-type InGaAs quantum-well metal-oxide-semiconductor field-effect transistor (QW-MOSFET) fabricated by a self-aligned gate-last process and investigate relevant Si-like manufacturing issues in future III-V MOSFETs. The device structure features a composite InP/Al2O3 gate barrier with a capacitance equivalent thickness (CET) of 3 nm and non alloyed Mo ohmic contacts. We have found that RIE introduces significant damage to the intrinsic device resulting in poor current drive and subthreshold swing. The effect is largely removed through a thermal annealing step. Thermally annealed QW-MOSFETs exhibit a subthreshold swing of 95 mV/dec, indicative of excellent interfacial characteristics. The peak mobility of the MOSFET is 2780 cm2 V-1 s-1.

  19. Metal-doped semiconductor nanoparticles and methods of synthesis thereof

    NASA Technical Reports Server (NTRS)

    Ren, Zhifeng (Inventor); Wang, Wenzhong (Inventor); Chen, Gang (Inventor); Dresselhaus, Mildred (Inventor); Poudel, Bed (Inventor); Kumar, Shankar (Inventor)

    2009-01-01

    The present invention generally relates to binary or higher order semiconductor nanoparticles doped with a metallic element, and thermoelectric compositions incorporating such nanoparticles. In one aspect, the present invention provides a thermoelectric composition comprising a plurality of nanoparticles each of which includes an alloy matrix formed of a Group IV element and Group VI element and a metallic dopant distributed within the matrix.

  20. Metal-doped semiconductor nanoparticles and methods of synthesis thereof

    DOEpatents

    Ren, Zhifeng [Newton, MA; Chen, Gang [Carlisle, MA; Poudel, Bed [West Newton, MA; Kumar, Shankar [Newton, MA; Wang, Wenzhong [Beijing, CN; Dresselhaus, Mildred [Arlington, MA

    2009-09-08

    The present invention generally relates to binary or higher order semiconductor nanoparticles doped with a metallic element, and thermoelectric compositions incorporating such nanoparticles. In one aspect, the present invention provides a thermoelectric composition comprising a plurality of nanoparticles each of which includes an alloy matrix formed of a Group IV element and Group VI element and a metallic dopant distributed within the matrix.

  1. Semiconductor/High-Tc-Superconductor Hybrid ICs

    NASA Technical Reports Server (NTRS)

    Burns, Michael J.

    1995-01-01

    Hybrid integrated circuits (ICs) containing both Si-based semiconducting and YBa(2)Cu(3)O(7-x) superconducting circuit elements on sapphire substrates developed. Help to prevent diffusion of Cu from superconductors into semiconductors. These hybrid ICs combine superconducting and semiconducting features unavailable in superconducting or semiconducting circuitry alone. For example, complementary metal oxide/semiconductor (CMOS) readout and memory devices integrated with fast-switching Josephson-junction super-conducting logic devices and zero-resistance interconnections.

  2. Understanding the synthesis, performance, and passivation of metal oxide photocathodes

    NASA Astrophysics Data System (ADS)

    Flynn, Cory James

    Metal oxides are ubiquitous in semiconductor technologies for their ease of synthesis, chemical stability, and tunable optical/electronic properties. These properties are especially important to fabricating efficient photoelectrodes for solar-energy applications. To counter inherent problems in these materials, new strategies were developed and successfully implemented on the widely-utilized p-type semiconductor, NiO. As the size of semiconductor materials shrink, the surface-to-volume ratio increases and surface defects dominate the performance of the materials. Surface defects can alter the optical and electronic characteristics of materials by changing the Fermi level, charge-carrier mobility, and surface reactivity. We first present a strategy to increase the electrical mobility of mesoporous metal oxide electrode materials by optimizing shape morphology. Transitioning from nanospheres to hexagonal nanoplatelets increased the charge-carrier mobility by one order of magnitude. We then employed this improved material with a new vapor-phase deposition method termed targeted atomic deposition (TAD) to selectively passivate defect sites in semiconductor nanomaterials. We demonstrated the capabilities of this passivation method by applying a TAD of aluminum onto NiO. By exploiting a temperature-dependent deposition process, we selectively passivated the highly reactive sites in NiO: oxygen dangling bonds associated with Ni vacancies. The TAD treatment completely passivated all measurable surface defects, optically bleached the material, and significantly improved all photovoltaic performance metrics in dye-sensitized solar cells. The technique was proven to be generic to numerous forms of NiO. While the implementation of TAD of Al was successful, the process involved pulsing two precursors to passivate the material. Ideally, the TAD process should require only a single precursor and continuous exposure. We utilized a continuous flow of diborane to perform a TAD of B

  3. Metal-insulator-semiconductor heterostructures for plasmonic hot-carrier optoelectronics.

    PubMed

    García de Arquer, F Pelayo; Konstantatos, Gerasimos

    2015-06-01

    Plasmonic hot-electron devices are attractive candidates for light-energy harvesting and photodetection applications. For solid state devices, the most compact and straightforward architecture is the metal-semiconductor Schottky junction. However convenient, this structure introduces limitations such as the elevated dark current associated to thermionic emission, or constraints for device design due to the finite choice of materials. In this work we theoretically consider the metal-insulator-semiconductor heterojunction as a candidate for plasmonic hot-carrier photodetection and solar cells. The presence of the insulating layer can significantly reduce the dark current, resulting in increased device performance with predicted solar power conversion efficiencies up to 9%. For photodetection, the sensitivity can be extended well into the infrared by a judicious choice of the insulating layer, with up to 300-fold expected enhancement in detectivity.

  4. Metal-Insulator-Semiconductor Diode Consisting of Two-Dimensional Nanomaterials.

    PubMed

    Jeong, Hyun; Oh, Hye Min; Bang, Seungho; Jeong, Hyeon Jun; An, Sung-Jin; Han, Gang Hee; Kim, Hyun; Yun, Seok Joon; Kim, Ki Kang; Park, Jin Cheol; Lee, Young Hee; Lerondel, Gilles; Jeong, Mun Seok

    2016-03-09

    We present a novel metal-insulator-semiconductor (MIS) diode consisting of graphene, hexagonal BN, and monolayer MoS2 for application in ultrathin nanoelectronics. The MIS heterojunction structure was fabricated by vertically stacking layered materials using a simple wet chemical transfer method. The stacking of each layer was confirmed by confocal scanning Raman spectroscopy and device performance was evaluated using current versus voltage (I-V) and photocurrent measurements. We clearly observed better current rectification and much higher current flow in the MIS diode than in the p-n junction and the metal-semiconductor diodes made of layered materials. The I-V characteristic curve of the MIS diode indicates that current flows mainly across interfaces as a result of carrier tunneling. Moreover, we observed considerably high photocurrent from the MIS diode under visible light illumination.

  5. Thermal conductivity switch: Optimal semiconductor/metal melting transition

    NASA Astrophysics Data System (ADS)

    Kim, Kwangnam; Kaviany, Massoud

    2016-10-01

    Scrutinizing distinct solid/liquid (s /l ) and solid/solid (s /s ) phase transitions (passive transitions) for large change in bulk (and homogenous) thermal conductivity, we find the s /l semiconductor/metal (S/M) transition produces the largest dimensionless thermal conductivity switch (TCS) figure of merit ZTCS (change in thermal conductivity divided by smaller conductivity). At melting temperature, the solid phonon and liquid molecular thermal conductivities are comparable and generally small, so the TCS requires localized electron solid and delocalized electron liquid states. For cyclic phase reversibility, the congruent phase transition (no change in composition) is as important as the thermal transport. We identify X Sb and X As (X =Al , Cd, Ga, In, Zn) and describe atomic-structural metrics for large ZTCS, then show the superiority of S/M phonon- to electron-dominated transport melting transition. We use existing experimental results and theoretical and ab initio calculations of the related properties for both phases (including the Kubo-Greenwood and Bridgman formulations of liquid conductivities). The 5 p orbital of Sb contributes to the semiconductor behavior in the solid-phase band gap and upon disorder and bond-length changes in the liquid phase this changes to metallic, creating the large contrast in thermal conductivity. The charge density distribution, electronic localization function, and electron density of states are used to mark this S/M transition. For optimal TCS, we examine the elemental selection from the transition, basic, and semimetals and semiconductor groups. For CdSb, addition of residual Ag suppresses the bipolar conductivity and its ZTCS is over 7, and for Zn3Sb2 it is expected to be over 14, based on the structure and transport properties of the better-known β -Zn4Sb3 . This is the highest ZTCS identified. In addition to the metallic melting, the high ZTCS is due to the electron-poor nature of II-V semiconductors, leading to the

  6. Improved interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with HfTiON as gate dielectric and TaON as passivation interlayer

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Zhu, S. Y.; Huang, Y.; Lai, P. T.

    2013-08-01

    The interfacial and electrical properties of sputtered HfTiON on sulfur-passivated GaAs with or without TaON as interfacial passivation layer (IPL) are investigated. Experimental results show that the GaAs metal-oxide-semiconductor capacitor with HfTiON/TaON stacked gate dielectric annealed at 600 °C exhibits low interface-state density (1.0 × 1012 cm-2 eV-1), small gate leakage current (7.3 × 10-5 A cm-2 at Vg = Vfb + 1 V), small capacitance equivalent thickness (1.65 nm), and large equivalent dielectric constant (26.2). The involved mechanisms lie in the fact that the TaON IPL can effectively block the diffusions of Hf, Ti, and O towards GaAs surface and suppress the formation of interfacial As-As bonds, Ga-/As-oxides, thus unpinning the Femi level at the TaON/GaAs interface and improving the interface quality and electrical properties of the device.

  7. Thin Film Complementary Metal Oxide Semiconductor (CMOS) Device Using a Single-Step Deposition of the Channel Layer

    PubMed Central

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, M. N.; Wang, Q. X.; Alshareef, H. N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n- and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350°C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications. PMID:24728223

  8. Hot-electron-induced hydrogen redistribution and defect generation in metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Buchanan, D. A.; Marwick, A. D.; Dimaria, D. J.; Dori, L.

    1994-09-01

    Redistribution of hydrogen caused by hot-electron injection has been studied by hydrogen depth profiling with N-15 nuclear reaction analysis and electrical methods. Internal photoemission and Fowler-Nordheim injection were used for electron injection into large Al-gate and polysilicon-gate capacitors, respectively. A hydrogen-rich layer (about 10(exp 15) atoms/sq cm) observed at the Al/SiO2 interface was found to serve as the source of hydrogen during the hot-electron stress. A small fraction of the hydrogen released from this layer was found to be retrapped near the Si/SiO2 interface for large electron fluences in the Al-gate samples. Within the limit of detectability, about 10(exp 14)/sq cm, no hydrogen was measured using nuclear reaction analysis in the polysilicon-gate samples. The buildup of hydrogen at the Si/SiO2 interface exhibits a threshold at about 1 MV/cm, consistent with the threshold for electron heating in SiO2. In the 'wet' SiO2 films with purposely introduced excess hydrogen, the rate of hydrogen buildup at the Si/SiO2 interface is found to be significantly greater than that found in the 'dry' films. During electron injection, hydrogen redistribution was also confirmed via the deactivation of boron dopant in the silicon substrate. The generation rates of interface states, neutral electron traps, and anomalous positive charge are found to increase with increasing hydrogen buildup in the substrate and the initial hydrogen concentration in the film. It is concluded that the generation of defects is preceded by the hot-electron-induced release and transport of atomic hydrogen and it is the chemical reaction of this species within the metal-oxide-semiconductor structure that generates the electrically active defects.

  9. Ferroelectric-field-effect-enhanced electroresistance in metal/ferroelectric/semiconductor tunnel junctions

    NASA Astrophysics Data System (ADS)

    Wen, Zheng; Li, Chen; Wu, Di; Li, Aidong; Ming, Naiben

    2013-07-01

    Ferroelectric tunnel junctions (FTJs), composed of two metal electrodes separated by an ultrathin ferroelectric barrier, have attracted much attention as promising candidates for non-volatile resistive memories. Theoretical and experimental works have revealed that the tunnelling resistance switching in FTJs originates mainly from a ferroelectric modulation on the barrier height. However, in these devices, modulation on the barrier width is very limited, although the tunnelling transmittance depends on it exponentially as well. Here we propose a novel tunnelling heterostructure by replacing one of the metal electrodes in a normal FTJ with a heavily doped semiconductor. In these metal/ferroelectric/semiconductor FTJs, not only the height but also the width of the barrier can be electrically modulated as a result of a ferroelectric field effect, leading to a greatly enhanced tunnelling electroresistance. This idea is implemented in Pt/BaTiO3/Nb:SrTiO3 heterostructures, in which an ON/OFF conductance ratio above 104, about one to two orders greater than those reported in normal FTJs, can be achieved at room temperature. The giant tunnelling electroresistance, reliable switching reproducibility and long data retention observed in these metal/ferroelectric/semiconductor FTJs suggest their great potential in non-destructive readout non-volatile memories.

  10. Graphene-based half-metal and spin-semiconductor for spintronic applications.

    PubMed

    Qi, Jingshan; Chen, Xiaofang; Hu, Kaige; Feng, Ji

    2016-03-31

    In this letter we propose a strategy to make graphene become a half-metal or spin-semiconductor by combining the magnetic proximity effects and sublattice symmetry breaking in graphone/graphene and graphone/graphene/BN heterostructures. Exchange interactions lift the spin degeneracy and sublattice symmetry breaking opens a band gap in graphene. More interestingly, the gap opening depends on the spin direction and the competition between the sublattice asymmetry and exchange field determines the system is a half-metal or a spin-semiconductor. By first-principles calculations and a low-energy effective model analysis, we elucidate the underlying physical mechanism of spin-dependent gap opening and spin degeneracy splitting. This offers an alternative practical platform for graphene-based spintronics.

  11. Integration of functional oxides and semiconductors

    NASA Astrophysics Data System (ADS)

    Demkov, Alex

    2012-10-01

    The astounding progress of recent years in the area of oxide deposition has made possible the creation of oxide heterostructures with atomically abrupt interfaces. The ability to control the length scale, strain, and orbital order in these materials structures offers a uniquely rich toolbox for condensed matter physicists. Because the oxide layers are very thin, the physics is often controlled by the interface. The electronic properties of oxide interfaces are governed by a subtle interplay of many competing interactions such as strain, polar catastrophe, electron correlation, and Jahn-Teller coupling, as well as by defects and phase stability. It is not clear which, if any, of these newly discovered systems will find applications in future high-tech devices. However, they undoubtedly hold tremendous promise, particularly when integrated with conventional semiconductors such as Si. In this talk I will review our recent results in theoretical modeling and experimental realization of several epitaxial oxide heterostructures. I will set the stage with a brief discussion of extrinsic magnetoelectric coupling at the interface of a perovskite ferroelectric and conventional ferromagnet. I will then describe our recent successful attempt to integrate anatase, a photo-catalytic polymorph of TiO2, with Si (001) using molecular beam epitaxy. In conclusion, I will talk about strain stabilized ferromagnetism in correlated LaCoO3 (LCO) and monolithic integration of LCO and silicon for possible applications in spintronics. The integration is achieved via the single crystal SrTiO3 (STO) buffer epitaxially grown on Si. Superconducting quantum interference device magnetization measurements show that, unlike the bulk material, the ground state of the strained LaCoO3 on silicon is ferromagnetic with a TC of 85 K.

  12. Analyzing optical properties of thin vanadium oxide films through semiconductor-to-metal phase transition using spectroscopic ellipsometry

    NASA Astrophysics Data System (ADS)

    Sun, Jianing; Pribil, Greg K.

    2017-11-01

    We investigated the optical behaviors of vanadium dioxide (VO2) films through the semiconductor-to-metal (STM) phase transition using spectroscopic ellipsometry. Correlations between film thickness and refractive index were observed resulting from the absorbing nature of these films. Simultaneously analyzing data at multiple temperatures using Kramers-Kronig consistent oscillator models help identify film thickness. Nontrivial variations in resulting optical constants were observed through STM transition. As temperature increases, a clear increase is observed in near infrared absorption due to Drude losses that accompany the transition from semiconducting to metallic phases. Thin films grown on silicon and sapphire substrate present different optical properties and thermal hysteresis due to lattice stress and compositional differences.

  13. Electrical properties of zinc-oxide-based thin-film transistors using strontium-oxide-doped semiconductors

    NASA Astrophysics Data System (ADS)

    Wu, Shao-Hang; Zhang, Nan; Hu, Yong-Sheng; Chen, Hong; Jiang, Da-Peng; Liu, Xing-Yuan

    2015-10-01

    Strontium-zinc-oxide (SrZnO) films forming the semiconductor layers of thin-film transistors (TFTs) are deposited by using ion-assisted electron beam evaporation. Using strontium-oxide-doped semiconductors, the off-state current can be dramatically reduced by three orders of magnitude. This dramatic improvement is attributed to the incorporation of strontium, which suppresses carrier generation, thereby improving the TFT. Additionally, the presence of strontium inhibits the formation of zinc oxide (ZnO) with the hexagonal wurtzite phase and permits the formation of an unusual phase of ZnO, thus significantly changing the surface morphology of ZnO and effectively reducing the trap density of the channel. Project supported by the National Natural Science Foundation of China (Grant No. 6140031454) and the Innovation Program of Chinese Academy of Sciences and State Key Laboratory of Luminescence and Applications.

  14. Ultrasonic fingerprint sensor using a piezoelectric micromachined ultrasonic transducer array integrated with complementary metal oxide semiconductor electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Y.; Fung, S.; Wang, Q.

    2015-06-29

    This paper presents an ultrasonic fingerprint sensor based on a 24 × 8 array of 22 MHz piezoelectric micromachined ultrasonic transducers (PMUTs) with 100 μm pitch, fully integrated with 180 nm complementary metal oxide semiconductor (CMOS) circuitry through eutectic wafer bonding. Each PMUT is directly bonded to a dedicated CMOS receive amplifier, minimizing electrical parasitics and eliminating the need for through-silicon vias. The array frequency response and vibration mode-shape were characterized using laser Doppler vibrometry and verified via finite element method simulation. The array's acoustic output was measured using a hydrophone to be ∼14 kPa with a 28 V input, in reasonable agreement with predication from analyticalmore » calculation. Pulse-echo imaging of a 1D steel grating is demonstrated using electronic scanning of a 20 × 8 sub-array, resulting in 300 mV maximum received amplitude and 5:1 contrast ratio. Because the small size of this array limits the maximum image size, mechanical scanning was used to image a 2D polydimethylsiloxane fingerprint phantom (10 mm × 8 mm) at a 1.2 mm distance from the array.« less

  15. Functional integrity of flexible n-channel metal-oxide-semiconductor field-effect transistors on a reversibly bistable platform

    NASA Astrophysics Data System (ADS)

    Alfaraj, Nasir; Hussain, Aftab M.; Torres Sevilla, Galo A.; Ghoneim, Mohamed T.; Rojas, Jhonathan P.; Aljedaani, Abdulrahman B.; Hussain, Muhammad M.

    2015-10-01

    Flexibility can bring a new dimension to state-of-the-art electronics, such as rollable displays and integrated circuit systems being transformed into more powerful resources. Flexible electronics are typically hosted on polymeric substrates. Such substrates can be bent and rolled up, but cannot be independently fixed at the rigid perpendicular position necessary to realize rollable display-integrated gadgets and electronics. A reversibly bistable material can assume two stable states in a reversible way: flexibly rolled state and independently unbent state. Such materials are used in cycling and biking safety wristbands and a variety of ankle bracelets for orthopedic healthcare. They are often wrapped around an object with high impulsive force loading. Here, we study the effects of cumulative impulsive force loading on thinned (25 μm) flexible silicon-based n-channel metal-oxide-semiconductor field-effect transistor devices housed on a reversibly bistable flexible platform. We found that the transistors have maintained their high performance level up to an accumulated 180 kN of impact force loading. The gate dielectric layers have maintained their reliability, which is evidenced by the low leakage current densities. Also, we observed low variation in the effective electron mobility values, which manifests that the device channels have maintained their carrier transport properties.

  16. Solar hydrogen production by tandem cell system composed of metal oxide semiconductor film photoelectrode and dye-sensitized solar cell

    NASA Astrophysics Data System (ADS)

    Arakawa, H.; Shiraishi, C.; Tatemoto, M.; Kishida, H.; Usui, D.; Suma, A.; Takamisawa, A.; Yamaguchi, T.

    2007-09-01

    Photocatalytic and photoelectrochemical approaches to solar hydrogen production in our group were introduced. In photocatalytic water splitting system using NiO x/ TiO II powder photocatalyst with concentrated Na IICO 3 aqueous solution, solar energy conversion efficiency to H II and O II production (STH efficiency) was 0.016%. In addition, STH efficiency of visible light responding photocatalyst, NiOx/ promoted In 0.9Ni 0.1TaO 4, was estimated at 0.03%. In photoelectrochemical system using an oxide semiconductor film phptoelectrode, STH efficiencies of meosporous TiO II (Anatase) , mesoporous visible light responding S-doped TiO II (Anatase) and WO 3 film were 0.32-0.44% at applied potential of 0.35 V vs NHE, 0.14% at 0.55 V and 0.44% at 0.9 V, respectively. Finally, solar hydrogen production by tandem cell system composed of an oxide semiconductor photoelectrode, a Pt wire counter electrode and a dye-sensitized solar cell (DSC) was investigated. As photoelectrodes, meosporous TiO II (Anatase), mesoporous S-doped TiO II (Anatase), WO 3, BiVO 4 and Fe IIO 3 film were tested. STH efficiency of tandem cell system composed of a WO 3 film photoelectrode, and a two-series-connected DSC (Voc = 1.4 V) was 2.5-2.8%. In conclusion, it is speculated that more than 5% STH efficiency will be obtained by tandem cell system composed of an oxide semiconductor photoelectrode and a two-series-connected DSC in near future. This suggests a cost-effective and practical application of this system for solar hydrogen production.

  17. Metal Oxide/Semiconductor Heterojunctions as Carrier-Selective Contacts for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    Man, Gabriel Jen Shi

    Solar radiation is a vast, distributed, and renewable energy source which Humanity can utilize via the photovoltaic effect. The goal of photovoltaic technology is to minimize the true costs, while maximizing the power conversion efficiency and lifetime of the cell/module. Interface-related approaches to achieving this goal are explored here, for two technologically-important classes of light absorbers: crystalline-silicon (c-Si) and metal halide perovskite (MHP). The simplest solar cell consists of a light absorber, sandwiched between two metals with dissimilar work functions. Carrier-selective contacts (CSC's), which are ubiquitous in modern solar cells, are added to improve the electrical performance. Solar cells require asymmetric carrier transport within the cell, which can be effected via electrostatic and/or effective fields, and CSC's augment the asymmetry by selectively transporting holes to one contact, and electrons to the other contact. The proper design and implementation of a CSC is crucial, as the performance, lifetime, and/or cost reduction of a solar cell can be hampered by a single interface or layer. A framework, consisting of eight core requirements, was developed from first-principles to evaluate the effectiveness of a given CSC. The framework includes some requirements which are well-recognized, such as the need for appropriate band offsets, and some requirements which are not well-recognized at the moment, such as the need for effective valence/conduction band density of states matching between the absorber and CSC. The application of the framework to multiple silicon-based and MHP-based CSC's revealed the difficulties of effectively designing and implementing a CSC. A poly(3-hexylthiophene)/c-Si heterojunction was found to be a near ideal hole-selective contact (HSC). Three metal oxide/c-Si heterojunctions initially expected to yield comparable electron-selective contacts (ESC's), titanium dioxide/c-Si (TiO2/c-Si), zinc oxide/c-Si (Zn

  18. Screenable contact structure and method for semiconductor devices

    DOEpatents

    Ross, Bernd

    1980-08-26

    An ink composition for deposition upon the surface of a semiconductor device to provide a contact area for connection to external circuitry is disclosed, the composition comprising an ink system containing a metal powder, a binder and vehicle, and a metal frit. The ink is screened onto the semiconductor surface in the desired pattern and is heated to a temperature sufficient to cause the metal frit to become liquid. The metal frit dissolves some of the metal powder and densifies the structure by transporting the dissolved metal powder in a liquid sintering process. The sintering process typically may be carried out in any type of atmosphere. A small amount of dopant or semiconductor material may be added to the ink systems to achieve particular results if desired.

  19. Engineering of Metal Oxide Nanoparticles for Application in Electrochemical Devices

    NASA Astrophysics Data System (ADS)

    Santos, Lidia Sofia Leitao

    The growing demand for materials and devices with new functionalities led to the increased interest in the field of nanomaterials and nanotechnologies. Nanoparticles, not only present a reduced size as well as high reactivity, which allows the development of electronic and electrochemical devices with exclusive properties, when compared with thin films. This dissertation aims to explore the development of several nanostructured metal oxides by solvothermal synthesis and its application in different electrochemical devices. Within this broad theme, this study has a specific number of objectives: a) research of the influence of the synthesis parameters to the structure and morphology of the nanoparticles; b) improvement of the performance of the electrochromic devices with the application of the nanoparticles as electrode; c) application of the nanoparticles as probes to sensing devices; and d) production of solution-pro-cessed transistors with a nanostructured metal oxide semiconductor. Regarding the results, several conclusions can be exposed. Solvothermal synthesis shows to be a very versatile method to control the growth and morphology of the nanoparticles. The electrochromic device performance is influenced by the different structures and morphologies of WO3 nanoparticles, mainly due to the surface area and conductivity of the materials. The deposition of the electrochromic layer by inkjet printing allows the patterning of the electrodes without wasting material and without any additional steps. Nanostructured WO3 probes were produced by electrodeposition and drop casting and applied as pH sensor and biosensor, respectively. The good performance and sensitivity of the devices is explained by the high number of electrochemical reactions occurring at the surface of the na-noparticles. GIZO nanoparticles were deposited by spin coating and used in electrolyte-gated transistors, which promotes a good interface between the semiconductor and the dielectric. The

  20. Metal-core/semiconductor-shell nanocones for broadband solar absorption enhancement.

    PubMed

    Zhou, Lin; Yu, Xiaoqiang; Zhu, Jia

    2014-02-12

    Nanostructure-based photovoltaic devices have exhibited several advantages, such as reduced reflection, extraordinary light trapping, and so forth. In particular, semiconductor nanostructures provide optical modes that have strong dependence on the size and geometry. Metallic nanostructures also attract a lot of attention because of the appealing plasmonic effect on the near-field enhancement. In this study, we propose a novel design, the metal-core/semiconductor-shell nanocones with the core radius varying in a linearly gradient style. With a thin layer of semiconductor absorber coated on a metallic cone, such a design can lead to significant and broadband absorption enhancement across the entire visible and near-infrared solar spectrum. As an example of demonstration, a layer of 16 nm thick crystalline silicon (c-Si) coated on a silver nanocone can absorb 27% of standard solar radiation across a broad spectral range of 300-1100 nm, which is equivalent to a 700 nm thick flat c-Si film. Therefore, the absorption enhancement factor approaching the Yablonovitch limit is achieved with this design. The significant absorption enhancement can be ascribed to three types of optical modes, that is, Fabry-Perot modes, plasmonic modes, and hybrid modes that combine the features of the previous two. In addition, the unique nanocone geometry enables the linearly gradient radius of the semiconductor shell, which can support multiple optical resonances, critical for the broadband absorption. Our design may find general usage as elements for the low cost, high efficiency solar conversion and water-splitting devices.

  1. Electrical Switching in Semiconductor-Metal Self-Assembled VO2 Disordered Metamaterial Coatings

    PubMed Central

    Kumar, Sunil; Maury, Francis; Bahlawane, Naoufal

    2016-01-01

    As a strongly correlated metal oxide, VO2 inspires several highly technological applications. The challenging reliable wafer-scale synthesis of high quality polycrystalline VO2 coatings is demonstrated on 4” Si taking advantage of the oxidative sintering of chemically vapor deposited VO2 films. This approach results in films with a semiconductor-metal transition (SMT) quality approaching that of the epitaxial counterpart. SMT occurs with an abrupt electrical resistivity change exceeding three orders of magnitude with a narrow hysteresis width. Spatially resolved infrared and Raman analyses evidence the self-assembly of VO2 disordered metamaterial, compresing monoclinic (M1 and M2) and rutile (R) domains, at the transition temperature region. The M2 mediation of the M1-R transition is spatially confined and related to the localized strain-stabilization of the M2 phase. The presence of the M2 phase is supposed to play a role as a minor semiconducting phase far above the SMT temperature. In terms of application, we show that the VO2 disordered self-assembly of M and R phases is highly stable and can be thermally triggered with high precision using short heating or cooling pulses with adjusted strengths. Such a control enables an accurate and tunable thermal control of the electrical switching. PMID:27883052

  2. Microscale Soft Patterning for Solution Processable Metal Oxide Thin Film Transistors.

    PubMed

    Jung, Sang Wook; Chae, Soo Sang; Park, Jee Ho; Oh, Jin Young; Bhang, Suk Ho; Baik, Hong Koo; Lee, Tae Il

    2016-03-23

    We introduce a microscale soft pattering (MSP) route utilizing contact printing of chemically inert sub-nanometer thick low molecular weight (LMW) poly(dimethylsiloxane) (PDMS) layers. These PDMS layers serve as a release agent layer between the n-type Ohmic metal and metal oxide semiconductors (MOSs) and provide a layer that protects the MOS from water in the surrounding environment. The feasibility of our MSP route was experimentally demonstrated by fabricating solution processable In2O3, IZO, and IGZO TFTs with aluminum (Al), a typical n-type Ohmic metal. We have demonstrated patterning gaps as small as 13 μm. The TFTs fabricated using MSP showed higher field-effect-mobility and lower hysteresis in comparison with those made using conventional photolithography.

  3. Large current modulation and tunneling magnetoresistance change by a side-gate electric field in a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor.

    PubMed

    Kanaki, Toshiki; Yamasaki, Hiroki; Koyama, Tomohiro; Chiba, Daichi; Ohya, Shinobu; Tanaka, Masaaki

    2018-05-08

    A vertical spin metal-oxide-semiconductor field-effect transistor (spin MOSFET) is a promising low-power device for the post scaling era. Here, using a ferromagnetic-semiconductor GaMnAs-based vertical spin MOSFET with a GaAs channel layer, we demonstrate a large drain-source current I DS modulation by a gate-source voltage V GS with a modulation ratio up to 130%, which is the largest value that has ever been reported for vertical spin field-effect transistors thus far. We find that the electric field effect on indirect tunneling via defect states in the GaAs channel layer is responsible for the large I DS modulation. This device shows a tunneling magnetoresistance (TMR) ratio up to ~7%, which is larger than that of the planar-type spin MOSFETs, indicating that I DS can be controlled by the magnetization configuration. Furthermore, we find that the TMR ratio can be modulated by V GS . This result mainly originates from the electric field modulation of the magnetic anisotropy of the GaMnAs ferromagnetic electrodes as well as the potential modulation of the nonmagnetic semiconductor GaAs channel layer. Our findings provide important progress towards high-performance vertical spin MOSFETs.

  4. The dependence of Schottky junction (I-V) characteristics on the metal probe size in nano metal-semiconductor contacts

    NASA Astrophysics Data System (ADS)

    Rezeq, Moh'd.; Ali, Ahmed; Patole, Shashikant P.; Eledlebi, Khouloud; Dey, Ripon Kumar; Cui, Bo

    2018-05-01

    We have studied the dependence of Schottky junction (I-V) characteristics on the metal contact size in metal-semiconductor (M-S) junctions using different metal nanoprobe sizes. The results show strong dependence of (I-V) characteristics on the nanoprobe size when it is in contact with a semiconductor substrate. The results show the evolution from sub-10 nm reversed Schottky diode behavior to the normal diode behavior at 100 nm. These results also indicate the direct correlation between the electric field at the M-S interface and the Schottky rectification behavior. The effect of the metal contact size on nano-Schottky diode structure is clearly demonstrated, which would help in designing a new type of nano-devices at sub-10 nm scale.

  5. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  6. Interfacial and electrical properties of InGaAs metal-oxide-semiconductor capacitor with TiON/TaON multilayer composite gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Liu, L.; Lu, H. H.; Lai, P. T.; Tang, W. M.

    2015-03-01

    InGaAs metal-oxide-semiconductor (MOS) capacitors with composite gate dielectric consisting of Ti-based oxynitride (TiON)/Ta-based oxynitride (TaON) multilayer are fabricated by RF sputtering. The interfacial and electrical properties of the TiON/TaON/InGaAs and TaON/TiON/InGaAs MOS structures are investigated and compared. Experimental results show that the former exhibits lower interface-state density (1.0 × 1012 cm-2 eV-1 at midgap), smaller gate leakage current (9.5 × 10-5 A/cm2 at a gate voltage of 2 V), larger equivalent dielectric constant (19.8), and higher reliability under electrical stress than the latter. The involved mechanism lies in the fact that the ultrathin TaON interlayer deposited on the sulfur-passivated InGaAs surface can effectively reduce the defective states and thus unpin the Femi level at the TaON/InGaAs interface, improving the electrical properties of the device.

  7. Temperature dependence of frequency dispersion in III–V metal-oxide-semiconductor C-V and the capture/emission process of border traps

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vais, Abhitosh, E-mail: Abhitosh.Vais@imec.be; Martens, Koen; DeMeyer, Kristin

    2015-08-03

    This paper presents a detailed investigation of the temperature dependence of frequency dispersion observed in capacitance-voltage (C-V) measurements of III-V metal-oxide-semiconductor (MOS) devices. The dispersion in the accumulation region of the capacitance data is found to change from 4%–9% (per decade frequency) to ∼0% when the temperature is reduced from 300 K to 4 K in a wide range of MOS capacitors with different gate dielectrics and III-V substrates. We show that such significant temperature dependence of C-V frequency dispersion cannot be due to the temperature dependence of channel electrostatics, i.e., carrier density and surface potential. We also show that the temperaturemore » dependence of frequency dispersion, and hence, the capture/emission process of border traps can be modeled by a combination of tunneling and a “temperature-activated” process described by a non-radiative multi-phonon model, instead of a widely believed single-step elastic tunneling process.« less

  8. Ultrathin body GaSb-on-insulator p-channel metal-oxide-semiconductor field-effect transistors on Si fabricated by direct wafer bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    2015-02-16

    We have realized ultrathin body GaSb-on-insulator (GaSb-OI) on Si wafers by direct wafer bonding technology using atomic-layer deposition (ALD) Al{sub 2}O{sub 3} and have demonstrated GaSb-OI p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on Si. A 23-nm-thick GaSb-OI p-MOSFET exhibits the peak effective mobility of ∼76 cm{sup 2}/V s. We have found that the effective hole mobility of the thin-body GaSb-OI p-MOSFETs decreases with a decrease in the GaSb-OI thickness or with an increase in Al{sub 2}O{sub 3} ALD temperature. The InAs passivation of GaSb-OI MOS interfaces can enhance the peak effective mobility up to 159 cm{sup 2}/V s for GaSb-OI p-MOSFETs with themore » 20-nm-thick GaSb layer.« less

  9. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  10. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials.

    PubMed

    Sadeghi, S M; Wing, W J; Gutha, R R; Capps, L

    2017-03-03

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  11. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials

    NASA Astrophysics Data System (ADS)

    Sadeghi, S. M.; Wing, W. J.; Gutha, R. R.; Capps, L.

    2017-03-01

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  12. Metal Composition and Polyethylenimine Doping Capacity Effects on Semiconducting Metal Oxide-Polymer Blend Charge Transport.

    PubMed

    Huang, Wei; Guo, Peijun; Zeng, Li; Li, Ran; Wang, Binghao; Wang, Gang; Zhang, Xinan; Chang, Robert P H; Yu, Junsheng; Bedzyk, Michael J; Marks, Tobin J; Facchetti, Antonio

    2018-04-25

    Charge transport and film microstructure evolution are investigated in a series of polyethylenimine (PEI)-doped (0.0-6.0 wt%) amorphous metal oxide (MO) semiconductor thin film blends. Here, PEI doping generality is broadened from binary In 2 O 3 to ternary (e.g., In+Zn in IZO, In+Ga in IGO) and quaternary (e.g., In+Zn+Ga in IGZO) systems, demonstrating the universality of this approach for polymer electron doping of MO matrices. Systematic comparison of the effects of various metal ions on the electronic transport and film microstructure of these blends are investigated by combined thin-film transistor (TFT) response, AFM, XPS, XRD, X-ray reflectivity, and cross-sectional TEM. Morphological analysis reveals that layered MO film microstructures predominate in PEI-In 2 O 3 , but become less distinct in IGO and are not detectable in IZO and IGZO. TFT charge transport measurements indicate a general coincidence of a peak in carrier mobility (μ peak ) and overall TFT performance at optimal PEI doping concentrations. Optimal PEI loadings that yield μ peak values depend not only on the MO elemental composition but also, equally important, on the metal atomic ratios. By investigating the relationship between the MO energy levels and PEI doping by UPS, it is concluded that the efficiency of PEI electron-donation is highly dependent on the metal oxide matrix work function in cases where film morphology is optimal, as in the IGO compositions. The results of this investigation demonstrate the broad generality and efficacy of PEI electron doping applied to electronically functional metal oxide systems and that the resulting film microstructure, morphology, and energy level modifications are all vital to understanding charge transport in these amorphous oxide blends.

  13. P-Channel InGaN/GaN heterostructure metal-oxide-semiconductor field effect transistor based on polarization-induced two-dimensional hole gas

    PubMed Central

    Zhang, Kexiong; Sumiya, Masatomo; Liao, Meiyong; Koide, Yasuo; Sang, Liwen

    2016-01-01

    The concept of p-channel InGaN/GaN heterostructure field effect transistor (FET) using a two-dimensional hole gas (2DHG) induced by polarization effect is demonstrated. The existence of 2DHG near the lower interface of InGaN/GaN heterostructure is verified by theoretical simulation and capacitance-voltage profiling. The metal-oxide-semiconductor FET (MOSFET) with Al2O3 gate dielectric shows a drain-source current density of 0.51 mA/mm at the gate voltage of −2 V and drain bias of −15 V, an ON/OFF ratio of two orders of magnitude and effective hole mobility of 10 cm2/Vs at room temperature. The normal operation of MOSFET without freeze-out at 8 K further proves that the p-channel behavior is originated from the polarization-induced 2DHG. PMID:27021054

  14. Extraction of carrier mobility and interface trap density in InGaAs metal oxide semiconductor structures using gated Hall method

    NASA Astrophysics Data System (ADS)

    Chidambaram, Thenappan

    III-V semiconductors are potential candidates to replace Si as a channel material in next generation CMOS integrated circuits owing to their superior carrier mobilities. Low density of states (DOS) and typically high interface and border trap densities (Dit) in high mobility group III-V semiconductors provide difficulties in quantification of Dit near the conduction band edge. The trap response above the threshold voltage of a MOSFET can be very fast, and conventional Dit extraction methods, based on capacitance/conductance response (CV methods) of MOS capacitors at frequencies <1MHz, cannot distinguish conducting and trapped carriers. In addition, the CV methods have to deal with high dispersion in the accumulation region that makes it a difficult task to measure the true oxide capacitance, Cox value. Another implication of these properties of III-V interfaces is an ambiguity of determination of electron density in the MOSFET channel. Traditional evaluation of carrier density by integration of the C-V curve, gives incorrect values for D it and mobility. Here we employ gated Hall method to quantify the D it spectrum at the high-K oxide/III-V semiconductor interface for buried and surface channel devices using Hall measurement and capacitance-voltage data. Determination of electron density directly from Hall measurements allows for obtaining true mobility values.

  15. Metal oxide films on metal

    DOEpatents

    Wu, Xin D.; Tiwari, Prabhat

    1995-01-01

    A structure including a thin film of a conductive alkaline earth metal oxide selected from the group consisting of strontium ruthenium trioxide, calcium ruthenium trioxide, barium ruthenium trioxide, lanthanum-strontium cobalt oxide or mixed alkaline earth ruthenium trioxides thereof upon a thin film of a noble metal such as platinum is provided.

  16. Interface Structure of MoO3 on Organic Semiconductors

    PubMed Central

    White, Robin T.; Thibau, Emmanuel S.; Lu, Zheng-Hong

    2016-01-01

    We have systematically studied interface structure formed by vapor-phase deposition of typical transition metal oxide MoO3 on organic semiconductors. Eight organic hole transport materials have been used in this study. Ultraviolet photoelectron spectroscopy and X-ray photoelectron spectroscopy are used to measure the evolution of the physical, chemical and electronic structure of the interfaces at various stages of MoO3 deposition on these organic semiconductor surfaces. For the interface physical structure, it is found that MoO3 diffuses into the underlying organic layer, exhibiting a trend of increasing diffusion with decreasing molecular molar mass. For the interface chemical structure, new carbon and molybdenum core-level states are observed, as a result of interfacial electron transfer from organic semiconductor to MoO3. For the interface electronic structure, energy level alignment is observed in agreement with the universal energy level alignment rule of molecules on metal oxides, despite deposition order inversion. PMID:26880185

  17. Cascadable all-optical inverter based on a nonlinear vertical-cavity semiconductor optical amplifier.

    PubMed

    Zhang, Haijiang; Wen, Pengyue; Esener, Sadik

    2007-07-01

    We report, for the first time to our knowledge, the operation of a cascadable, low-optical-switching-power(~10 microW) small-area (~100 microm(2)) high-speed (80 ps fall time) all-optical inverter. This inverter employs cross-gain modulation, polarization gain anisotropy, and highly nonlinear gain characteristics of an electrically pumped vertical-cavity semiconductor optical amplifier (VCSOA). The measured transfer characteristics of such an optical inverter resemble those of standard electronic metal-oxide semiconductor field-effect transistor-based inverters exhibiting high noise margin and high extinction ratio (~9.3 dB), making VCSOAs an ideal building block for all-optical logic and memory.

  18. Metal-optic and Plasmonic Semiconductor-based Nanolasers

    DTIC Science & Technology

    2012-05-07

    provides a means to integrate laser sources for silicon photonics technology. Using wafer bonding techniques, the metal- clad nanocavity can be integrated...SUPPLEMENTARY NOTES 14. ABSTRACT Over the past few decades, semiconductor lasers have relentlessly followed the path towards miniaturization...Smaller lasers are more energy e cient, are cheaper to make, and open up new applications in sensing and displays, among many other things. Yet, up until

  19. High-performance and scalable metal-chalcogenide semiconductors and devices via chalco-gel routes

    PubMed Central

    Jo, Jeong-Wan; Kim, Hee-Joong; Kwon, Hyuck-In; Kim, Jaekyun; Ahn, Sangdoo; Kim, Yong-Hoon; Lee, Hyung-ik

    2018-01-01

    We report a general strategy for obtaining high-quality, large-area metal-chalcogenide semiconductor films from precursors combining chelated metal salts with chalcoureas or chalcoamides. Using conventional organic solvents, such precursors enable the expeditious formation of chalco-gels, which are easily transformed into the corresponding high-performance metal-chalcogenide thin films with large, uniform areas. Diverse metal chalcogenides and their alloys (MQx: M = Zn, Cd, In, Sb, Pb; Q = S, Se, Te) are successfully synthesized at relatively low processing temperatures (<400°C). The versatility of this scalable route is demonstrated by the fabrication of large-area thin-film transistors (TFTs), optoelectronic devices, and integrated circuits on a 4-inch Si wafer and 2.5-inch borosilicate glass substrates in ambient air using CdS, CdSe, and In2Se3 active layers. The CdSe TFTs exhibit a maximum field-effect mobility greater than 300 cm2 V−1 s−1 with an on/off current ratio of >107 and good operational stability (threshold voltage shift < 0.5 V at a positive gate bias stress of 10 ks). In addition, metal chalcogenide–based phototransistors with a photodetectivity of >1013 Jones and seven-stage ring oscillators operating at a speed of ~2.6 MHz (propagation delay of < 27 ns per stage) are demonstrated. PMID:29662951

  20. Manipulating Conduction in Metal Oxide Semiconductors: Mechanism Investigation and Conductance Tuning in Doped Fe2O3 Hematite and Metal/Ga2O3/Metal Heterostructure

    NASA Astrophysics Data System (ADS)

    Zhao, Bo

    This study aims at understanding the fundamental mechanisms of conduction in several metal oxide semiconductors, namely alpha-Fe2O 3 and beta-Ga2O3, and how it could be tuned to desired values/states to enable a wide range of application. In the first effort, by adding Ti dopant, we successfully turned Fe2O3 from insulating to conductive by fabricated compositionally and structurally well-defined epitaxial alpha-(TixFe1-x)2 O3(0001) films for x ≤ 0.09. All films were grown by oxygen plasma assisted molecular beam epitaxy on Al2O3(0001) sapphire substrate with a buffer layer of Cr2O3 to relax the strain from lattice mismatch. Van der Pauw resistivity and Hall effect measurements reveal carrier concentrations between 1019 and 1020 cm-3 at room temperature and mobilities in the range of 0.1 to 0.6 cm2/V˙s. Such low mobility, unlike conventional band-conduction semiconductor, was attributed to hopping mechanism due to strong electron-phonon interaction in the lattice. More interestingly, conduction mechanism transitions from small-polaron hopping at higher temperatures to variable range hopping at lower temperatures with a transition temperature between 180 to 140 K. Consequently, by adding Ti dopant, conductive Fe 2O3 hematite thin films were achieved with a well-understood conducting mechanism that could guide further device application such as spin transistor and water splitting. In the case of Ga2O3, while having a band gap as high as 5 eV, they are usually conductive for commercially available samples due to unintentional Si doping. However, we discovered the conductance could be repeatedly switched between high resistance state and low resistance state when made into metal/Ga2O3 /metal heterostructure. However, to obtain well controlled switching process with consistent switching voltages and resistances, understanding switching mechanism is the key. In this study, we fabricated resistive switching devices utilizing a Ni/Ga2O3/Ir heterostructure. Bipolar

  1. Effects of substrate voltage on noise characteristics and hole lifetime in SOI metal-oxide-semiconductor field-effect transistor photon detector.

    PubMed

    Putranto, Dedy Septono Catur; Priambodo, Purnomo Sidi; Hartanto, Djoko; Du, Wei; Satoh, Hiroaki; Ono, Atsushi; Inokawa, Hiroshi

    2014-09-08

    Low-frequency noise and hole lifetime in silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistors (MOSFETs) are analyzed, considering their use in photon detection based on single-hole counting. The noise becomes minimum at around the transition point between front- and back-channel operations when the substrate voltage is varied, and increases largely on both negative and positive sides of the substrate voltage showing peculiar Lorentzian (generation-recombination) noise spectra. Hole lifetime is evaluated by the analysis of drain current histogram at different substrate voltages. It is found that the peaks in the histogram corresponding to the larger number of stored holes become higher as the substrate bias becomes larger. This can be attributed to the prolonged lifetime caused by the higher electric field inside the body of SOI MOSFET. It can be concluded that, once the inversion channel is induced for detection of the photo-generated holes, the small absolute substrate bias is favorable for short lifetime and low noise, leading to high-speed operation.

  2. Piezoresistive effect in metal-semiconductor-metal structures on p-type GaN

    NASA Astrophysics Data System (ADS)

    Gaska, R.; Shur, M. S.; Bykhovski, A. D.; Yang, J. W.; Khan, M. A.; Kaminski, V. V.; Soloviov, S. M.

    2000-06-01

    We report on a strong piezoresistive effect in metal-semiconductor-metal structures fabricated on p-type GaN. The maximum measured gauge factor was 260, which is nearly two times larger than for piezoresistive silicon transducers. We attribute this large sensitivity to applied strain to the combination of two mechanisms: (i) a high piezoresistance of bulk p-GaN and (ii) a strong piezoresistive effect in a Schottky contact on p-GaN. The obtained results demonstrate that GaN-based structures can be suitable for stress/pressure sensor applications.

  3. Ultra-large scale AFM of lipid droplet arrays: investigating the ink transfer volume in dip pen nanolithography.

    PubMed

    Förste, Alexander; Pfirrmann, Marco; Sachs, Johannes; Gröger, Roland; Walheim, Stefan; Brinkmann, Falko; Hirtz, Michael; Fuchs, Harald; Schimmel, Thomas

    2015-05-01

    There are only few quantitative studies commenting on the writing process in dip-pen nanolithography with lipids. Lipids are important carrier ink molecules for the delivery of bio-functional patters in bio-nanotechnology. In order to better understand and control the writing process, more information on the transfer of lipid material from the tip to the substrate is needed. The dependence of the transferred ink volume on the dwell time of the tip on the substrate was investigated by topography measurements with an atomic force microscope (AFM) that is characterized by an ultra-large scan range of 800 × 800 μm(2). For this purpose arrays of dots of the phospholipid1,2-dioleoyl-sn-glycero-3-phosphocholine were written onto planar glass substrates and the resulting pattern was imaged by large scan area AFM. Two writing regimes were identified, characterized of either a steady decline or a constant ink volume transfer per dot feature. For the steady state ink transfer, a linear relationship between the dwell time and the dot volume was determined, which is characterized by a flow rate of about 16 femtoliters per second. A dependence of the ink transport from the length of pauses before and in between writing the structures was observed and should be taken into account during pattern design when aiming at best writing homogeneity. The ultra-large scan range of the utilized AFM allowed for a simultaneous study of the entire preparation area of almost 1 mm(2), yielding good statistic results.

  4. Ultra-large scale AFM of lipid droplet arrays: investigating the ink transfer volume in dip pen nanolithography

    NASA Astrophysics Data System (ADS)

    Förste, Alexander; Pfirrmann, Marco; Sachs, Johannes; Gröger, Roland; Walheim, Stefan; Brinkmann, Falko; Hirtz, Michael; Fuchs, Harald; Schimmel, Thomas

    2015-05-01

    There are only few quantitative studies commenting on the writing process in dip-pen nanolithography with lipids. Lipids are important carrier ink molecules for the delivery of bio-functional patters in bio-nanotechnology. In order to better understand and control the writing process, more information on the transfer of lipid material from the tip to the substrate is needed. The dependence of the transferred ink volume on the dwell time of the tip on the substrate was investigated by topography measurements with an atomic force microscope (AFM) that is characterized by an ultra-large scan range of 800 × 800 μm2. For this purpose arrays of dots of the phospholipid1,2-dioleoyl-sn-glycero-3-phosphocholine were written onto planar glass substrates and the resulting pattern was imaged by large scan area AFM. Two writing regimes were identified, characterized of either a steady decline or a constant ink volume transfer per dot feature. For the steady state ink transfer, a linear relationship between the dwell time and the dot volume was determined, which is characterized by a flow rate of about 16 femtoliters per second. A dependence of the ink transport from the length of pauses before and in between writing the structures was observed and should be taken into account during pattern design when aiming at best writing homogeneity. The ultra-large scan range of the utilized AFM allowed for a simultaneous study of the entire preparation area of almost 1 mm2, yielding good statistic results.

  5. Methods for synthesizing metal oxide nanowires

    DOEpatents

    Sunkara, Mahendra Kumar; Kumar, Vivekanand; Kim, Jeong H.; Clark, Ezra Lee

    2016-08-09

    A method of synthesizing a metal oxide nanowire includes the steps of: combining an amount of a transition metal or a transition metal oxide with an amount of an alkali metal compound to produce a mixture; activating a plasma discharge reactor to create a plasma discharge; exposing the mixture to the plasma discharge for a first predetermined time period such that transition metal oxide nanowires are formed; contacting the transition metal oxide nanowires with an acid solution such that an alkali metal ion is exchanged for a hydrogen ion on each of the transition metal oxide nanowires; and exposing the transition metal oxide nanowires to the plasma discharge for a second predetermined time period to thermally anneal the transition metal oxide nanowires. Transition metal oxide nanowires produced using the synthesis methods described herein are also provided.

  6. Metal-organic semiconductor interfacial barrier height determination from internal photoemission signal in spectral response measurements

    NASA Astrophysics Data System (ADS)

    Kumar, Sandeep; Iyer, S. Sundar Kumar

    2017-04-01

    Accurate and convenient evaluation methods of the interfacial barrier ϕb for charge carriers in metal semiconductor (MS) junctions are important for designing and building better opto-electronic devices. This becomes more critical for organic semiconductor devices where a plethora of molecules are in use and standardised models applicable to myriads of material combinations for the different devices may have limited applicability. In this paper, internal photoemission (IPE) from spectral response (SR) in the ultra-violet to near infra-red range of different MS junctions of metal-organic semiconductor-metal (MSM) test structures is used to determine more realistic MS ϕb values. The representative organic semiconductor considered is [6, 6]-phenyl C61 butyric acid methyl ester, and the metals considered are Al and Au. The IPE signals in the SR measurement of the MSM device are identified and separated before it is analysed to estimate ϕb for the MS junction. The analysis of IPE signals under different bias conditions allows the evaluation of ϕb for both the front and back junctions, as well as for symmetric MSM devices.

  7. Terahertz Focusing and Polarization Control in Large-Area Bias-Free Semiconductor Emitters

    NASA Astrophysics Data System (ADS)

    Carthy, Joanna L.; Gow, Paul C.; Berry, Sam A.; Mills, Ben; Apostolopoulos, Vasilis

    2018-03-01

    We show that, when large-area multiplex terahertz semiconductor emitters, that work on diffusion currents and Schottky potentials, are illuminated by ultrashort optical pulses they can radiate a directional electromagnetic terahertz pulse which is controlled by the angular spectrum of the incident optical beam. Using the lens that focuses the incident near-infrared pulse, we have demonstrated THz emission focusing in free space, at the same point where the optical radiation would focus. We investigated the beam waist and Gouy phase shift of the THz emission as a function of frequency. We also show that the polarization profile of the emitted THz can be tailored by the metallic patterning on the semiconductor, demonstrating radial polarization when a circular emitter design is used. Our techniques can be used for fast THz beam steering and mode control for efficiently coupling to waveguides without the need for THz lenses or parabolic mirrors.

  8. Observation of quantum oscillation of work function in ultrathin-metal/semiconductor junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takhar, Kuldeep; Meer, Mudassar; Khachariya, Dolar

    2015-09-15

    Quantization in energy level due to confinement is generally observed for semiconductors. This property is used for various quantum devices, and it helps to improve the characteristics of conventional devices. Here, the authors have demonstrated the quantum size effects in ultrathin metal (Ni) layers sandwiched between two large band-gap materials. The metal work function is found to oscillate as a function of its thickness. The thermionic emission current bears the signature of the oscillating work function, which has a linear relationship with barrier heights. This methodology allows direct observation of quantum oscillations in metals at room temperature using a Schottkymore » diode and electrical measurements using source-measure-units. The observed phenomena can provide additional mechanism to tune the barrier height of metal/semiconductor junctions, which are used for various electronic devices.« less

  9. Negative bias-and-temperature stress-assisted activation of oxygen-vacancy hole traps in 4H-silicon carbide metal-oxide-semiconductor field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ettisserry, D. P., E-mail: deva@umd.edu, E-mail: neil@umd.edu; Goldsman, N., E-mail: deva@umd.edu, E-mail: neil@umd.edu; Akturk, A.

    We use hybrid-functional density functional theory-based Charge Transition Levels (CTLs) to study the electrical activity of near-interfacial oxygen vacancies located in the oxide side of 4H-Silicon Carbide (4H-SiC) power Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs). Based on the “amorphousness” of their local atomic environment, oxygen vacancies are shown to introduce their CTLs either within (permanently electrically active) or outside of (electrically inactive) the 4H-SiC bandgap. The “permanently electrically active” centers are likely to cause threshold voltage (V{sub th}) instability at room temperature. On the other hand, we show that the “electrically inactive” defects could be transformed into various “electrically active” configurations undermore » simultaneous application of negative bias and high temperature stresses. Based on this observation, we present a model for plausible oxygen vacancy defects that could be responsible for the recently observed excessive worsening of V{sub th} instability in 4H-SiC power MOSFETs under high temperature-and-gate bias stress. This model could also explain the recent electrically detected magnetic resonance observations in 4H-SiC MOSFETs.« less

  10. Van der Waals metal-semiconductor junction: Weak Fermi level pinning enables effective tuning of Schottky barrier

    PubMed Central

    Liu, Yuanyue; Stradins, Paul; Wei, Su-Huai

    2016-01-01

    Two-dimensional (2D) semiconductors have shown great potential for electronic and optoelectronic applications. However, their development is limited by a large Schottky barrier (SB) at the metal-semiconductor junction (MSJ), which is difficult to tune by using conventional metals because of the effect of strong Fermi level pinning (FLP). We show that this problem can be overcome by using 2D metals, which are bounded with 2D semiconductors through van der Waals (vdW) interactions. This success relies on a weak FLP at the vdW MSJ, which is attributed to the suppression of metal-induced gap states. Consequently, the SB becomes tunable and can vanish with proper 2D metals (for example, H-NbS2). This work not only offers new insights into the fundamental properties of heterojunctions but also uncovers the great potential of 2D metals for device applications. PMID:27152360

  11. Van der Waals metal-semiconductor junction: Weak Fermi level pinning enables effective tuning of Schottky barrier

    DOE PAGES

    Liu, Yuanyue; Stradins, Paul; Wei, Su -Huai

    2016-04-22

    Two-dimensional (2D) semiconductors have shown great potential for electronic and optoelectronic applications. However, their development is limited by a large Schottky barrier (SB) at the metal-semiconductor junction (MSJ), which is difficult to tune by using conventional metals because of the effect of strong Fermi level pinning (FLP). We show that this problem can be overcome by using 2D metals, which are bounded with 2D semiconductors through van der Waals (vdW) interactions. This success relies on a weak FLP at the vdW MSJ, which is attributed to the suppression of metal-induced gap states. Consequently, the SB becomes tunable and can vanishmore » with proper 2D metals (for example, H-NbS2). This work not only offers new insights into the fundamental properties of heterojunctions but also uncovers the great potential of 2D metals for device applications.« less

  12. Evolution of corundum-structured III-oxide semiconductors: Growth, properties, and devices

    NASA Astrophysics Data System (ADS)

    Fujita, Shizuo; Oda, Masaya; Kaneko, Kentaro; Hitora, Toshimi

    2016-12-01

    The recent progress and development of corundum-structured III-oxide semiconductors are reviewed. They allow bandgap engineering from 3.7 to ∼9 eV and function engineering, leading to highly durable electronic devices and deep ultraviolet optical devices as well as multifunctional devices. Mist chemical vapor deposition can be a simple and safe growth technology and is advantageous for reducing energy and cost for the growth. This is favorable for the wide commercial use of devices at low cost. The III-oxide semiconductors are promising candidates for new devices contributing to sustainable social, economic, and technological development for the future.

  13. Metal/metal oxide doped oxide catalysts having high deNOx selectivity for lean NOx exhaust aftertreatment systems

    DOEpatents

    Park, Paul W.

    2004-03-16

    A lean NOx catalyst and method of preparing the same is disclosed. The lean NOx catalyst includes a ceramic substrate, an oxide support material, preferably .gamma.-alumina, deposited on the substrate and a metal promoter or dopant introduced into the oxide support material. The metal promoters or dopants are selected from the group consisting of indium, gallium, tin, silver, germanium, gold, nickel, cobalt, copper, iron, manganese, molybdenum, chromium, cerium, vanadium, oxides thereof, and combinations thereof. The .gamma.-alumina preferably has a pore volume of from about 0.5 to about 2.0 cc/g; a surface area of between about 80 to 350 m.sup.2 /g; an average pore size diameter of between about 3 to 30 nm; and an impurity level of less than or equal to 0.2 weight percent. In a preferred embodiment the .gamma.-alumina is prepared by a sol-gel method, with the metal doping of the .gamma.-alumina preferably accomplished using an incipient wetness impregnation technique.

  14. Enhancing surface plasmon leakage at the metal/semiconductor interface: towards increased light outcoupling efficiency in organic optoelectronics.

    PubMed

    Kohl, Jesse; Pantina, Joseph A; O'Carroll, Deirdre M

    2014-04-07

    The light outcoupling efficiency of organic light-emitting optoelectronic devices is severely limited by excitation of tightly bound surface plasmon polaritons at the metal electrodes. We present a theoretical study of an organic semiconductor-silver-SiO(2) waveguide and demonstrate that by simple tuning of metal film thickness and the emission regime of the organic semiconductor, a significant fraction of surface plasmon polariton mode amplitude is leaked into the active semiconductor layer, thereby decreasing the amount of optical energy trapped by the metal. At visible wavelengths, mode leakage increases by factors of up to 3.8 and 88 by tuning metal film thickness and by addition of gain, respectively.

  15. Amorphous metallizations for high-temperature semiconductor device applications

    NASA Technical Reports Server (NTRS)

    Wiley, J. D.; Perepezko, J. H.; Nordman, J. E.; Kang-Jin, G.

    1981-01-01

    The initial results of work on a class of semiconductor metallizations which appear to hold promise as primary metallizations and diffusion barriers for high temperature device applications are presented. These metallizations consist of sputter-deposited films of high T sub g amorphous-metal alloys which (primarily because of the absence of grain boundaries) exhibit exceptionally good corrosion-resistance and low diffusion coefficients. Amorphous films of the alloys Ni-Nb, Ni-Mo, W-Si, and Mo-Si were deposited on Si, GaAs, GaP, and various insulating substrates. The films adhere extremely well to the substrates and remain amorphous during thermal cycling to at least 500 C. Rutherford backscattering and Auger electron spectroscopy measurements indicate atomic diffussivities in the 10 to the -19th power sq cm/S range at 450 C.

  16. Training and operation of an integrated neuromorphic network based on metal-oxide memristors.

    PubMed

    Prezioso, M; Merrikh-Bayat, F; Hoskins, B D; Adam, G C; Likharev, K K; Strukov, D B

    2015-05-07

    Despite much progress in semiconductor integrated circuit technology, the extreme complexity of the human cerebral cortex, with its approximately 10(14) synapses, makes the hardware implementation of neuromorphic networks with a comparable number of devices exceptionally challenging. To provide comparable complexity while operating much faster and with manageable power dissipation, networks based on circuits combining complementary metal-oxide-semiconductors (CMOSs) and adjustable two-terminal resistive devices (memristors) have been developed. In such circuits, the usual CMOS stack is augmented with one or several crossbar layers, with memristors at each crosspoint. There have recently been notable improvements in the fabrication of such memristive crossbars and their integration with CMOS circuits, including first demonstrations of their vertical integration. Separately, discrete memristors have been used as artificial synapses in neuromorphic networks. Very recently, such experiments have been extended to crossbar arrays of phase-change memristive devices. The adjustment of such devices, however, requires an additional transistor at each crosspoint, and hence these devices are much harder to scale than metal-oxide memristors, whose nonlinear current-voltage curves enable transistor-free operation. Here we report the experimental implementation of transistor-free metal-oxide memristor crossbars, with device variability sufficiently low to allow operation of integrated neural networks, in a simple network: a single-layer perceptron (an algorithm for linear classification). The network can be taught in situ using a coarse-grain variety of the delta rule algorithm to perform the perfect classification of 3 × 3-pixel black/white images into three classes (representing letters). This demonstration is an important step towards much larger and more complex memristive neuromorphic networks.

  17. Origin of the performances degradation of two-dimensional-based metal-oxide-semiconductor field effect transistors in the sub-10 nm regime: A first-principles study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Anh Khoa Augustin; IMEC, 75 Kapeldreef, B-3001 Leuven; Pourtois, Geoffrey

    2016-01-25

    The impact of the scaling of the channel length on the performances of metal-oxide-semiconductor field effect transistors, based on two-dimensional (2D) channel materials, is theoretically investigated, using density functional theory combined with the non-equilibrium Green's function method. It is found that the scaling of the channel length below 10 nm leads to strong device performance degradations. Our simulations reveal that this degradation is essentially due to the tunneling current flowing between the source and the drain in these aggressively scaled devices. It is shown that this electron tunneling process is modulated by the effective mass of the 2D channel material, andmore » sets the limit of the scaling in future transistor designs.« less

  18. Flexible metal-semiconductor-metal device prototype on wafer-scale thick boron nitride layers grown by MOVPE.

    PubMed

    Li, Xin; Jordan, Matthew B; Ayari, Taha; Sundaram, Suresh; El Gmili, Youssef; Alam, Saiful; Alam, Muhbub; Patriarche, Gilles; Voss, Paul L; Paul Salvestrini, Jean; Ougazzaden, Abdallah

    2017-04-11

    Practical boron nitride (BN) detector applications will require uniform materials over large surface area and thick BN layers. To report important progress toward these technological requirements, 1~2.5 µm-thick BN layers were grown on 2-inch sapphire substrates by metal-organic vapor phase epitaxy (MOVPE). The structural and optical properties were carefully characterized and discussed. The thick layers exhibited strong band-edge absorption near 215 nm. A highly oriented two-dimensional h-BN structure was formed at the film/sapphire interface, which permitted an effective exfoliation of the thick BN film onto other adhesive supports. And this structure resulted in a metal-semiconductor-metal (MSM) device prototype fabricated on BN membrane delaminating from the substrate. MSM photodiode prototype showed low dark current of 2 nA under 100 V, and 100 ± 20% photoconductivity yield for deep UV light illumination. These wafer-scale MOVPE-grown thick BN layers present great potential for the development of deep UV photodetection applications, and even for flexible (opto-) electronics in the future.

  19. Thin film hydrous metal oxide catalysts

    DOEpatents

    Dosch, Robert G.; Stephens, Howard P.

    1995-01-01

    Thin film (<100 nm) hydrous metal oxide catalysts are prepared by 1) synthesis of a hydrous metal oxide, 2) deposition of the hydrous metal oxide upon an inert support surface, 3) ion exchange with catalytically active metals, and 4) activating the hydrous metal oxide catalysts.

  20. Cu2O-based solar cells using oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu2O heterojunction solar cells fabricated using p-type Cu2O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu2O sheets under various deposition conditions using a pulsed laser deposition method. In Cu2O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa2O4 thin-film layer. In most of the Cu2O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga2O3-Al2O3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (Voc) were obtained by using a relatively small amount of MgO or Al2O3, e.g., (ZnO)0.91-(MgO)0.09 and (Ga2O3)0.975-(Al2O3)0.025, respectively. When Cu2O-based heterojunction solar cells were fabricated using Al2O3-Ga2O3-MgO-ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high Voc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu2O heterojunction solar cells fabricated using Na-doped Cu2O (Cu2O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a Voc of 0.84 V were obtained in a MgF2/AZO/n-(Ga2O3-Al2O3)/p-Cu2O:Na heterojunction solar cell fabricated using a Cu2O:Na sheet with a resistivity of approximately 10 Ω·cm and a (Ga0.975Al0

  1. A customized metal oxide semiconductor-based gas sensor array for onion quality evaluation: system development and characterization.

    PubMed

    Konduru, Tharun; Rains, Glen C; Li, Changying

    2015-01-12

    A gas sensor array, consisting of seven Metal Oxide Semiconductor (MOS) sensors that are sensitive to a wide range of organic volatile compounds was developed to detect rotten onions during storage. These MOS sensors were enclosed in a specially designed Teflon chamber equipped with a gas delivery system to pump volatiles from the onion samples into the chamber. The electronic circuit mainly comprised a microcontroller, non-volatile memory chip, and trickle-charge real time clock chip, serial communication chip, and parallel LCD panel. User preferences are communicated with the on-board microcontroller through a graphical user interface developed using LabVIEW. The developed gas sensor array was characterized and the discrimination potential was tested by exposing it to three different concentrations of acetone (ketone), acetonitrile (nitrile), ethyl acetate (ester), and ethanol (alcohol). The gas sensor array could differentiate the four chemicals of same concentrations and different concentrations within the chemical with significant difference. Experiment results also showed that the system was able to discriminate two concentrations (196 and 1964 ppm) of methlypropyl sulfide and two concentrations (145 and 1452 ppm) of 2-nonanone, two key volatile compounds emitted by rotten onions. As a proof of concept, the gas sensor array was able to achieve 89% correct classification of sour skin infected onions. The customized low-cost gas sensor array could be a useful tool to detect onion postharvest diseases in storage.

  2. Statistical analysis of relationship between negative-bias temperature instability and random telegraph noise in small p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Tega, Naoki; Miki, Hiroshi; Mine, Toshiyuki; Ohmori, Kenji; Yamada, Keisaku

    2014-03-01

    It is demonstrated from a statistical perspective that the generation of random telegraph noise (RTN) changes before and after the application of negative-bias temperature instability (NBTI) stress. The NBTI stress generates a large number of permanent interface traps and, at the same time, a large number of RTN traps causing temporary RTN and one-time RTN. The interface trap and the RTN trap show different features in the recovery process. That is, a re-passivation of interface states is the minor cause of the recovery after the NBTI stress, and in contrast, rapid disappearance of the temporary RTN and the one-time RTN is the main cause of the recovery. The RTN traps are less likely to become permanent. This two-type trap, namely, the interface trap and RTN trap, model simply explains NBTI degradation and recovery in scaled p-channel metal-oxide-semiconductor field-effect transistors.

  3. Semiconductor switch geometry with electric field shaping

    DOEpatents

    Booth, R.; Pocha, M.D.

    1994-08-23

    An optoelectric switch is disclosed that utilizes a cylindrically shaped and contoured GaAs medium or other optically active semiconductor medium to couple two cylindrically shaped metal conductors with flat and flared termination points each having an ovoid prominence centrally extending there from. Coupling the truncated ovoid prominence of each conductor with the cylindrically shaped optically active semiconductor causes the semiconductor to cylindrically taper to a triple junction circular line at the base of each prominence where the metal conductor conjoins with the semiconductor and a third medium such as epoxy or air. Tapering the semiconductor at the triple junction inhibits carrier formation and injection at the triple junction and thereby enables greater current carrying capacity through and greater sensitivity of the bulk area of the optically active medium. 10 figs.

  4. Semiconductor switch geometry with electric field shaping

    DOEpatents

    Booth, Rex; Pocha, Michael D.

    1994-01-01

    An optoelectric switch is disclosed that utilizes a cylindrically shaped and contoured GaAs medium or other optically active semiconductor medium to couple two cylindrically shaped metal conductors with flat and flared termination points each having an ovoid prominence centrally extending there from. Coupling the truncated ovoid prominence of each conductor with the cylindrically shaped optically active semiconductor causes the semiconductor to cylindrically taper to a triple junction circular line at the base of each prominence where the metal conductor conjoins with the semiconductor and a third medium such as epoxy or air. Tapering the semiconductor at the triple junction inhibits carrier formation and injection at the triple junction and thereby enables greater current carrying capacity through and greater sensitivity of the bulk area of the optically active medium.

  5. Optical Design of Plant Canopy Measurement System and Fabrication of Two-Dimensional High-Speed Metal-Semiconductor-Metal Photodetector Arrays

    NASA Technical Reports Server (NTRS)

    Sarto, Anthony; VanZeghbroeck, Bart; Vanderbilt, Vern C.

    1996-01-01

    Electrical and optical designs for the prototype plant canopy architecture measurement system, including specified component and parts lists, are presented. Six single Metal-Semiconductor-Metal (MSM) detectors are mounted in high-speed packages.

  6. Semiconductor-to-metal transition in rutile TiO 2 induced by tensile strain

    DOE PAGES

    Benson, Eric E.; Miller, Elisa M.; Nanayakkara, Sanjini U.; ...

    2017-02-10

    Here, we report the first observation of a reversible, degenerate doping of titanium dioxide with strain, which is referred to as a semiconductor-to-metal transition. Application of tensile strain to a ~50 nm film of rutile TiO 2 thermally grown on a superelastic nitinol (NiTi intermetallic) substrate causes reversible degenerate doping as evidenced by electrochemistry, X-ray photoelectron spectroscopy (XPS), and conducting atomic force microscopy (CAFM). Cyclic voltammetry and impedance measurements show behavior characteristic of a highly doped n-type semiconductor for unstrained TiO 2 transitioning to metallic behavior under tensile strain. The transition reverses when strain is removed. Valence band XPS spectramore » show that samples strained to 5% exhibit metallic-like intensity near the Fermi level. Strain also induces a distinct transition in CAFM current-voltage curves from rectifying (typical of an n-type semiconductor) to ohmic (metal-like) behavior. We propose that strain raises the energy distribution of oxygen vacancies ( n-type dopants) near the conduction band and causes an increase in carrier concentration. As the carrier concentration is increased, the width of the depletion region is reduced, which then permits electron tunneling through the space charge barrier resulting in the observed metallic behavior.« less

  7. Preparation methodologies and nano/microstructural evaluation of metal/semiconductor thin films.

    PubMed

    Chen, Zhiwen; Jiao, Zheng; Wu, Minghong; Shek, Chan-Hung; Wu, C M Lawrence; Lai, Joseph K L

    2012-01-01

    Metal/semiconductor thin films are a class of unique materials that are widespread technological applications, particularly in the field of microelectronic devices. Assessment strategies of fractal and tures are of fundamental importance in the development of nano/microdevices. This review presents the preparation methodologies and nano/microstructural evaluation of metal/semiconductor thin films including Au/Ge bilayer films and Pd-Ge alloy thin films, which show in the form of fractals and nanocrystals. Firstly, the extended version of Au/Ge thin films for the fractal crystallization of amorphous Ge and the formation of nanocrystals developed with improved micro- and nanostructured features are described in Section 2. Secondly, the nano/microstructural characteristics of Pd/Ge alloy thin films during annealing have been investigated in detail and described in Section 3. Finally, we will draw the conclusions from the present work as shown in Section 4. It is expected that the preparation methodologies developed and the knowledge of nano/microstructural evolution gained in metal/semiconductor thin films, including Au/Ge bilayer films and Pd-Ge alloy thin films, will provide an important fundamental basis underpinning further interdisciplinary research in these fields such as physics, chemistry, materials science, and nanoscience and nanotechnology, leading to promising exciting opportunities for future technological applications involving these thin films.

  8. Effect of Al-diffusion-induced positive flatband voltage shift on the electrical characteristics of Al-incorporated high-k metal-oxide-semiconductor field-effective transistor

    NASA Astrophysics Data System (ADS)

    Wang, Wenwu; Akiyama, Koji; Mizubayashi, Wataru; Nabatame, Toshihide; Ota, Hiroyuki; Toriumi, Akira

    2009-03-01

    We systematically studied what effect Al diffusion from high-k dielectrics had on the flatband voltage (Vfb) of Al-incorporated high-k gate stacks. An anomalous positive shift fin Vfb with the decreasing equivalent oxide thickness (EOT) of high-k gate stacks is reported. As the SiO2 interfacial layer is aggressively thinned in Al-incorporated HfxAl1-xOy gate stacks with a metal-gate electrode, the Vfb first lies on the well known linear Vfb-EOT plot and deviates toward the positive-voltage direction (Vfb roll-up), followed by shifting toward negative voltage (Vfb roll-off). We demonstrated that the Vfb roll-up behavior remarkably decreases the threshold voltage (Vth) of p-type metal-oxide-semiconductor field-effect transistors (p-MOSFETs), and does not cause severe degradation in the characteristics of hole mobility. The Vfb roll-up behavior, which is independent of gate materials but strongly dependent on high-k dielectrics, was ascribed to variations in fixed charges near the SiO2/Si interface, which are caused by Al diffusion from HfxAl1-xOy through SiO2 to the SiO2/Si interface. These results indicate that anomalous positive shift in Vfb, i.e., Vfb roll-up, should be taken into consideration in quantitatively adjusting Vfb in thin EOT regions and that it could be used to further tune Vth in p-MOSFETs.

  9. Interface traps contribution on transport mechanisms under illumination in metal-oxide-semiconductor structures based on silicon nanocrystals

    NASA Astrophysics Data System (ADS)

    Chatbouri, S.; Troudi, M.; Kalboussi, A.; Souifi, A.

    2018-02-01

    The transport phenomena in metal-oxide-semiconductor (MOS) structures having silicon nanocrystals (Si-NCs) inside the dielectric layer have been investigated, in dark condition and under visible illumination. At first, using deep-level transient spectroscopy (DLTS), we find the presence of series electron traps having very close energy levels (comprised between 0.28 and 0.45 eV) for ours devices (with/without Si-NCs). And a single peak appears at low temperature only for MOS with Si-NCs related to Si-NCs DLTS response. In dark condition, the conduction mechanism is dominated by the thermionic fast emission/capture of charge carriers from the highly doped polysilicon layer to Si-substrate through interface trap states for MOS without Si-NCs. The tunneling of charge carriers from highly poly-Si to Si substrate trough the trapping/detrapping mechanism in the Si-NCs, at low temperature, contributed to the conduction mechanism for MOS with Si-NCs. The light effect on transport mechanisms has been investigated using current-voltage ( I- V), and high frequency capacitance-voltage ( C- V) methods. We have been marked the photoactive trap effect in inversion zone at room temperature in I- V characteristics, which confirm the contribution of photo-generated charge on the transport mechanisms from highly poly-Si to Si substrate trough the photo-trapping/detrapping mechanism in the Si-NCs and interfaces traps levels. These results have been confirmed by an increasing about 10 pF in capacity's values for the C- V characteristics of MOS with Si-NCs, in the inversion region for inverse high voltage applied under photoexcitation at low temperature. These results are helpful to understand the principle of charge transport in dark condition and under illumination, of MOS structures having Si-NCs in the SiO x = 1.5 oxide matrix.

  10. Mesoporous metal oxide microsphere electrode compositions and their methods of making

    DOEpatents

    Paranthaman, Mariappan Parans; Liu, Hansan; Brown, Gilbert M.; Sun, Xiao-Guang; Bi, Zhonghe

    2016-12-06

    Compositions and methods of making are provided for mesoporous metal oxide microspheres electrodes. The mesoporous metal oxide microsphere compositions comprise (a) microspheres with an average diameter between 200 nanometers (nm) and 10 micrometers (.mu.m); (b) mesopores on the surface and interior of the microspheres, wherein the mesopores have an average diameter between 1 nm and 50 nm and the microspheres have a surface area between 50 m.sup.2/g and 500 m.sup.2/g. The methods of making comprise forming composite powders. The methods may also comprise refluxing the composite powders in a basic solution to form an etched powder, washing the etched powder with an acid to form a hydrated metal oxide, and heat-treating the hydrated metal oxide to form mesoporous metal oxide microspheres.

  11. Nonequilibrium carrier dynamics in transition metal dichalcogenide semiconductors

    NASA Astrophysics Data System (ADS)

    Steinhoff, A.; Florian, M.; Rösner, M.; Lorke, M.; Wehling, T. O.; Gies, C.; Jahnke, F.

    2016-09-01

    When exploring new materials for their potential in (opto)electronic device applications, it is important to understand the role of various carrier interaction and scattering processes. In atomically thin transition metal dichalcogenide semiconductors, the Coulomb interaction is known to be much stronger than in quantum wells of conventional semiconductors like GaAs, as witnessed by the 50 times larger exciton binding energy. The question arises, whether this directly translates into equivalently faster carrier-carrier Coulomb scattering of excited carriers. Here we show that a combination of ab initio band-structure and many-body theory predicts Coulomb-mediated carrier relaxation on a sub-100 fs time scale for a wide range of excitation densities, which is less than an order of magnitude faster than in quantum wells.

  12. Process for making a noble metal on tin oxide catalyst

    NASA Technical Reports Server (NTRS)

    Upchurch, Billy T. (Inventor); Davis, Patricia (Inventor); Miller, Irvin M. (Inventor)

    1989-01-01

    A quantity of reagent grade tin metal or compound, chloride-free, and high-surface-area silica spheres are placed in deionized water, followed by deaerating the mixture by boiling and adding an oxidizing agent, such as nitric acid. The nitric acid oxidizes the tin to metastannic acid which coats the spheres because the acid is absorbed on the substrate. The metastannic acid becomes tin oxide upon drying and calcining. The tin-oxide coated silica spheres are then placed in water and boiled. A chloride-free precious metal compound in aqueous solution is then added to the mixture containing the spheres, and the precious metal compound is reduced to a precious metal by use of a suitable reducing agent such as formic acid. Very beneficial results were obtained using the precious metal compound tetraammine platinum(II) hydroxide.

  13. Effects of radiation and temperature on gallium nitride (GaN) metal-semiconductor-metal ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.

    2014-06-01

    The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.

  14. Lithium metal reduction of plutonium oxide to produce plutonium metal

    DOEpatents

    Coops, Melvin S.

    1992-01-01

    A method is described for the chemical reduction of plutonium oxides to plutonium metal by the use of pure lithium metal. Lithium metal is used to reduce plutonium oxide to alpha plutonium metal (alpha-Pu). The lithium oxide by-product is reclaimed by sublimation and converted to the chloride salt, and after electrolysis, is removed as lithium metal. Zinc may be used as a solvent metal to improve thermodynamics of the reduction reaction at lower temperatures. Lithium metal reduction enables plutonium oxide reduction without the production of huge quantities of CaO--CaCl.sub.2 residues normally produced in conventional direct oxide reduction processes.

  15. Characterization, sorption, and exhaustion of metal oxide nanoparticles as metal adsorbents

    NASA Astrophysics Data System (ADS)

    Engates, Karen Elizabeth

    Safe drinking water is paramount to human survival. Current treatments do not adequately remove all metals from solution, are expensive, and use many resources. Metal oxide nanoparticles are ideal sorbents for metals due to their smaller size and increased surface area in comparison to bulk media. With increasing demand for fresh drinking water and recent environmental catastrophes to show how fragile water supplies are, new approaches to water conservation incorporating new technologies like metal oxide nanoparticles should be considered as an alternative method for metal contaminant adsorbents from typical treatment methods. This research evaluated the potential of manufactured iron, anatase, and aluminum nanoparticles (Al2O3, TiO2, Fe2O3) to remove metal contaminants (Pb, Cd, Cu, Ni, Zn) in lab-controlled and natural waters in comparison to their bulk counterparts by focusing on pH, contaminant and adsorbent concentrations, particle size, and exhaustive capabilities. Microscopy techniques (SEM, BET, EDX) were used to characterize the adsorbents. Adsorption experiments were performed using 0.01, 0.1, or 0.5 g/L nanoparticles in pH 8 solution. When results were normalized by mass, nanoparticles adsorbed more than bulk particles but when surface area normalized the opposite was observed. Adsorption was pH-dependent and increased with time and solid concentration. Aluminum oxide was found to be the least acceptable adsorbent for the metals tested, while titanium dioxide anatase (TiO2) and hematite (alpha-Fe2O3) showed great ability to remove individual and multiple metals from pH 8 and natural waters. Intraparticle diffusion was likely part of the complex kinetic process for all metals using Fe2O3 but not TiO 2 nanoparticles within the first hour of adsorption. Adsorption kinetics for all metals tested were described by a modified first order rate equation used to consider the diminishing equilibrium metal concentrations with increasing metal oxides, showing faster

  16. Graphene-supported metal oxide monolith

    DOEpatents

    Worsley, Marcus A.; Baumann, Theodore F.; Biener, Juergen; Biener, Monika A.; Wang, Yinmin; Ye, Jianchao; Tylski, Elijah

    2017-01-10

    A composition comprising at least one graphene-supported metal oxide monolith, said monolith comprising a three-dimensional structure of graphene sheets crosslinked by covalent carbon bonds, wherein the graphene sheets are coated by at least one metal oxide such as iron oxide or titanium oxide. Also provided is an electrode comprising the aforementioned graphene-supported metal oxide monolith, wherein the electrode can be substantially free of any carbon-black and substantially free of any binder.

  17. Improvement of Surge Protection by Using an AlGaN/GaN-Based Metal-Semiconductor-Metal Two-Dimensional Electron Gas Varactor

    NASA Astrophysics Data System (ADS)

    Ferng, Yi-Cherng; Chang, Liann-Be; Das, Atanu; Lin, Ching-Chi; Cheng, Chun-Yu; Kuei, Ping-Yu; Chow, Lee

    2012-12-01

    In this paper, a varactor with metal-semiconductor-metal diodes on top of the (NH4)2S/P2S5-treated AlGaN/GaN two-dimensional electron gas epitaxial structure (MSM-2DEG) is proposed to the surge protection for the first time. The sulfur-treated MSM-2DEG varactor properties, including current-voltage (I-V), capacitance-voltage (C-V), and frequency response of the proposed surge protection circuit, are presented. To verify its capability of surge protection, we replace the metal oxide varistor (MOV) and resistor (R) in a state-of-the-art surge protection circuit with the sulfur-treated MSM-2DEG varactor under the application conditions of system-level surge tests. The measured results show that the proposed surge protection circuit, consisted of a gas discharge arrester (GDA) and a sulfur-treated MSM-2DEG varactor, can suppress an electromagnetic pulse (EMP) voltage of 4000 to 360 V, a reduction of 91%, whereas suppression is to 1780 V, a reduction of 55%, when using only a GDA.

  18. Abrupt Depletion Layer Approximation for the Metal Insulator Semiconductor Diode.

    ERIC Educational Resources Information Center

    Jones, Kenneth

    1979-01-01

    Determines the excess surface change carrier density, surface potential, and relative capacitance of a metal insulator semiconductor diode as a function of the gate voltage, using the precise questions and the equations derived with the abrupt depletion layer approximation. (Author/GA)

  19. Growth and Characterization of Wide Bandgap Semiconductor Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Ghose, Susmita

    Wide bandgap semiconductors are receiving extensive attention due to their exceptional physical and chemical properties making them useful for high efficiency and high power electronic devices. Comparing other conventional wide bandgap materials, monoclinic beta-Ga2O3 also represents an outstanding semiconductor oxide for next generation of UV optoelectronics and high temperature sensors due to its wide band gap ( 4.9eV). This new semiconductor material has higher breakdown voltage (8MV/cm) and n-type conductivity which make it more suitable for potential application as high power electronics. The properties and potential applications of these wide bandgap materials have not yet fully explored. In this study, the growth and characterization of single crystal beta-Ga2O3 thin films grown on c-plane sapphire (Al2O3) substrate using two different techniques; molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) techniques has been investigated. The influence of the growth parameters of MBE and PLD on crystalline quality and surface has been explored. Two methods have been used to grow Ga2O3 using MBE; one method is to use elemental Ga and the second is the use of a polycrystalline Ga2O3 compound source with and without an oxygen source. Using the elemental Ga source, growth rate of beta-Ga2O3 thin films was limited due to the formation and desorption of Ga2O molecules. In order to mitigate this problem, a compound Ga2O3 source has been introduced and used for the growth of crystalline beta-Ga2O 3 thin films without the need for additional oxygen since this source produces Ga-O molecules and additional oxygen. Two different alloys (InGa) 2O3 and (AlGa)2O3 has been grown on c-plane sapphire substrate by pulsed laser deposition technique to tune the bandgap of the oxide thin films from 3.5-8.6 eV suitable for applications such as wavelength-tunable optical devices, solid-state lighting and high electron mobility transistors (HEMTs). The crystallinity, chemical

  20. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  1. Metal Oxide Nanomaterial QNAR Models: Available Structural Descriptors and Understanding of Toxicity Mechanisms

    PubMed Central

    Ying, Jiali; Zhang, Ting; Tang, Meng

    2015-01-01

    Metal oxide nanomaterials are widely used in various areas; however, the divergent published toxicology data makes it difficult to determine whether there is a risk associated with exposure to metal oxide nanomaterials. The application of quantitative structure activity relationship (QSAR) modeling in metal oxide nanomaterials toxicity studies can reduce the need for time-consuming and resource-intensive nanotoxicity tests. The nanostructure and inorganic composition of metal oxide nanomaterials makes this approach different from classical QSAR study; this review lists and classifies some structural descriptors, such as size, cation charge, and band gap energy, in recent metal oxide nanomaterials quantitative nanostructure activity relationship (QNAR) studies and discusses the mechanism of metal oxide nanomaterials toxicity based on these descriptors and traditional nanotoxicity tests. PMID:28347085

  2. Metal oxide nanostructures with hierarchical morphology

    DOEpatents

    Ren, Zhifeng; Lao, Jing Yu; Banerjee, Debasish

    2007-11-13

    The present invention relates generally to metal oxide materials with varied symmetrical nanostructure morphologies. In particular, the present invention provides metal oxide materials comprising one or more metallic oxides with three-dimensionally ordered nanostructural morphologies, including hierarchical morphologies. The present invention also provides methods for producing such metal oxide materials.

  3. Electroactive Nanoporous Metal Oxides and Chalcogenides by Chemical Design

    PubMed Central

    2017-01-01

    The archetypal silica- and aluminosilicate-based zeolite-type materials are renowned for wide-ranging applications in heterogeneous catalysis, gas-separation and ion-exchange. Their compositional space can be expanded to include nanoporous metal chalcogenides, exemplified by germanium and tin sulfides and selenides. By comparison with the properties of bulk metal dichalcogenides and their 2D derivatives, these open-framework analogues may be viewed as three-dimensional semiconductors filled with nanometer voids. Applications exist in a range of molecule size and shape discriminating devices. However, what is the electronic structure of nanoporous metal chalcogenides? Herein, materials modeling is used to describe the properties of a homologous series of nanoporous metal chalcogenides denoted np-MX2, where M = Si, Ge, Sn, Pb, and X = O, S, Se, Te, with Sodalite, LTA and aluminum chromium phosphate-1 structure types. Depending on the choice of metal and anion their properties can be tuned from insulators to semiconductors to metals with additional modification achieved through doping, solid solutions, and inclusion (with fullerene, quantum dots, and hole transport materials). These systems form the basis of a new branch of semiconductor nanochemistry in three dimensions. PMID:28572706

  4. Multi-frequency inversion-charge pumping for charge separation and mobility analysis in high-k/InGaAs metal-oxide-semiconductor field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Djara, V.; Cherkaoui, K.; Negara, M. A.

    2015-11-28

    An alternative multi-frequency inversion-charge pumping (MFICP) technique was developed to directly separate the inversion charge density (N{sub inv}) from the trapped charge density in high-k/InGaAs metal-oxide-semiconductor field-effect transistors (MOSFETs). This approach relies on the fitting of the frequency response of border traps, obtained from inversion-charge pumping measurements performed over a wide range of frequencies at room temperature on a single MOSFET, using a modified charge trapping model. The obtained model yielded the capture time constant and density of border traps located at energy levels aligned with the InGaAs conduction band. Moreover, the combination of MFICP and pulsed I{sub d}-V{sub g}more » measurements enabled an accurate effective mobility vs N{sub inv} extraction and analysis. The data obtained using the MFICP approach are consistent with the most recent reports on high-k/InGaAs.« less

  5. Improved interface properties of Ge metal-oxide-semiconductor capacitor with TaTiO gate dielectric by using in situ TaON passivation interlayer

    NASA Astrophysics Data System (ADS)

    Ji, F.; Xu, J. P.; Liu, J. G.; Li, C. X.; Lai, P. T.

    2011-05-01

    TaON is in situ formed as a passivating interlayer in Ge metal-oxide-semiconductor (MOS) capacitors with high-k TaTiO gate dielectric fabricated simply by alternate sputtering of Ta and Ti. Also, postdeposition annealing is performed in wet N2 to suppress the growth of unstable GeOx at the Ge surface. As a result, excellent electrical properties of the Ge MOS devices are demonstrated, such as high equivalent dielectric constant (22.1), low interface-state density (7.3×1011 cm-2 eV), small gate leakage current (8.6×10-4 A cm-2 at Vg-Vfb=1 V), and high device reliability. Transmission electron microscopy and x-ray photoelectron spectroscopy support that all these should be attributed to the fact that the nitrogen barrier in the TaON interlayer can effectively block the interdiffusions of Ge and Ta, and the wet-N2 anneal can significantly suppress the growth of unstable low-k GeOx.

  6. Optimization of Vertical Double-Diffused Metal-Oxide Semiconductor (VDMOS) Power Transistor Structure for Use in High Frequencies and Medical Devices

    PubMed Central

    Farhadi, Rozita; Farhadi, Bita

    2014-01-01

    Power transistors, such as the vertical, double-diffused, metal-oxide semiconductor (VDMOS), are used extensively in the amplifier circuits of medical devices. The aim of this research was to construct a VDMOS power transistor with an optimized structure to enhance the operation of medical devices. First, boron was implanted in silicon by implanting unclamped inductive switching (UIS) and a Faraday shield. The Faraday shield was implanted in order to replace the gate-field parasitic capacitor on the entry part of the device. Also, implanting the UIS was used in order to decrease the effect of parasitic bipolar junction transistor (BJT) of the VDMOS power transistor. The research tool used in this study was Silvaco software. By decreasing the transistor entry resistance in the optimized VDMOS structure, power losses and noise at the entry of the transistor were decreased, and, by increasing the breakdown voltage, the lifetime of the VDMOS transistor lifetime was increased, which resulted in increasing drain flow and decreasing Ron. This consequently resulted in enhancing the operation of high-frequency medical devices that use transistors, such as Radio Frequency (RF) and electrocardiograph machines. PMID:25763152

  7. Optimization of Vertical Double-Diffused Metal-Oxide Semiconductor (VDMOS) Power Transistor Structure for Use in High Frequencies and Medical Devices.

    PubMed

    Farhadi, Rozita; Farhadi, Bita

    2014-01-01

    Power transistors, such as the vertical, double-diffused, metal-oxide semiconductor (VDMOS), are used extensively in the amplifier circuits of medical devices. The aim of this research was to construct a VDMOS power transistor with an optimized structure to enhance the operation of medical devices. First, boron was implanted in silicon by implanting unclamped inductive switching (UIS) and a Faraday shield. The Faraday shield was implanted in order to replace the gate-field parasitic capacitor on the entry part of the device. Also, implanting the UIS was used in order to decrease the effect of parasitic bipolar junction transistor (BJT) of the VDMOS power transistor. The research tool used in this study was Silvaco software. By decreasing the transistor entry resistance in the optimized VDMOS structure, power losses and noise at the entry of the transistor were decreased, and, by increasing the breakdown voltage, the lifetime of the VDMOS transistor lifetime was increased, which resulted in increasing drain flow and decreasing Ron. This consequently resulted in enhancing the operation of high-frequency medical devices that use transistors, such as Radio Frequency (RF) and electrocardiograph machines.

  8. Thin film three-dimensional topological insulator metal-oxide-semiconductor field-effect-transistors: A candidate for sub-10 nm devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akhavan, N. D., E-mail: nima.dehdashti@uwa.edu.au; Jolley, G.; Umana-Membreno, G. A.

    2014-08-28

    Three-dimensional (3D) topological insulators (TI) are a new state of quantum matter in which surface states reside in the bulk insulating energy bandgap and are protected by time-reversal symmetry. It is possible to create an energy bandgap as a consequence of the interaction between the conduction band and valence band surface states from the opposite surfaces of a TI thin film, and the width of the bandgap can be controlled by the thin film thickness. The formation of an energy bandgap raises the possibility of thin-film TI-based metal-oxide-semiconductor field-effect-transistors (MOSFETs). In this paper, we explore the performance of MOSFETs basedmore » on thin film 3D-TI structures by employing quantum ballistic transport simulations using the effective continuous Hamiltonian with fitting parameters extracted from ab-initio calculations. We demonstrate that thin film transistors based on a 3D-TI structure provide similar electrical characteristics compared to a Si-MOSFET for gate lengths down to 10 nm. Thus, such a device can be a potential candidate to replace Si-based MOSFETs in the sub-10 nm regime.« less

  9. Mesoporous metal oxide graphene nanocomposite materials

    DOEpatents

    Liu, Jun; Aksay, Ilhan A.; Kou, Rong; Wang, Donghai

    2016-05-24

    A nanocomposite material formed of graphene and a mesoporous metal oxide having a demonstrated specific capacity of more than 200 F/g with particular utility when employed in supercapacitor applications. A method for making these nanocomposite materials by first forming a mixture of graphene, a surfactant, and a metal oxide precursor, precipitating the metal oxide precursor with the surfactant from the mixture to form a mesoporous metal oxide. The mesoporous metal oxide is then deposited onto a surface of the graphene.

  10. Barrier height enhancement of metal/semiconductor contact by an enzyme biofilm interlayer

    NASA Astrophysics Data System (ADS)

    Ocak, Yusuf Selim; Gul Guven, Reyhan; Tombak, Ahmet; Kilicoglu, Tahsin; Guven, Kemal; Dogru, Mehmet

    2013-06-01

    A metal/interlayer/semiconductor (Al/enzyme/p-Si) MIS device was fabricated using α-amylase enzyme as a thin biofilm interlayer. It was observed that the device showed an excellent rectifying behavior and the barrier height value of 0.78 eV for Al/α-amylase/p-Si was meaningfully larger than the one of 0.58 eV for conventional Al/p-Si metal/semiconductor (MS) contact. Enhancement of the interfacial potential barrier of Al/p-Si MS diode was realized using enzyme interlayer by influencing the space charge region of Si semiconductor. The electrical properties of the structure were executed by the help of current-voltage and capacitance-voltage measurements. The photovoltaic properties of the structure were executed under a solar simulator with AM1.5 global filter between 40 and 100 mW/cm2 illumination conditions. It was also reported that the α-amylase enzyme produced from Bacillus licheniformis had a 3.65 eV band gap value obtained from optical method.

  11. Schottky barrier at graphene/metal oxide interfaces: insight from first-principles calculations

    NASA Astrophysics Data System (ADS)

    Cheng, Kai; Han, Nannan; Su, Yan; Zhang, Junfeng; Zhao, Jijun

    2017-02-01

    Anode materials play an important role in determining the performance of lithium ion batteries. In experiment, graphene (GR)/metal oxide (MO) composites possess excellent electrochemical properties and are promising anode materials. Here we perform density functional theory calculations to explore the interfacial interaction between GR and MO. Our result reveals generally weak physical interactions between GR and several MOs (including Cu2O, NiO). The Schottky barrier height (SBH) in these metal/semiconductor heterostructures are computed using the macroscopically averaged electrostatic potential method, and the role of interfacial dipole is discussed. The calculated SBHs below 1 eV suggest low contact resistance; thus these GR/MO composites are favorable anode materials for better lithium ion batteries.

  12. Schottky barrier at graphene/metal oxide interfaces: insight from first-principles calculations.

    PubMed

    Cheng, Kai; Han, Nannan; Su, Yan; Zhang, Junfeng; Zhao, Jijun

    2017-02-06

    Anode materials play an important role in determining the performance of lithium ion batteries. In experiment, graphene (GR)/metal oxide (MO) composites possess excellent electrochemical properties and are promising anode materials. Here we perform density functional theory calculations to explore the interfacial interaction between GR and MO. Our result reveals generally weak physical interactions between GR and several MOs (including Cu2O, NiO). The Schottky barrier height (SBH) in these metal/semiconductor heterostructures are computed using the macroscopically averaged electrostatic potential method, and the role of interfacial dipole is discussed. The calculated SBHs below 1 eV suggest low contact resistance; thus these GR/MO composites are favorable anode materials for better lithium ion batteries.

  13. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    PubMed

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  14. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  15. Effect of proton irradiation dose on InAlN/GaN metal-oxide semiconductor high electron mobility transistors with Al 2O 3 gate oxide

    DOE PAGES

    Ahn, Shihyun; Kim, Byung -Jae; Lin, Yi -Hsuan; ...

    2016-07-26

    The effects of proton irradiation on the dc performance of InAlN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) with Al 2O 3 as the gate oxide were investigated. The InAlN/GaN MOSHEMTs were irradiated with doses ranging from 1×10 13 to 1×10 15cm –2 at a fixed energy of 5MeV. There was minimal damage induced in the two dimensional electron gas at the lowest irradiation dose with no measurable increase in sheet resistance, whereas a 9.7% increase of the sheet resistance was observed at the highest irradiation dose. By sharp contrast, all irradiation doses created more severe degradation in the Ohmic metalmore » contacts, with increases of specific contact resistance from 54% to 114% over the range of doses investigated. These resulted in source-drain current–voltage decreases ranging from 96 to 242 mA/mm over this dose range. The trap density determined from temperature dependent drain current subthreshold swing measurements increased from 1.6 × 10 13 cm –2 V –1 for the reference MOSHEMTs to 6.7 × 10 13 cm –2 V –1 for devices irradiated with the highest dose. In conclusion, the carrier removal rate was 1287 ± 64 cm –1, higher than the authors previously observed in AlGaN/GaN MOSHEMTs for the same proton energy and consistent with the lower average bond energy of the InAlN.« less

  16. Pulsed photonic fabrication of nanostructured metal oxide thin films

    NASA Astrophysics Data System (ADS)

    Bourgeois, Briley B.; Luo, Sijun; Riggs, Brian C.; Adireddy, Shiva; Chrisey, Douglas B.

    2017-09-01

    Nanostructured metal oxide thin films with a large specific surface area are preferable for practical device applications in energy conversion and storage. Herein, we report instantaneous (milliseconds) photonic synthesis of three-dimensional (3-D) nanostructured metal oxide thin films through the pulsed photoinitiated pyrolysis of organometallic precursor films made by chemical solution deposition. High wall-plug efficiency-pulsed photonic irradiation (xenon flash lamp, pulse width of 1.93 ms, fluence of 7.7 J/cm2 and frequency of 1.2 Hz) is used for scalable photonic processing. The photothermal effect of subsequent pulses rapidly improves the crystalline quality of nanocrystalline metal oxide thin films in minutes. The following paper highlights pulsed photonic fabrication of 3-D nanostructured TiO2, Co3O4, and Fe2O3 thin films, exemplifying a promising new method for the low-cost and high-throughput manufacturing of nanostructured metal oxide thin films for energy applications.

  17. Tuning the p-type Schottky barrier in 2D metal/semiconductor interface:boron-sheet on MoSe2, and WSe2

    NASA Astrophysics Data System (ADS)

    Couto, W. R. M.; Miwa, R. H.; Fazzio, A.

    2017-10-01

    Van der Waals (vdW) metal/semiconductor heterostructures have been investigated through first-principles calculations. We have considered the recently synthesized borophene (Mannix et al 2015 Science 350 1513), and the planar boron sheets (S1 and S2) (Feng et al 2016 Nat. Chem. 8 563) as the 2D metal layer, and the transition metal dichalcogenides (TMDCs) MoSe2, and WSe2 as the semiconductor monolayer. We find that the energetic stability of those 2D metal/semiconductor heterojunctions is mostly ruled by the vdW interactions; however, chemical interactions also take place in borophene/TMDC. The electronic charge transfer at the metal/semiconductor interface has been mapped, where we find a a net charge transfer from the TMDCs to the boron sheets. Further electronic structure calculations reveal that the metal/semiconductor interfaces, composed by planar boron sheets S1 and S2, present a p-type Schottky barrier which can be tuned to a p-type ohmic contact by an external electric field.

  18. Effects of Rare Earth Metals on Steel Microstructures

    PubMed Central

    Pan, Fei; Zhang, Jian; Chen, Hao-Long; Su, Yen-Hsun; Kuo, Chia-Liang; Su, Yen-Hao; Chen, Shin-Hau; Lin, Kuan-Ju; Hsieh, Ping-Hung; Hwang, Weng-Sing

    2016-01-01

    Rare earth metals are used in semiconductors, solar cells and catalysts. This review focuses on the background of oxide metallurgy technologies, the chemical and physical properties of rare earth (RE) metals, the background of oxide metallurgy, the functions of RE metals in steelmaking, and the influences of RE metals on steel microstructures. Future prospects for RE metal applications in steelmaking are also presented. PMID:28773545

  19. Metal Oxide Sensors for Electronic Noses and Their Application to Food Analysis

    PubMed Central

    Berna, Amalia

    2010-01-01

    Electronic noses (E-noses) use various types of electronic gas sensors that have partial specificity. This review focuses on commercial and experimental E-noses that use metal oxide semi-conductors. The review covers quality control applications to food and beverages, including determination of freshness and identification of contaminants or adulteration. Applications of E-noses to a wide range of foods and beverages are considered, including: meat, fish, grains, alcoholic drinks, non-alcoholic drinks, fruits, milk and dairy products, olive oils, nuts, fresh vegetables and eggs. PMID:22319332

  20. A Customized Metal Oxide Semiconductor-Based Gas Sensor Array for Onion Quality Evaluation: System Development and Characterization

    PubMed Central

    Konduru, Tharun; Rains, Glen C.; Li, Changying

    2015-01-01

    A gas sensor array, consisting of seven Metal Oxide Semiconductor (MOS) sensors that are sensitive to a wide range of organic volatile compounds was developed to detect rotten onions during storage. These MOS sensors were enclosed in a specially designed Teflon chamber equipped with a gas delivery system to pump volatiles from the onion samples into the chamber. The electronic circuit mainly comprised a microcontroller, non-volatile memory chip, and trickle-charge real time clock chip, serial communication chip, and parallel LCD panel. User preferences are communicated with the on-board microcontroller through a graphical user interface developed using LabVIEW. The developed gas sensor array was characterized and the discrimination potential was tested by exposing it to three different concentrations of acetone (ketone), acetonitrile (nitrile), ethyl acetate (ester), and ethanol (alcohol). The gas sensor array could differentiate the four chemicals of same concentrations and different concentrations within the chemical with significant difference. Experiment results also showed that the system was able to discriminate two concentrations (196 and 1964 ppm) of methlypropyl sulfide and two concentrations (145 and 1452 ppm) of 2-nonanone, two key volatile compounds emitted by rotten onions. As a proof of concept, the gas sensor array was able to achieve 89% correct classification of sour skin infected onions. The customized low-cost gas sensor array could be a useful tool to detect onion postharvest diseases in storage. PMID:25587975

  1. Method for plating with metal oxides

    DOEpatents

    Silver, Gary L.; Martin, Frank S.

    1994-08-23

    A method of plating hydrous metal oxides on at least one substrate, which method is indifferent to the electrochemical properties of the substrate, and comprises reacting metallic ions in aqueous solution with an appropriate oxidizing agent such as sodium hypochlorite or calcium sulfite with oxygen under suitable conditions of pH and concentration such that oxidation and precipitation of metal oxide are sufficiently slow to allow satisfactory plating of metal oxide on the substrate.

  2. Electrical Characterization of Semiconductor Materials and Devices

    NASA Astrophysics Data System (ADS)

    Deen, M.; Pascal, Fabien

    Semiconductor materials and devices continue to occupy a preeminent technological position due to their importance when building integrated electronic systems used in a wide range of applications from computers, cell-phones, personal digital assistants, digital cameras and electronic entertainment systems, to electronic instrumentation for medical diagnositics and environmental monitoring. Key ingredients of this technological dominance have been the rapid advances made in the quality and processing of materials - semiconductors, conductors and dielectrics - which have given metal oxide semiconductor device technology its important characteristics of negligible standby power dissipation, good input-output isolation, surface potential control and reliable operation. However, when assessing material quality and device reliability, it is important to have fast, nondestructive, accurate and easy-to-use electrical characterization techniques available, so that important parameters such as carrier doping density, type and mobility of carriers, interface quality, oxide trap density, semiconductor bulk defect density, contact and other parasitic resistances and oxide electrical integrity can be determined. This chapter describes some of the more widely employed and popular techniques that are used to determine these important parameters. The techniques presented in this chapter range in both complexity and test structure requirements from simple current-voltage measurements to more sophisticated low-frequency noise, charge pumping and deep-level transient spectroscopy techniques.

  3. Method for plating with metal oxides

    DOEpatents

    Silver, G.L.; Martin, F.S.

    1994-08-23

    A method is disclosed of plating hydrous metal oxides on at least one substrate, which method is indifferent to the electrochemical properties of the substrate, and comprises reacting metallic ions in aqueous solution with an appropriate oxidizing agent such as sodium hypochlorite or calcium sulfite with oxygen under suitable conditions of pH and concentration such that oxidation and precipitation of metal oxide are sufficiently slow to allow satisfactory plating of metal oxide on the substrate. 1 fig.

  4. Oxide film on metal substrate reduced to form metal-oxide-metal layer structure

    NASA Technical Reports Server (NTRS)

    Youngdahl, C. A.

    1967-01-01

    Electrically conductive layer of zirconium on a zirconium-oxide film residing on a zirconium substrate is formed by reducing the oxide in a sodium-calcium solution. The reduced metal remains on the oxide surface as an adherent layer and seems to form a barrier that inhibits further reaction.

  5. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  6. 3D Architectured Graphene/Metal Oxide Hybrids for Gas Sensors: A Review

    PubMed Central

    Xia, Yi; Li, Ran; Chen, Ruosong; Wang, Jing; Xiang, Lan

    2018-01-01

    Graphene/metal oxide-based materials have been demonstrated as promising candidates for gas sensing applications due to the enhanced sensing performance and synergetic effects of the two components. Plenty of metal oxides such as SnO2, ZnO, WO3, etc. have been hybridized with graphene to improve the gas sensing properties. However, graphene/metal oxide nanohybrid- based gas sensors still have several limitations in practical application such as the insufficient sensitivity and response rate, and long recovery time in some cases. To achieve higher sensing performances of graphene/metal oxides nanocomposites, many recent efforts have been devoted to the controllable synthesis of 3D graphene/metal oxides architectures owing to their large surface area and well-organized structure for the enhanced gas adsorption/diffusion on sensing films. This review summarizes recent advances in the synthesis, assembly, and applications of 3D architectured graphene/metal oxide hybrids for gas sensing. PMID:29735951

  7. Potentiometric Dye Imaging for Pheochromocytoma and Cortical Neurons with a Novel Measurement System Using an Integrated Complementary Metal-Oxide-Semiconductor Imaging Device

    NASA Astrophysics Data System (ADS)

    Kobayashi, Takuma; Tagawa, Ayato; Noda, Toshihiko; Sasagawa, Kiyotaka; Tokuda, Takashi; Hatanaka, Yumiko; Tamura, Hideki; Ishikawa, Yasuyuki; Shiosaka, Sadao; Ohta, Jun

    2010-11-01

    The combination of optical imaging with voltage-sensitive dyes is a powerful tool for studying the spatiotemporal patterns of neural activity and understanding the neural networks of the brain. To visualize the potential status of multiple neurons simultaneously using a compact instrument with high density and a wide range, we present a novel measurement system using an implantable biomedical photonic LSI device with a red absorptive light filter for voltage-sensitive dye imaging (BpLSI-red). The BpLSI-red was developed for sensing fluorescence by the on-chip LSI, which was designed by using complementary metal-oxide-semiconductor (CMOS) technology. A micro-electro-mechanical system (MEMS) microfabrication technique was used to postprocess the CMOS sensor chip; light-emitting diodes (LEDs) were integrated for illumination and to enable long-term cell culture. Using the device, we succeeded in visualizing the membrane potential of 2000-3000 cells and the process of depolarization of pheochromocytoma cells (PC12 cells) and mouse cerebral cortical neurons in a primary culture with cellular resolution. Therefore, our measurement application enables the detection of multiple neural activities simultaneously.

  8. Metal oxide nanorod arrays on monolithic substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Pu-Xian; Guo, Yanbing; Ren, Zheng

    A metal oxide nanorod array structure according to embodiments disclosed herein includes a monolithic substrate having a surface and multiple channels, an interface layer bonded to the surface of the substrate, and a metal oxide nanorod array coupled to the substrate surface via the interface layer. The metal oxide can include ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide. The substrate can include a glass substrate, a plastic substrate, a silicon substrate, a ceramic monolith, and a stainless steel monolith. The ceramic can include cordierite, alumina, tin oxide, and titania. The nanorod array structure can includemore » a perovskite shell, such as a lanthanum-based transition metal oxide, or a metal oxide shell, such as ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide, or a coating of metal particles, such as platinum, gold, palladium, rhodium, and ruthenium, over each metal oxide nanorod. Structures can be bonded to the surface of a substrate and resist erosion if exposed to high velocity flow rates.« less

  9. Nanostructured Metal Oxides for Stoichiometric Degradation of Chemical Warfare Agents.

    PubMed

    Štengl, Václav; Henych, Jiří; Janoš, Pavel; Skoumal, Miroslav

    2016-01-01

    Metal oxides have very important applications in many areas of chemistry, physics and materials science; their properties are dependent on the method of preparation, the morphology and texture. Nanostructured metal oxides can exhibit unique characteristics unlike those of the bulk form depending on their morphology, with a high density of edges, corners and defect surfaces. In recent years, methods have been developed for the preparation of metal oxide powders with tunable control of the primary particle size as well as of a secondary particle size: the size of agglomerates of crystallites. One of the many ways to take advantage of unique properties of nanostructured oxide materials is stoichiometric degradation of chemical warfare agents (CWAs) and volatile organic compounds (VOC) pollutants on their surfaces.

  10. Charge trapping and current-conduction mechanisms of metal-oxide-semiconductor capacitors with La xTa y dual-doped HfON dielectrics

    NASA Astrophysics Data System (ADS)

    Cheng, Chin-Lung; Horng, Jeng-Haur; Chang-Liao, Kuei-Shu; Jeng, Jin-Tsong; Tsai, Hung-Yang

    2010-10-01

    Charge trapping and related current-conduction mechanisms in metal-oxide-semiconductor (MOS) capacitors with La xTa y dual-doped HfON dielectrics have been investigated under various post-deposition annealing (PDA). The results indicate that by La xTa y incorporation into HfON dielectric enhances electrical and reliability characteristics, including equivalent-oxide-thickness (EOT), stress-induced leakage current (SILC), and trap energy level. The mechanisms related to larger positive charge generation in the gate dielectric bulk can be attributed to La xTa y dual-doped HfON dielectric. The results of C- V measurement indicate that more negative charges are induced with increasing PDA temperature for the La xTa y dual-doped HfON dielectric. The charge current transport mechanisms through various dielectrics have been analyzed with current-voltage ( I- V) measurements under various temperatures. The current-conduction mechanisms of HfLaTaON dielectric at the low-, medium-, and high-electrical fields were dominated by Schottky emission (SE), Frenkel-Poole emission (F-P), and Fowler-Nordheim (F-N), respectively. A low trap energy level ( Φ trap) involved in Frenkel-Pool conduction in an HfLaTaON dielectric was estimated to be around 0.142 eV. Although a larger amount of positive charges generated in the HfLaTaON dielectric was obtained, the Φ trap of these positive charges in the HfLaTaON dielectric are shallow compared with HfON dielectric.

  11. Metal atom oxidation laser

    DOEpatents

    Jensen, R.J.; Rice, W.W.; Beattie, W.H.

    1975-10-28

    A chemical laser which operates by formation of metal or carbon atoms and reaction of such atoms with a gaseous oxidizer in an optical resonant cavity is described. The lasing species are diatomic or polyatomic in nature and are readily produced by exchange or other abstraction reactions between the metal or carbon atoms and the oxidizer. The lasing molecules may be metal or carbon monohalides or monoxides.

  12. Fluorescence-suppressed time-resolved Raman spectroscopy of pharmaceuticals using complementary metal-oxide semiconductor (CMOS) single-photon avalanche diode (SPAD) detector.

    PubMed

    Rojalin, Tatu; Kurki, Lauri; Laaksonen, Timo; Viitala, Tapani; Kostamovaara, Juha; Gordon, Keith C; Galvis, Leonardo; Wachsmann-Hogiu, Sebastian; Strachan, Clare J; Yliperttula, Marjo

    2016-01-01

    In this work, we utilize a short-wavelength, 532-nm picosecond pulsed laser coupled with a time-gated complementary metal-oxide semiconductor (CMOS) single-photon avalanche diode (SPAD) detector to acquire Raman spectra of several drugs of interest. With this approach, we are able to reveal previously unseen Raman features and suppress the fluorescence background of these drugs. Compared to traditional Raman setups, the present time-resolved technique has two major improvements. First, it is possible to overcome the strong fluorescence background that usually interferes with the much weaker Raman spectra. Second, using the high photon energy excitation light source, we are able to generate a stronger Raman signal compared to traditional instruments. In addition, observations in the time domain can be performed, thus enabling new capabilities in the field of Raman and fluorescence spectroscopy. With this system, we demonstrate for the first time the possibility of recording fluorescence-suppressed Raman spectra of solid, amorphous and crystalline, and non-photoluminescent and photoluminescent drugs such as caffeine, ranitidine hydrochloride, and indomethacin (amorphous and crystalline forms). The raw data acquired by utilizing only the picosecond pulsed laser and a CMOS SPAD detector could be used for identifying the compounds directly without any data processing. Moreover, to validate the accuracy of this time-resolved technique, we present density functional theory (DFT) calculations for a widely used gastric acid inhibitor, ranitidine hydrochloride. The obtained time-resolved Raman peaks were identified based on the calculations and existing literature. Raman spectra using non-time-resolved setups with continuous-wave 785- and 532-nm excitation lasers were used as reference data. Overall, this demonstration of time-resolved Raman and fluorescence measurements with a CMOS SPAD detector shows promise in diverse areas, including fundamental chemical research, the

  13. An Ultrathin Single Crystalline Relaxor Ferroelectric Integrated on a High Mobility Semiconductor.

    PubMed

    Moghadam, Reza M; Xiao, Zhiyong; Ahmadi-Majlan, Kamyar; Grimley, Everett D; Bowden, Mark; Ong, Phuong-Vu; Chambers, Scott A; Lebeau, James M; Hong, Xia; Sushko, Peter V; Ngai, Joseph H

    2017-10-11

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, the integration of gate materials that enable nonvolatile or hysteretic functionality in field-effect transistors could lead to device technologies that consume less power or allow for novel modalities in computing. Here we present electrical characterization of ultrathin single crystalline SrZr x Ti 1-x O 3 (x = 0.7) films epitaxially grown on a high mobility semiconductor, Ge. Epitaxial films of SrZr x Ti 1-x O 3 exhibit relaxor behavior, characterized by a hysteretic polarization that can modulate the surface potential of Ge. We find that gate layers as thin as 5 nm corresponding to an equivalent-oxide thickness of just 1.0 nm exhibit a ∼2 V hysteretic window in the capacitance-voltage characteristics. The development of hysteretic metal-oxide-semiconductor capacitors with nanoscale gate thicknesses opens new vistas for nanoelectronic devices.

  14. An Ultrasensitive Organic Semiconductor NO2 Sensor Based on Crystalline TIPS-Pentacene Films.

    PubMed

    Wang, Zi; Huang, Lizhen; Zhu, Xiaofei; Zhou, Xu; Chi, Lifeng

    2017-10-01

    Organic semiconductor gas sensor is one of the promising candidates of room temperature operated gas sensors with high selectivity. However, for a long time the performance of organic semiconductor sensors, especially for the detection of oxidizing gases, is far behind that of the traditional metal oxide gas sensors. Although intensive attempts have been made to address the problem, the performance and the understanding of the sensing mechanism are still far from sufficient. Herein, an ultrasensitive organic semiconductor NO 2 sensor based on 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS-petacene) is reported. The device achieves a sensitivity over 1000%/ppm and fast response/recovery, together with a low limit of detection (LOD) of 20 ppb, all of which reach the level of metal oxide sensors. After a comprehensive analysis on the morphology and electrical properties of the organic films, it is revealed that the ultrahigh performance is largely related to the film charge transport ability, which was less concerned in the studies previously. And the combination of efficient charge transport and low original charge carrier concentration is demonstrated to be an effective access to obtain high performance organic semiconductor gas sensors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Facile self-assembly and stabilization of metal oxide nanoparticles.

    PubMed

    Charbonneau, Cecile; Holliman, Peter J; Davies, Matthew L; Watson, Trystan M; Worsley, David A

    2015-03-15

    This paper describes a facile method of self-assembling different metal oxide nanoparticles into nanostructured materials via di-carboxylate linkers (oxalic acid) using TiO2 as an example. In this method, the di-carboxylate linkers react with surface hydroxyls on metal oxide nanoparticles forming covalent, ester-like bonds, which enable the binding of two metal oxide particles, one at either end of the linker and facilitates efficient self-assembly of one group of metal oxide nanoparticles homogeneously distributed onto the surface of another group. The oxalate linkers can then be removed by thermal decomposition. This approach is shown to be effective using differently-sized TiO2 nanoparticles, namely in-house synthesized 3-5nm anatase nanocrystals and Degussa P25 titania particles (mean 21nm particle size). Our data show that the application of a high temperature heat treatment (450°C for 30min), conventionally applied to achieve a stable porous structure by thermal decomposition of the linker molecules and by inducing inter-particle necking, damages the surface area of the nanostructured material. However, here we show that sintering at 300°C for 30min or by flash near infrared radiation sintering for 12s efficiently decomposes the oxalate linkers and stabilizes the nanostructure of the material whilst maintaining its high surface area. Copyright © 2013 Elsevier Inc. All rights reserved.

  16. Fabricating metal-oxide-semiconductor field-effect transistors on a polyethylene terephthalate substrate by applying low-temperature layer transfer of a single-crystalline silicon layer by meniscus force

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakaike, Kohei; Akazawa, Muneki; Nakamura, Shogo

    2013-12-02

    A low-temperature local-layer technique for transferring a single-crystalline silicon (c-Si) film by using a meniscus force was proposed, and an n-channel metal-oxide-semiconductor field-effect transistor (MOSFET) was fabricated on polyethylene terephthalate (PET) substrate. It was demonstrated that it is possible to transfer and form c-Si films in the required shape at the required position on PET substrates at extremely low temperatures by utilizing a meniscus force. The proposed technique for layer transfer was applied for fabricating high-performance c-Si MOSFETs on a PET substrate. The fabricated MOSFET showed a high on/off ratio of more than 10{sup 8} and a high field-effect mobilitymore » of 609 cm{sup 2} V{sup −1} s{sup −1}.« less

  17. Exciton fission in monolayer transition metal dichalcogenide semiconductors.

    PubMed

    Steinhoff, A; Florian, M; Rösner, M; Schönhoff, G; Wehling, T O; Jahnke, F

    2017-10-27

    When electron-hole pairs are excited in a semiconductor, it is a priori not clear if they form a plasma of unbound fermionic particles or a gas of composite bosons called excitons. Usually, the exciton phase is associated with low temperatures. In atomically thin transition metal dichalcogenide semiconductors, excitons are particularly important even at room temperature due to strong Coulomb interaction and a large exciton density of states. Using state-of-the-art many-body theory, we show that the thermodynamic fission-fusion balance of excitons and electron-hole plasma can be efficiently tuned via the dielectric environment as well as charge carrier doping. We propose the observation of these effects by studying exciton satellites in photoemission and tunneling spectroscopy, which present direct solid-state counterparts of high-energy collider experiments on the induced fission of composite particles.

  18. Blue/pink/purple electroluminescence from metal-oxide-semiconductor devices fabricated by spin-coating of [tantalum:(gadolinium/praseodymium)] and (praseodymium:cerium) organic compounds on silicon

    NASA Astrophysics Data System (ADS)

    Ohzone, Takashi; Matsuda, Toshihiro; Fukuoka, Ryouhei; Hattori, Fumihiro; Iwata, Hideyuki

    2016-08-01

    Blue/pink/purple electroluminescence (EL) from metal-oxide-semiconductor (MOS) devices with an indium tin oxide (ITO)/[Gd/(Ta + Gd/Pr)/(Pr + Ce)-Si-O] insulator layer/n+-Si substrate surface is reported. The insulator layers were fabricated from organic liquid sources of Gd or (Ta + Gd/Pr)/(Pr + Ce) mixtures, which were spin-coated on the n+-Si substrate and annealed at 950 °C for 30 min in air. The EL emission could be observed by the naked eye in the dark in the Fowler-Nordheim (FN) tunnel current regions. Peak wavelengths in the measured EL spectra were independent of the positive current. The EL intensity ratio of ultraviolet (UV) to the visible range varied with the composition ratio of the (Ta + Gd) liquids, and an optimum Ta to Gd ratio existed for the strongest blue emission, which could be attributed to the Ta-related oxide/silicate. The pink EL of the device fabricated with the (\\text{Ta}:\\text{Pr} = 6:4) mixture ratio can be explained by EL emission peaks related to the Pr3+ ions. The purple EL observed from the (\\text{Pr}:\\text{Ce} = 6:4) device corresponds to the strong and broad emission profile near the 357 nm peak, which cannot be assigned to Ce3+ ions. The results suggest that the EL can be attributed to the double-layer oxides with different compositions in the MOS devices. The upper layer consists of various Ta-, Gd-, Pr-, and Ce-related oxides and their silicates, while the lower SiO x -rich layer contributes to the FN current due to the high electric field, and thus the various EL colors.

  19. Mesoporous metal oxides and processes for preparation thereof

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suib, Steven L.; Poyraz, Altug Suleyman

    A process for preparing a mesoporous metal oxide, i.e., transition metal oxide. Lanthanide metal oxide, a post-transition metal oxide and metalloid oxide. The process comprises providing an acidic mixture comprising a metal precursor, an interface modifier, a hydrotropic ion precursor, and a surfactant; and heating the acidic mixture at a temperature and for a period of time sufficient to form the mesoporous metal oxide. A mesoporous metal oxide prepared by the above process. A method of controlling nano-sized wall crystallinity and mesoporosity in mesoporous metal oxides. The method comprises providing an acidic mixture comprising a metal precursor, an interface modifier,more » a hydrotropic ion precursor, and a surfactant; and heating the acidic mixture at a temperature and for a period of time sufficient to control nano-sized wall crystallinity and mesoporosity in the mesoporous metal oxides. Mesoporous metal oxides and a method of tuning structural properties of mesoporous metal oxides.« less

  20. Metals and lipid oxidation. Contemporary issues.

    PubMed

    Schaich, K M

    1992-03-01

    Lipid oxidation is now recognized to be a critically important reaction in physiological and toxicological processes as well as in food products. This provides compelling reasons to understand what causes lipid oxidation in order to be able to prevent or control the reactions. Redox-active metals are major factors catalyzing lipid oxidation in biological systems. Classical mechanisms of direct electron transfer to double bonds by higher valence metals and of reduction of hydroperoxides by lower valence metals do not always account for patterns of metal catalysis of lipid oxidation in multiphasic or compartmentalized biological systems. To explain why oxidation kinetics, mechanisms, and products in molecular environments which are both chemically and physically complex often do not follow classical patterns predicted by model system studies, increased consideration must be given to five contemporary issues regarding metal catalysis of lipid oxidation: hypervalent non-heme iron or iron-oxygen complexes, heme catalysis mechanism(s), compartmentalization of reactions and lipid phase reactions of metals, effects of metals on product mixes, and factors affecting the mode of metal catalytic action.

  1. Metal atom oxidation laser

    DOEpatents

    Jensen, R.J.; Rice, W.W.; Beattie, W.H.

    1975-10-28

    A chemical laser which operates by formation of metal or carbon atoms and reaction of such atoms with a gaseous oxidizer in an optical resonant cavity is described. The lasing species are diatomic or polyatomic in nature and are readily produced by exchange or other abstraction reactions between the metal or carbon atoms and the oxidizer. The lasing molecules may be metal or carbon monohalides or monoxides. (auth)

  2. Origin of flatband voltage shift and unusual minority carrier generation in thermally grown GeO2/Ge metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Hosoi, Takuji; Kutsuki, Katsuhiro; Okamoto, Gaku; Saito, Marina; Shimura, Takayoshi; Watanabe, Heiji

    2009-05-01

    Improvement in electrical properties of thermally grown GeO2/Ge metal-oxide-semiconductor (MOS) capacitors, such as significantly reduced flatband voltage (VFB) shift, small hysteresis, and minimized minority carrier response in capacitance-voltage (C-V) characteristics, has been demonstrated by in situ low temperature vacuum annealing prior to gate electrode deposition. Thermal desorption analysis has revealed that not only water but also hydrocarbons are easily infiltrated into GeO2 layers during air exposure and desorbed at around 300 °C, indicating that organic molecules within GeO2/Ge MOS structures are possible origins of electrical defects. The inversion capacitance, indicative of minority carrier generation, increases with air exposure time for Au/GeO2/Ge MOS capacitors, while maintaining an interface state density (Dit) of about a few 1011 cm-2 eV-1. Unusual increase in inversion capacitance was found to be suppressed by Al2O3 capping (Au/Al2O3/GeO2/Ge structures). This suggests that electrical defects induced outside the Au electrode by infiltrated molecules may enhance the minority carrier generation, and thus acting as a minority carrier source just like MOS field-effect transistors.

  3. Potentials and challenges of integration for complex metal oxides in CMOS devices and beyond

    NASA Astrophysics Data System (ADS)

    Kim, Y.; Pham, C.; Chang, J. P.

    2015-02-01

    This review focuses on recent accomplishments on complex metal oxide based multifunctional materials and the potential they hold in advancing integrated circuits. It begins with metal oxide based high-κ materials to highlight the success of their integration since 45 nm complementary metal-oxide-semiconductor (CMOS) devices. By simultaneously offering a higher dielectric constant for improved capacitance as well as providing a thicker physical layer to prevent the quantum mechanical tunnelling of electrons, high-κ materials have enabled the continued down-scaling of CMOS based devices. The most recent technology driver has been the demand to lower device power consumption, which requires the design and synthesis of novel materials, such as complex metal oxides that exhibit remarkable tunability in their ferromagnetic, ferroelectric and multiferroic properties. These properties make them suitable for a wide variety of applications such as magnetoelectric random access memory, radio frequency band pass filters, antennae and magnetic sensors. Single-phase multiferroics, while rare, offer unique functionalities which have motivated much scientific and technological research to ascertain the origins of their multiferroicity and their applicability to potential devices. However, due to the weak magnetoelectric coupling for single-phase multiferroics, engineered multiferroic composites based on magnetostrictive ferromagnets interfacing piezoelectrics or ferroelectrics have shown enhanced multiferroic behaviour from effective strain coupling at the interface. In addition, nanostructuring of the ferroic phases has demonstrated further improvement in the coupling effect. Therefore, single-phase and engineered composite multiferroics consisting of complex metal oxides are reviewed in terms of magnetoelectric coupling effects and voltage controlled ferromagnetic properties, followed by a review on the integration challenges that need to be overcome to realize the

  4. Miniaturized Metal (Metal Alloy)/PdO(x)/SiC Hydrogen and Hydrocarbon Gas Sensors

    NASA Technical Reports Server (NTRS)

    Hunter, Gary W. (Inventor); Xu, Jennifer C. (Inventor); Lukco, Dorothy (Inventor)

    2008-01-01

    A miniaturized Schottky diode hydrogen and hydrocarbon sensor and the method of making same is disclosed and claimed. The sensor comprises a catalytic metal layer, such as palladium, a silicon carbide substrate layer and a thin barrier layer in between the catalytic and substrate layers made of palladium oxide (PdO(x)). This highly stable device provides sensitive gas detection at temperatures ranging from at least 450 to 600 C. The barrier layer prevents reactions between the catalytic metal layer and the substrate layer. Conventional semiconductor fabrication techniques are used to fabricate the small-sided sensors. The use of a thicker palladium oxide barrier layer for other semiconductor structures such as a capacitor and transistor structures is also disclosed.

  5. Surface protected lithium-metal-oxide electrodes

    DOEpatents

    Thackeray, Michael M.; Kang, Sun-Ho

    2016-04-05

    A lithium-metal-oxide positive electrode having a layered or spinel structure for a non-aqueous lithium electrochemical cell and battery is disclosed comprising electrode particles that are protected at the surface from undesirable effects, such as electrolyte oxidation, oxygen loss or dissolution by one or more lithium-metal-polyanionic compounds, such as a lithium-metal-phosphate or a lithium-metal-silicate material that can act as a solid electrolyte at or above the operating potential of the lithium-metal-oxide electrode. The surface protection significantly enhances the surface stability, rate capability and cycling stability of the lithium-metal-oxide electrodes, particularly when charged to high potentials.

  6. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    NASA Technical Reports Server (NTRS)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  7. Development of Ultra-Low Power Metal Oxide Sensors and Arrays for Embedded Applications

    NASA Astrophysics Data System (ADS)

    Lutz, Brent; Wind, Rikard; Kostelecky, Clayton; Routkevitch, Dmitri; Deininger, Debra

    2011-09-01

    Metal oxide semiconductor sensors are widely used as individual sensors and in arrays, and a variety of designs for low power microhotplates have been demonstrated.1 Synkera Technologies has developed an embeddable chemical microsensor platform, based on a unique ceramic MEMS technology, for practical implementation in cell phones and other mobile electronic devices. Key features of this microsensor platform are (1) small size, (2) ultra-low power consumption, (3) high chemical sensitivity, (4) accurate response to a wide-range of threats, and (5) low cost. The sensor platform is enabled by a combination of advances in ceramic micromachining, and precision deposition of sensing films inside the high aspect ratio pores of anodic aluminum oxide (AAO).

  8. Discovery of Overcoating Metal Oxides on Photoelectrode for Water Splitting by Automated Screening.

    PubMed

    Saito, Rie; Miseki, Yugo; Nini, Wang; Sayama, Kazuhiro

    2015-10-12

    We applied an automated semiconductor synthesis and screen system to discover overcoating film materials and optimize coating conditions on the BiVO4/WO3 composite photoelectrode to enhance stability and photocurrent. Thirteen metallic elements for overcoating oxides were examined with various coating amounts. The stability of the BiVO4/WO3 photoelectrode in a highly concentrated carbonate electrolyte aqueous solution was significantly improved by overcoating with Ta2O5 film, which was amorphous and porous when calcined at 550 °C. The photocurrent for the water oxidation reaction was only minimally inhibited by the presence of the Ta2O5 film on the BiVO4/WO3 photoelectrode.

  9. Catalytic production of metal carbonyls from metal oxides

    DOEpatents

    Sapienza, Richard S.; Slegeir, William A.; Foran, Michael T.

    1984-01-01

    This invention relates to the formation of metal carbonyls from metal oxides and specially the formation of molybdenum carbonyl and iron carbonyl from their respective oxides. Copper is used here in admixed form or used in chemically combined form as copper molybdate. The copper/metal oxide combination or combined copper is utilized with a solvent, such as toluene and subjected to carbon monoxide pressure of 25 atmospheres or greater at about 150.degree.-260.degree. C. The reducing metal copper is employed in catalytic concentrations or combined concentrations as CuMoO.sub.4 and both hydrogen and water present serve as promoters. It has been found that the yields by this process have been salutary and that additionally the catalytic metal may be reused in the process to good effect.

  10. Catalytic production of metal carbonyls from metal oxides

    DOEpatents

    Sapienza, R.S.; Slegeir, W.A.; Foran, M.T.

    1984-01-06

    This invention relates to the formation of metal carbonyls from metal oxides and specially the formation of molybdenum carbonyl and iron carbonyl from their respective oxides. Copper is used here in admixed form or used in chemically combined form as copper molybdate. The copper/metal oxide combination or combined copper is utilized with a solvent, such as toluene and subjected to carbon monoxide pressure of 25 atmospheres or greater at about 150 to 260/sup 0/C. The reducing metal copper is employed in catalytic concentrations or combined concentrations as CuMoO/sub 4/ and both hydrogen and water present serve as promoters. It has been found that the yields by this process have been salutary and that additionally the catalytic metal may be reused in the process to good effect. 3 tables.

  11. Transport properties of silicon complementary-metal-oxide semiconductor quantum well field-effect transistors

    NASA Astrophysics Data System (ADS)

    Naquin, Clint Alan

    Introducing explicit quantum transport into silicon (Si) transistors in a manner compatible with industrial fabrication has proven challenging, yet has the potential to transform the performance horizons of large scale integrated Si devices and circuits. Explicit quantum transport as evidenced by negative differential transconductances (NDTCs) has been observed in a set of quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors fabricated using industrial silicon complementary MOS processing. The QW potential was formed via lateral ion implantation doping on a commercial 45 nm technology node process line, and measurements of the transfer characteristics show NDTCs up to room temperature. Detailed gate length and temperature dependence characteristics of the NDTCs in these devices have been measured. Gate length dependence of NDTCs shows a correlation of the interface channel length with the number of NDTCs formed as well as with the gate voltage (VG) spacing between NDTCs. The VG spacing between multiple NDTCs suggests a quasi-parabolic QW potential profile. The temperature dependence is consistent with partial freeze-out of carrier concentration against a degenerately doped background. A folding amplifier frequency multiplier circuit using a single QW NMOS transistor to generate a folded current-voltage transfer function via a NDTC was demonstrated. Time domain data shows frequency doubling in the kHz range at room temperature, and Fourier analysis confirms that the output is dominated by the second harmonic of the input. De-embedding the circuit response characteristics from parasitic cable and contact impedances suggests that in the absence of parasitics the doubling bandwidth could be as high as 10 GHz in a monolithic integrated circuit, limited by the transresistance magnitude of the QW NMOS. This is the first example of a QW device fabricated by mainstream Si CMOS technology being used in a circuit application and establishes the feasibility

  12. Hierarchical Assembly of Multifunctional Oxide-based Composite Nanostructures for Energy and Environmental Applications

    PubMed Central

    Gao, Pu-Xian; Shimpi, Paresh; Gao, Haiyong; Liu, Caihong; Guo, Yanbing; Cai, Wenjie; Liao, Kuo-Ting; Wrobel, Gregory; Zhang, Zhonghua; Ren, Zheng; Lin, Hui-Jan

    2012-01-01

    Composite nanoarchitectures represent a class of nanostructured entities that integrates various dissimilar nanoscale building blocks including nanoparticles, nanowires, and nanofilms toward realizing multifunctional characteristics. A broad array of composite nanoarchitectures can be designed and fabricated, involving generic materials such as metal, ceramics, and polymers in nanoscale form. In this review, we will highlight the latest progress on composite nanostructures in our research group, particularly on various metal oxides including binary semiconductors, ABO3-type perovskites, A2BO4 spinels and quaternary dielectric hydroxyl metal oxides (AB(OH)6) with diverse application potential. Through a generic template strategy in conjunction with various synthetic approaches— such as hydrothermal decomposition, colloidal deposition, physical sputtering, thermal decomposition and thermal oxidation, semiconductor oxide alloy nanowires, metal oxide/perovskite (spinel) composite nanowires, stannate based nanocompostes, as well as semiconductor heterojunction—arrays and networks have been self-assembled in large scale and are being developed as promising classes of composite nanoarchitectures, which may open a new array of advanced nanotechnologies in solid state lighting, solar absorption, photocatalysis and battery, auto-emission control, and chemical sensing. PMID:22837702

  13. One-Dimensional Metal-Oxide Nanostructures for Solar Photocatalytic Water-Splitting

    NASA Astrophysics Data System (ADS)

    Wang, Fengyun; Song, Longfei; Zhang, Hongchao; Luo, Linqu; Wang, Dong; Tang, Jie

    2017-08-01

    Because of their unique physical and chemical properties, one-dimensional (1-D) metal-oxide nanostructures have been extensively applied in the areas of gas sensors, electrochromic devices, nanogenerators, and so on. Solar water-splitting has attracted extensive research interest because hydrogen generated from solar-driven water splitting is a clean, sustainable, and abundant energy source that not only solves the energy crisis, but also protects the environment. In this comprehensive review, the main synthesis methods, properties, and especially prominent applications in solar water splitting of 1-D metal-oxides, including titanium dioxide (TiO2), zinc oxide (ZnO), tungsten trioxide (WO3), iron oxide (Fe2O3), and copper oxide (CuO) are fully discussed.

  14. Sandwich-like TiO2@ZnO-based noble metal (Ag, Au, Pt, or Pd) for better photo-oxidation performance: Synergistic effect between noble metal and metal oxide phases

    NASA Astrophysics Data System (ADS)

    Li, Shunxing; Cai, Jiabai; Wu, Xueqing; Zheng, Fengying

    2018-06-01

    The performance of different noble metals (NMs) with controllable size (5 nm) as co-catalyst on the photocatalytic oxidation of TiO2@ZnO hollow spheres was tested with benzyl alcohol in the presence of water under ambient conditions. A new type of solar-light-driven TiO2@NMs@ZnO nanocomposite was fabricated by using a template (surface functionalized polystyrene balls), hydrothermal reaction, and calcination. Under simulated sunlight irradiation, the photo-oxidation rate of benzyl alcohol was in the following of TiO2@Ag@ZnO > TiO2@Au@ZnO > TiO2@Pt@ZnO > TiO2@Pd@ZnO > TiO2@ZnO. This result was due to the combination of TiO2 and ZnO, as well as the sandwiched Ag NPs as electron trap site, which can store and shuttle photo-generated electrons, and then enhance photo-generation of active radicals. Electron paramagnetic resonance (EPR) spectroscopy, as well as photo-luminescence (PL), photo-reduction of Cr(VI) and electrochemical measurements were taken to verify this conclusion. Taking into account the multi-functional combination of precious metals and semiconductor materials, this work could provide new insights for the design of high-performance photocatalysts.

  15. Electric-Field-Driven Dual Vacancies Evolution in Ultrathin Nanosheets Realizing Reversible Semiconductor to Half-Metal Transition.

    PubMed

    Lyu, Mengjie; Liu, Youwen; Zhi, Yuduo; Xiao, Chong; Gu, Bingchuan; Hua, Xuemin; Fan, Shaojuan; Lin, Yue; Bai, Wei; Tong, Wei; Zou, Youming; Pan, Bicai; Ye, Bangjiao; Xie, Yi

    2015-12-02

    Fabricating a flexible room-temperature ferromagnetic resistive-switching random access memory (RRAM) device is of fundamental importance to integrate nonvolatile memory and spintronics both in theory and practice for modern information technology and has the potential to bring about revolutionary new foldable information-storage devices. Here, we show that a relatively low operating voltage (+1.4 V/-1.5 V, the corresponding electric field is around 20,000 V/cm) drives the dual vacancies evolution in ultrathin SnO2 nanosheets at room temperature, which causes the reversible transition between semiconductor and half-metal, accompanyied by an abrupt conductivity change up to 10(3) times, exhibiting room-temperature ferromagnetism in two resistance states. Positron annihilation spectroscopy and electron spin resonance results show that the Sn/O dual vacancies in the ultrathin SnO2 nanosheets evolve to isolated Sn vacancy under electric field, accounting for the switching behavior of SnO2 ultrathin nanosheets; on the other hand, the different defect types correspond to different conduction natures, realizing the transition between semiconductor and half-metal. Our result represents a crucial step to create new a information-storage device realizing the reversible transition between semiconductor and half-metal with flexibility and room-temperature ferromagnetism at low energy consumption. The as-obtained half-metal in the low-resistance state broadens the application of the device in spintronics and the semiconductor to half-metal transition on the basis of defects evolution and also opens up a new avenue for exploring random access memory mechanisms and finding new half-metals for spintronics.

  16. Method for producing metal oxide nanoparticles

    DOEpatents

    Phillips, Jonathan [Santa Fe, NM; Mendoza, Daniel [Santa Fe, NM; Chen, Chun-Ku [Albuquerque, NM

    2008-04-15

    Method for producing metal oxide nanoparticles. The method includes generating an aerosol of solid metallic microparticles, generating plasma with a plasma hot zone at a temperature sufficiently high to vaporize the microparticles into metal vapor, and directing the aerosol into the hot zone of the plasma. The microparticles vaporize in the hot zone into metal vapor. The metal vapor is directed away from the hot zone and into the cooler plasma afterglow where it oxidizes, cools and condenses to form solid metal oxide nanoparticles.

  17. Benzyl Alcohol-Mediated Versatile Method to Fabricate Nonstoichiometric Metal Oxide Nanostructures.

    PubMed

    Qamar, Mohammad; Adam, Alaaldin; Azad, Abdul-Majeed; Kim, Yong-Wah

    2017-11-22

    Nanostructured metal oxides with cationic or anionic deficiency find applications in a wide range of technological areas including the energy sector and environment. However, a facile route to prepare such materials in bulk with acceptable reproducibility is still lacking; many synthesis techniques are still only bench-top and cannot be easily scaled-up. Here, we report that the benzyl alcohol (BA)-mediated method is capable of producing a host of nanostructured metal oxides (MO x , where M = Ti, Zn, Ce, Sn, In, Ga, or Fe) with inherent nonstoichiometry. It employs multifunctional BA as a solvent, a reducing agent, and a structure-directing agent. Depending on the oxidation states of metal, elemental or nonstoichiometric oxide forms are obtained. Augmented photoelectrochemical oxidation of water under visible light by some of these nonstoichiometric oxides highlights the versatility of the BA-mediated synthesis protocol.

  18. Development of p-type oxide semiconductors based on tin oxide and its alloys: application to thin film transistors

    NASA Astrophysics Data System (ADS)

    Barros, Ana Raquel Xarouco de

    In spite of the recent p-type oxide TFTs developments based on SnOx and CuxO, the results achieved so far refer to devices processed at high temperatures and are limited by a low hole mobility and a low On-Off ratio and still there is no report on p-type oxide TFTs with performance similar to n-type, especially when comparing their field-effect mobility values, which are at least one order of magnitude higher on n-type oxide TFTs. Achieving high performance p-type oxide TFTs will definitely promote a new era for electronics in rigid and flexible substrates, away from silicon. None of the few reported p-channel oxide TFTs is suitable for practical applications, which demand significant improvements in the device engineering to meet the real-world electronic requirements, where low processing temperatures together with high mobility and high On-Off ratio are required for TFT and CMOS applications. The present thesis focuses on the study and optimization of p-type thin film transistors based on oxide semiconductors deposited by r.f. magnetron sputtering without intentional substrate heating. In this work several p-type oxide semiconductors were studied and optimized based on undoped tin oxide, Cu-doped SnOx and In-doped SnO2.

  19. CCD imaging sensor with flashed backside metal film

    NASA Technical Reports Server (NTRS)

    Janesick, James R. (Inventor)

    1991-01-01

    A backside illuminated CCD imaging sensor for reading out image charges from wells of the array of pixels is significantly improved for blue, UV, far UV and low energy x-ray wavelengths (1-5000.ANG.) by so overthinning the backside as to place the depletion edge at the surface and depositing a thin transparent metal film of about 10.ANG. on a native-quality oxide film of less than about 30.ANG. grown on the thinned backside. The metal is selected to have a higher work function than that of the semiconductor to so bend the energy bands (at the interface of the semiconductor material and the oxide film) as to eliminate wells that would otherwise trap minority carriers. A bias voltage may be applied to extend the frontside depletion edge to the interface of the semiconductor material with the oxide film in the event there is not sufficient thinning. This metal film (flash gate), which improves and stabilizes the quantum efficiency of a CCD imaging sensor, will also improve the QE of any p-n junction photodetector.

  20. Practical Use of Metal Oxide Semiconductor Gas Sensors for Measuring Nitrogen Dioxide and Ozone in Urban Environments

    PubMed Central

    Peterson, Philip J. D.; Aujla, Amrita; Brundle, Alex G.; Thompson, Martin R.; Vande Hey, Josh; Leigh, Roland J.

    2017-01-01

    The potential of inexpensive Metal Oxide Semiconductor (MOS) gas sensors to be used for urban air quality monitoring has been the topic of increasing interest in the last decade. This paper discusses some of the lessons of three years of experience working with such sensors on a novel instrument platform (Small Open General purpose Sensor (SOGS)) in the measurement of atmospheric nitrogen dioxide and ozone concentrations. Analytic methods for increasing long-term accuracy of measurements are discussed, which permit nitrogen dioxide measurements with 95% confidence intervals of 20.0 μg m−3 and ozone precision of 26.8 μg m−3, for measurements over a period one month away from calibration, averaged over 18 months of such calibrations. Beyond four months from calibration, sensor drift becomes significant, and accuracy is significantly reduced. Successful calibration schemes are discussed with the use of controlled artificial atmospheres complementing deployment on a reference weather station exposed to the elements. Manufacturing variation in the attributes of individual sensors are examined, an experiment possible due to the instrument being equipped with pairs of sensors of the same kind. Good repeatability (better than 0.7 correlation) between individual sensor elements is shown. The results from sensors that used fans to push air past an internal sensor element are compared with mounting the sensors on the outside of the enclosure, the latter design increasing effective integration time to more than a day. Finally, possible paths forward are suggested for improving the reliability of this promising sensor technology for measuring pollution in an urban environment. PMID:28753910

  1. Practical Use of Metal Oxide Semiconductor Gas Sensors for Measuring Nitrogen Dioxide and Ozone in Urban Environments.

    PubMed

    Peterson, Philip J D; Aujla, Amrita; Grant, Kirsty H; Brundle, Alex G; Thompson, Martin R; Vande Hey, Josh; Leigh, Roland J

    2017-07-19

    The potential of inexpensive Metal Oxide Semiconductor (MOS) gas sensors to be used for urban air quality monitoring has been the topic of increasing interest in the last decade. This paper discusses some of the lessons of three years of experience working with such sensors on a novel instrument platform (Small Open General purpose Sensor (SOGS)) in the measurement of atmospheric nitrogen dioxide and ozone concentrations. Analytic methods for increasing long-term accuracy of measurements are discussed, which permit nitrogen dioxide measurements with 95% confidence intervals of 20.0 μ g m - 3 and ozone precision of 26.8 μ g m - 3 , for measurements over a period one month away from calibration, averaged over 18 months of such calibrations. Beyond four months from calibration, sensor drift becomes significant, and accuracy is significantly reduced. Successful calibration schemes are discussed with the use of controlled artificial atmospheres complementing deployment on a reference weather station exposed to the elements. Manufacturing variation in the attributes of individual sensors are examined, an experiment possible due to the instrument being equipped with pairs of sensors of the same kind. Good repeatability (better than 0.7 correlation) between individual sensor elements is shown. The results from sensors that used fans to push air past an internal sensor element are compared with mounting the sensors on the outside of the enclosure, the latter design increasing effective integration time to more than a day. Finally, possible paths forward are suggested for improving the reliability of this promising sensor technology for measuring pollution in an urban environment.

  2. Ultra-large suspended graphene as a highly elastic membrane for capacitive pressure sensors

    NASA Astrophysics Data System (ADS)

    Chen, Yu-Min; He, Shih-Ming; Huang, Chi-Hsien; Huang, Cheng-Chun; Shih, Wen-Pin; Chu, Chun-Lin; Kong, Jing; Li, Ju; Su, Ching-Yuan

    2016-02-01

    In this work, we fabricate ultra-large suspended graphene membranes, where stacks of a few layers of graphene could be suspended over a circular hole with a diameter of up to 1.5 mm, with a diameter to thickness aspect ratio of 3 × 105, which is the record for free-standing graphene membranes. The process is based on large crystalline graphene (~55 μm) obtained using a chemical vapor deposition (CVD) method, followed by a gradual solvent replacement technique. Combining a hydrogen bubbling transfer approach with thermal annealing to reduce polymer residue results in an extremely clean surface, where the ultra-large suspended graphene retains the intrinsic features of graphene, including phonon response and an enhanced carrier mobility (200% higher than that of graphene on a substrate). The highly elastic mechanical properties of the graphene membrane are demonstrated, and the Q-factor under 2 MHz stimulation is measured to be 200-300. A graphene-based capacitive pressure sensor is fabricated, where it shows a linear response and a high sensitivity of 15.15 aF Pa-1, which is 770% higher than that of frequently used silicon-based membranes. The reported approach is universal, which could be employed to fabricate other suspended 2D materials with macro-scale sizes on versatile support substrates, such as arrays of Si nano-pillars and deep trenches.In this work, we fabricate ultra-large suspended graphene membranes, where stacks of a few layers of graphene could be suspended over a circular hole with a diameter of up to 1.5 mm, with a diameter to thickness aspect ratio of 3 × 105, which is the record for free-standing graphene membranes. The process is based on large crystalline graphene (~55 μm) obtained using a chemical vapor deposition (CVD) method, followed by a gradual solvent replacement technique. Combining a hydrogen bubbling transfer approach with thermal annealing to reduce polymer residue results in an extremely clean surface, where the ultra-large

  3. Magnetoreflection spectroscopy of monolayer transition-metal dichalcogenide semiconductors in pulsed magnetic fields

    DOE PAGES

    Stier, Andreas V.; McCreary, Kathleen M.; Jonker, Berend T.; ...

    2016-05-13

    The authors describe recent experimental efforts to perform polarization-resolved optical spectroscopy of monolayer transition-metal dichalcogenide semiconductors in very large pulsed magnetic fields to 65 T. The experimental setup and technical challenges are discussed in detail, and temperature-dependent magnetoreflection spectra from atomically thin tungsten disulphide are presented. The data clearly reveal not only the valley Zeeman effect in these two-dimensional semiconductors but also the small quadratic exciton diamagnetic shift from which the very small exciton size can be directly inferred. Lastly, the authors present model calculations that demonstrate how the measured diamagnetic shifts can be used to constrain estimates of themore » exciton binding energy in this new family of monolayer semiconductors.« less

  4. Semiconductor@metal-organic framework core-shell heterostructures: a case of ZnO@ZIF-8 nanorods with selective photoelectrochemical response.

    PubMed

    Zhan, Wen-wen; Kuang, Qin; Zhou, Jian-zhang; Kong, Xiang-jian; Xie, Zhao-xiong; Zheng, Lan-sun

    2013-02-06

    Metal-organic frameworks (MOFs) and related material classes are attracting considerable attention for their applications in gas storage/separation as well as catalysis. In contrast, research concerning potential uses in electronic devices (such as sensors) is in its infancy, which might be due to a great challenge in the fabrication of MOFs and semiconductor composites with well-designed structures. In this paper, we proposed a simple self-template strategy to fabricate metal oxide semiconductor@MOF core-shell heterostructures, and successfully obtained freestanding ZnO@ZIF-8 nanorods as well as vertically standing arrays (including nanorod arrays and nanotube arrays). In this synthetic process, ZnO nanorods not only act as the template but also provide Zn(2+) ions for the formation of ZIF-8. In addition, we have demonstrated that solvent composition and reaction temperature are two crucial factors for successfully fabricating well-defined ZnO@ZIF-8 heterostructures. As we expect, the as-prepared ZnO@ZIF-8 nanorod arrays display distinct photoelectrochemical response to hole scavengers with different molecule sizes (e.g., H(2)O(2) and ascorbic acid) owing to the limitation of the aperture of the ZIF-8 shell. Excitingly, such ZnO@ZIF-8 nanorod arrays were successfully applied to the detection of H(2)O(2) in the presence of serous buffer solution. Therefore, it is reasonable to believe that the semiconductor@MOFs heterostructure potentially has promising applications in many electronic devices including sensors.

  5. Effect of barrier height on friction behavior of the semiconductors silicon and gallium arsenide in contact with pure metals

    NASA Technical Reports Server (NTRS)

    Mishina, H.; Buckley, D. H.

    1984-01-01

    Friction experiments were conducted for the semiconductors silicon and gallium arsenide in contact with pure metals. Polycrystalline titanium, tantalum, nickel, palladium, and platinum were made to contact a single crystal silicon (111) surface. Indium, nickel, copper, and silver were made to contact a single crystal gallium arsenide (100) surface. Sliding was conducted both in room air and in a vacuum of 10 to the minus 9th power torr. The friction of semiconductors in contact with metals depended on a Schottky barrier height formed at the metal semiconductor interface. Metals with a higher barrier height on semiconductors gave lower friction. The effect of the barrier height on friction behavior for argon sputtered cleaned surfaces in vacuum was more specific than that for the surfaces containing films in room air. With a silicon surface sliding on titanium, many silicon particles back transferred. In contrast, a large quantity of indium transferred to the gallium arsenide surface.

  6. Real-time, multiplexed electrochemical DNA detection using an active complementary metal-oxide-semiconductor biosensor array with integrated sensor electronics.

    PubMed

    Levine, Peter M; Gong, Ping; Levicky, Rastislav; Shepard, Kenneth L

    2009-03-15

    Optical biosensing based on fluorescence detection has arguably become the standard technique for quantifying extents of hybridization between surface-immobilized probes and fluorophore-labeled analyte targets in DNA microarrays. However, electrochemical detection techniques are emerging which could eliminate the need for physically bulky optical instrumentation, enabling the design of portable devices for point-of-care applications. Unlike fluorescence detection, which can function well using a passive substrate (one without integrated electronics), multiplexed electrochemical detection requires an electronically active substrate to analyze each array site and benefits from the addition of integrated electronic instrumentation to further reduce platform size and eliminate the electromagnetic interference that can result from bringing non-amplified signals off chip. We report on an active electrochemical biosensor array, constructed with a standard complementary metal-oxide-semiconductor (CMOS) technology, to perform quantitative DNA hybridization detection on chip using targets conjugated with ferrocene redox labels. A 4 x 4 array of gold working electrodes and integrated potentiostat electronics, consisting of control amplifiers and current-input analog-to-digital converters, on a custom-designed 5 mm x 3 mm CMOS chip drive redox reactions using cyclic voltammetry, sense DNA binding, and transmit digital data off chip for analysis. We demonstrate multiplexed and specific detection of DNA targets as well as real-time monitoring of hybridization, a task that is difficult, if not impossible, with traditional fluorescence-based microarrays.

  7. Dendritic core-shell nickel-iron-copper metal/metal oxide electrode for efficient electrocatalytic water oxidation.

    PubMed

    Zhang, Peili; Li, Lin; Nordlund, Dennis; Chen, Hong; Fan, Lizhou; Zhang, Biaobiao; Sheng, Xia; Daniel, Quentin; Sun, Licheng

    2018-01-26

    Electrochemical water splitting requires efficient water oxidation catalysts to accelerate the sluggish kinetics of water oxidation reaction. Here, we report a promisingly dendritic core-shell nickel-iron-copper metal/metal oxide electrode, prepared via dealloying with an electrodeposited nickel-iron-copper alloy as a precursor, as the catalyst for water oxidation. The as-prepared core-shell nickel-iron-copper electrode is characterized with porous oxide shells and metallic cores. This tri-metal-based core-shell nickel-iron-copper electrode exhibits a remarkable activity toward water oxidation in alkaline medium with an overpotential of only 180 mV at a current density of 10 mA cm -2 . The core-shell NiFeCu electrode exhibits pH-dependent oxygen evolution reaction activity on the reversible hydrogen electrode scale, suggesting that non-concerted proton-electron transfers participate in catalyzing the oxygen evolution reaction. To the best of our knowledge, the as-fabricated core-shell nickel-iron-copper is one of the most promising oxygen evolution catalysts.

  8. A p-Type Zinc-Based Metal-Organic Framework.

    PubMed

    Shang, Congcong; Gautier, Romain; Jiang, Tengfei; Faulques, Eric; Latouche, Camille; Paris, Michael; Cario, Laurent; Bujoli-Doeuff, Martine; Jobic, Stéphane

    2017-06-05

    An original concept for the property tuning of semiconductors is demonstrated by the synthesis of a p-type zinc oxide (ZnO)-like metal-organic framework (MOF), (ZnC 2 O 3 H 2 ) n , which can be regarded as a possible alternative for ZnO, a natural n-type semiconductor. When small oxygen-rich organic linkers are introduced to the Zn-O system, oxygen vacancies and a deep valence-band maximum, the two obstacles for generating p-type behavior in ZnO, are restrained and raised, respectively. Further studies of this material on the doping and photoluminescence behaviors confirm its resemblance to metal oxides (MOs). This result answers the challenges of generating p-type behavior in an n-type-like system. This concept reveals that a new category of hybrid materials, with an embedded continuous metal-oxygen network, lies between the MOs and MOFs. It provides concrete support for the development of p-type hybrid semiconductors in the near future and, more importantly, the enrichment of tuning possibilities in inorganic semiconductors.

  9. Electronic structure of metals and semiconductors: bulk, surface, and interface properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Louie, S.G.S.

    1976-09-01

    A theoretical study of the electronic structure of various metals and semiconductors is presented with the emphasis on understanding the properties of these materials when they are subjected to extreme conditions and in various different configurations. Among the bulk systems studied, the properties of cesium under high pressure are discussed in terms of the electronic structure calculated at various cell volumes using the pseudopotential method. Local fields or umklapp processes in semiconductors are studied within the random phase approximation (RPA). Specifically the dielectric response matrix epsilon/sub GG'/ (q = 0,omega) is evaluated numerically to determine the effects of local-field correctionsmore » in the optical spectrum of Si. Also, some comments on the excitonic mechanism of superconductivity are presented and the role of local fields is discussed. The pseudo-potential method is next extended to calculate the electronic structure of a transition metal Nb. The calculation is performed self-consistently with the use of a non-local ionic potential determined from atomic spectra. Finally the theory of the superconducting transition temperature T/sub c/ is discussed in the strong-coupling formulation of the BCS theory. The Eliashberg equations in the Matsubara representation are solved analytically and a general T/sub c/ equation is obtained. A new method is developed using pseudopotentials in a self-consistent manner to describe non-periodic systems. The method is applicable to localized configurations such as molecules, surfaces, impurities, vacancies, finite chains of atoms, adsorbates, and solid interfaces. Specific applications to surfaces, metal-semiconductor interfaces and vacancies are presented.« less

  10. Physicochemical of pillared clays prepared by several metal oxides

    NASA Astrophysics Data System (ADS)

    Rinaldi, Nino; Kristiani, Anis

    2017-03-01

    Natural clays could be modified by the pillarization method, called as Pillared Clays (PILCs). PILCs have been known as porous materials that can be used for many applications, one of the fields is catalysis. PILCs as two dimensional materials are interesting because their structures and textural properties can be controlled by using a metal oxide as the pillar. Different metal oxide used as the pillar causes different properties results of pillared clays. Usually, natural smectite clays/bentonites are used as a raw material. Therefore, a series of bentonite pillared by metal oxides was prepared through pillarization method. Variation of metals pillared into bentonite are aluminium, chromium, zirconium, and ferro. The physicochemical properties of catalysts were characterized by using X-ray Diffraction (XRD), Thermo Gravimetric Analysis (TGA), Brunauer-Emmett-Teller (BET) and Barret-Joyner-Halenda (BJH) analysis, and Fourier transform infrared spectroscopy (FTIR) measurement. Noteworthy characterization results showed that different metals pillared into bentonite affected physical and chemical properties, i.e. basal spacing, surface area, pore size distribution, thermal stability and acidity.

  11. Water-Mediated Photochemical Treatments for Low-Temperature Passivation of Metal-Oxide Thin-Film Transistors.

    PubMed

    Heo, Jae Sang; Jo, Jeong-Wan; Kang, Jingu; Jeong, Chan-Yong; Jeong, Hu Young; Kim, Sung Kyu; Kim, Kwanpyo; Kwon, Hyuck-In; Kim, Jaekyun; Kim, Yong-Hoon; Kim, Myung-Gil; Park, Sung Kyu

    2016-04-27

    The low-temperature electrical passivation of an amorphous oxide semiconductor (AOS) thin-film transistor (TFT) is achieved by a deep ultraviolet (DUV) light irradiation-water treatment-DUV irradiation (DWD) method. The water treatment of the first DUV-annealed amorphous indium-gallium-zinc-oxide (a-IGZO) thin film is likely to induce the preferred adsorption of water molecules at the oxygen vacancies and leads to subsequent hydroxide formation in the bulk a-IGZO films. Although the water treatment initially degraded the electrical performance of the a-IGZO TFTs, the second DUV irradiation on the water-treated devices may enable a more complete metal-oxygen-metal lattice formation while maintaining low oxygen vacancies in the oxide films. Overall, the stable and dense metal-oxygen-metal (M-O-M) network formation could be easily achieved at low temperatures (below 150 °C). The successful passivation of structural imperfections in the a-IGZO TFTs, such as hydroxyl group (OH-) and oxygen vacancies, mainly results in the enhanced electrical performances of the DWD-processed a-IGZO TFTs (on/off current ratio of 8.65 × 10(9), subthreshold slope of 0.16 V/decade, an average mobility of >6.94 cm(2) V(-1) s(-1), and a bias stability of ΔVTH < 2.5 V), which show more than a 30% improvement over the simple DUV-treated a-IGZO TFTs.

  12. Scaling Effect on Unipolar and Bipolar Resistive Switching of Metal Oxides

    PubMed Central

    Yanagida, Takeshi; Nagashima, Kazuki; Oka, Keisuke; Kanai, Masaki; Klamchuen, Annop; Park, Bae Ho; Kawai, Tomoji

    2013-01-01

    Electrically driven resistance change in metal oxides opens up an interdisciplinary research field for next-generation non-volatile memory. Resistive switching exhibits an electrical polarity dependent “bipolar-switching” and a polarity independent “unipolar-switching”, however tailoring the electrical polarity has been a challenging issue. Here we demonstrate a scaling effect on the emergence of the electrical polarity by examining the resistive switching behaviors of Pt/oxide/Pt junctions over 8 orders of magnitudes in the areas. We show that the emergence of two electrical polarities can be categorised as a diagram of an electric field and a cell area. This trend is qualitatively common for various oxides including NiOx, CoOx, and TiO2-x. We reveal the intrinsic difference between unipolar switching and bipolar switching on the area dependence, which causes a diversity of an electrical polarity for various resistive switching devices with different geometries. This will provide a foundation for tailoring resistive switching behaviors of metal oxides. PMID:23584551

  13. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  14. Interface Schottky barrier engineering via strain in metal-semiconductor composites

    NASA Astrophysics Data System (ADS)

    Ma, Xiangchao; Dai, Ying; Yu, Lin; Huang, Baibiao

    2016-01-01

    The interfacial carrier transfer property, which is dominated by the interface Schottky barrier height (SBH), plays a crucial role in determining the performance of metal-semiconductor heterostructures in a variety of applications. Therefore, artificially controlling the interface SBH is of great importance for their industrial applications. As a model system, the Au/TiO2 (001) heterostructure is studied using first-principles calculations and the tight-binding method in the present study. Our investigation demonstrates that strain can be an effective way to decrease the interface SBH and that the n-type SBH can be more effectively decreased than the p-type SBH. Astonishingly, strain affects the interface SBH mainly by changing the intrinsic properties of Au and TiO2, whereas the interfacial potential alignment is almost independent of strain due to two opposite effects, which are induced by strain at the interfacial region. These observed trends can be understood on the basis of the general free-electron gas model of typical metals, the tight-binding theory and the crystal-field theory, which suggest that similar trends may be generalized for many other metal-semiconductor heterostructures. Given the commonness and tunability of strain in typical heterostructures, we anticipate that the tunability of the interface SBH with strain described here can provide an alternative effective way for realizing more efficient applications of relevant heterostructures.The interfacial carrier transfer property, which is dominated by the interface Schottky barrier height (SBH), plays a crucial role in determining the performance of metal-semiconductor heterostructures in a variety of applications. Therefore, artificially controlling the interface SBH is of great importance for their industrial applications. As a model system, the Au/TiO2 (001) heterostructure is studied using first-principles calculations and the tight-binding method in the present study. Our investigation

  15. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  16. Synthesis of metal oxide nanoparticles via a robust ``solvent-deficient'' method

    NASA Astrophysics Data System (ADS)

    Smith, Stacey J.; Huang, Baiyu; Liu, Shengfeng; Liu, Qingyuan; Olsen, Rebecca E.; Boerio-Goates, Juliana; Woodfield, Brian F.

    2014-11-01

    We report an efficient, general methodology for producing high-surface area metal oxide nanomaterials for a vast range of metal oxides, including at least one metal oxide nanomaterial from nearly every transition metal and semi-metal group in the periodic table (groups 3-4 and 6-15) as well as several from the lanthanide group (see Table 1). The method requires only 2-3 simple steps; a hydrated metal salt (usually a nitrate or chloride salt) is ground with bicarbonate (usually NH4HCO3) for 10-30 minutes to form a precursor that is then either untreated or rinsed before being calcined at relatively low temperatures (220-550 °C) for 1-3 hours. The method is thus similar to surfactant-free aqueous methods such as co-precipitation but is unique in that no solvents are added. The resulting ``solvent-deficient'' environment has interesting and unique consequences, including increased crystallinity of the products over other aqueous methods and a mesoporous nature in the inevitable agglomerates. The products are chemically pure and phase pure with crystallites generally 3-30 nm in average size that aggregate into high surface area, mesoporous agglomerates 50-300 nm in size that would be useful for catalyst and gas sensing applications. The versatility of products and efficiency of the method lend its unique potential for improving the industrial viability of a broad family of useful metal oxide nanomaterials. In this paper, we outline the methodology of the solvent-deficient method using our understanding of its mechanism, and we describe the range and quality of nanomaterials it has produced thus far.We report an efficient, general methodology for producing high-surface area metal oxide nanomaterials for a vast range of metal oxides, including at least one metal oxide nanomaterial from nearly every transition metal and semi-metal group in the periodic table (groups 3-4 and 6-15) as well as several from the lanthanide group (see Table 1). The method requires only 2

  17. Silicon carbide, a semiconductor for space power electronics

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Matus, Lawrence G.

    1991-01-01

    After many years of promise as a high temperature semiconductor, silicon carbide (SiC) is finally emerging as a useful electronic material. Recent significant progress that has led to this emergence has been in the areas of crystal growth and device fabrication technology. High quality single-crystal SiC wafers, up to 25 mm in diameter, can now be produced routinely from boules grown by a high temperature (2700 K) sublimation process. Device fabrication processes, including chemical vapor deposition (CVD), in situ doping during CVD, reactive ion etching, oxidation, metallization, etc. have been used to fabricate p-n junction diodes and MOSFETs. The diode was operated to 870 K and the MOSFET to 770 K.

  18. Reactive metal-oxide interfaces: A microscopic view

    NASA Astrophysics Data System (ADS)

    Picone, A.; Riva, M.; Brambilla, A.; Calloni, A.; Bussetti, G.; Finazzi, M.; Ciccacci, F.; Duò, L.

    2016-03-01

    Metal-oxide interfaces play a fundamental role in determining the functional properties of artificial layered heterostructures, which are at the root of present and future technological applications. Magnetic exchange and magnetoelectric coupling, spin filtering, metal passivation, catalytic activity of oxide-supported nano-particles are just few examples of physical and chemical processes arising at metal-oxide hybrid systems, readily exploited in working devices. These phenomena are strictly correlated with the chemical and structural characteristics of the metal-oxide interfacial region, making a thorough understanding of the atomistic mechanisms responsible of its formation a prerequisite in order to tailor the device properties. The steep compositional gradient established upon formation of metal-oxide heterostructures drives strong chemical interactions at the interface, making the metal-oxide boundary region a complex system to treat, both from an experimental and a theoretical point of view. However, once properly mastered, interfacial chemical interactions offer a further degree of freedom for tuning the material properties. The goal of the present review is to provide a summary of the latest achievements in the understanding of metal/oxide and oxide/metal layered systems characterized by reactive interfaces. The influence of the interface composition on the structural, electronic and magnetic properties will be highlighted. Particular emphasis will be devoted to the discussion of ultra-thin epitaxial oxides stabilized on highly oxidizable metals, which have been rarely exploited as oxide supports as compared to the much more widespread noble and quasi noble metallic substrates. In this frame, an extensive discussion is devoted to the microscopic characterization of interfaces between epitaxial metal oxides and the Fe(001) substrate, regarded from the one hand as a prototypical ferromagnetic material and from the other hand as a highly oxidizable metal.

  19. Benchmarking nanoparticulate metal oxide electrocatalysts for the alkaline water oxidation reaction

    DOE PAGES

    Jung, Suho; McCrory, Charles C. L.; Ferrer, Ivonne M.; ...

    2016-11-27

    Nanoparticulate metal-oxide catalysts are among the most prevalent systems for alkaline water oxidation. However, comparisons of the electrochemical performance of these materials have been challenging due to the different methods of attachment, catalyst loadings, and electrochemical test conditions reported in the literature. Here in this paper, we have leveraged a conventional drop-casting method that allows for the successful adhesion of a wide range of nanoparticulate catalysts to glassy-carbon electrode surfaces. We have applied this adhesion method to prepare catalyst films from 16 crystalline metal-oxide nanoparticles with a constant loading of 0.8 mg cm -2, and evaluated the resulting nanoparticulate filmsmore » for the oxygen evolution reaction under conditions relevant to an integrated solar fuels device. In general, the activities of the adhered nanoparticulate films are similar to those of thin-film catalysts prepared by electrodeposition or sputtering, achieving 10 mA cm -2 current densities per geometric area at overpotentials of ~0.35–0.5 V.« less

  20. Electrocatalytic oxidation of small organic molecules in acid medium: enhancement of activity of noble metal nanoparticles and their alloys by supporting or modifying them with metal oxides.

    PubMed

    Kulesza, Pawel J; Pieta, Izabela S; Rutkowska, Iwona A; Wadas, Anna; Marks, Diana; Klak, Karolina; Stobinski, Leszek; Cox, James A

    2013-11-01

    Different approaches to enhancement of electrocatalytic activity of noble metal nanoparticles during oxidation of small organic molecules (namely potential fuels for low-temperature fuel cells such as methanol, ethanol and formic acid) are described. A physical approach to the increase of activity of catalytic nanoparticles (e.g. platinum or palladium) involves nanostructuring to obtain highly dispersed systems of high surface area. Recently, the feasibility of enhancing activity of noble metal systems through the formation of bimetallic (e.g. PtRu, PtSn, and PdAu) or even more complex (e.g. PtRuW, PtRuSn) alloys has been demonstrated. In addition to possible changes in the electronic properties of alloys, specific interactions between metals as well as chemical reactivity of the added components have been postulated. We address and emphasize here the possibility of utilization of noble metal and alloyed nanoparticles supported on robust but reactive high surface area metal oxides (e.g. WO 3 , MoO 3 , TiO 2 , ZrO 2 , V 2 O 5 , and CeO 2 ) in oxidative electrocatalysis. This paper concerns the way in which certain inorganic oxides and oxo species can act effectively as supports for noble metal nanoparticles or their alloys during electrocatalytic oxidation of hydrogen and representative organic fuels. Among important issues are possible changes in the morphology and dispersion, as well as specific interactions leading to the improved chemisorptive and catalytic properties in addition to the feasibility of long time operation of the discussed systems.