Sample records for ultrathin gate oxides

  1. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    PubMed

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  2. Polysilicon Gate Enhancement of the Random Dopant Induced Threshold Voltage Fluctuations in Sub-100 nm MOSFET's with Ultrathin Gate Oxide

    NASA Technical Reports Server (NTRS)

    Asenov, Asen; Saini, Subhash

    2000-01-01

    In this paper, we investigate various aspects of the polysilicon gate influence on the random dopant induced threshold voltage fluctuations in sub-100 nm MOSFET's with ultrathin gate oxides. The study is done by using an efficient statistical three-dimensional (3-D) "atomistic" simulation technique described else-where. MOSFET's with uniform channel doping and with low doped epitaxial channels have been investigated. The simulations reveal that even in devices with a single crystal gate the gate depletion and the random dopants in it are responsible for a substantial fraction of the threshold voltage fluctuations when the gate oxide is scaled-in the range of 1-2 nm. Simulation experiments have been used in order to separate the enhancement in the threshold voltage fluctuations due to an effective increase in the oxide thickness associated with the gate depletion from the direct influence of the random dopants in the gate depletion layer. The results of the experiments show that the both factors contribute to the enhancement of the threshold voltage fluctuations, but the effective increase in the oxide-thickness has a dominant effect in the investigated range of devices. Simulations illustrating the effect or the polysilicon grain boundaries on the threshold voltage variation are also presented.

  3. Using Ultrathin Parylene Films as an Organic Gate Insulator in Nanowire Field-Effect Transistors.

    PubMed

    Gluschke, J G; Seidl, J; Lyttleton, R W; Carrad, D J; Cochrane, J W; Lehmann, S; Samuelson, L; Micolich, A P

    2018-06-27

    We report the development of nanowire field-effect transistors featuring an ultrathin parylene film as a polymer gate insulator. The room temperature, gas-phase deposition of parylene is an attractive alternative to oxide insulators prepared at high temperatures using atomic layer deposition. We discuss our custom-built parylene deposition system, which is designed for reliable and controlled deposition of <100 nm thick parylene films on III-V nanowires standing vertically on a growth substrate or horizontally on a device substrate. The former case gives conformally coated nanowires, which we used to produce functional Ω-gate and gate-all-around structures. These give subthreshold swings as low as 140 mV/dec and on/off ratios exceeding 10 3 at room temperature. For the gate-all-around structure, we developed a novel fabrication strategy that overcomes some of the limitations with previous lateral wrap-gate nanowire transistors. Finally, we show that parylene can be deposited over chemically treated nanowire surfaces, a feature generally not possible with oxides produced by atomic layer deposition due to the surface "self-cleaning" effect. Our results highlight the potential for parylene as an alternative ultrathin insulator in nanoscale electronic devices more broadly, with potential applications extending into nanobioelectronics due to parylene's well-established biocompatible properties.

  4. Electron-beam irradiation-induced gate oxide degradation

    NASA Astrophysics Data System (ADS)

    Cho, Byung Jin; Chong, Pei Fen; Chor, Eng Fong; Joo, Moon Sig; Yeo, In Seok

    2000-12-01

    Gate oxide degradation induced by electron-beam irradiation has been studied. A large increase in the low-field excess leakage current was observed on irradiated oxides and this was very similar to electrical stress-induced leakage currents. Unlike conventional electrical stress-induced leakage currents, however, electron-beam induced leakage currents exhibit a power law relationship with fluency without any signs of saturation. It has also been found that the electron-beam neither accelerates nor initiates quasibreakdown of the ultrathin gate oxide. Therefore, the traps generated by electron-beam irradiation do not contribute to quasibreakdown, only to the leakage current.

  5. Flexible Proton-Gated Oxide Synaptic Transistors on Si Membrane.

    PubMed

    Zhu, Li Qiang; Wan, Chang Jin; Gao, Ping Qi; Liu, Yang Hui; Xiao, Hui; Ye, Ji Chun; Wan, Qing

    2016-08-24

    Ion-conducting materials have received considerable attention for their applications in fuel cells, electrochemical devices, and sensors. Here, flexible indium zinc oxide (InZnO) synaptic transistors with multiple presynaptic inputs gated by proton-conducting phosphorosilicate glass-based electrolyte films are fabricated on ultrathin Si membranes. Transient characteristics of the proton gated InZnO synaptic transistors are investigated, indicating stable proton-gating behaviors. Short-term synaptic plasticities are mimicked on the proposed proton-gated synaptic transistors. Furthermore, synaptic integration regulations are mimicked on the proposed synaptic transistor networks. Spiking logic modulations are realized based on the transition between superlinear and sublinear synaptic integration. The multigates coupled flexible proton-gated oxide synaptic transistors may be interesting for neuroinspired platforms with sophisticated spatiotemporal information processing.

  6. Large-Scale Precise Printing of Ultrathin Sol-Gel Oxide Dielectrics for Directly Patterned Solution-Processed Metal Oxide Transistor Arrays.

    PubMed

    Lee, Won-June; Park, Won-Tae; Park, Sungjun; Sung, Sujin; Noh, Yong-Young; Yoon, Myung-Han

    2015-09-09

    Ultrathin and dense metal oxide gate di-electric layers are reported by a simple printing of AlOx and HfOx sol-gel precursors. Large-area printed indium gallium zinc oxide (IGZO) thin-film transistor arrays, which exhibit mobilities >5 cm(2) V(-1) s(-1) and gate leakage current of 10(-9) A cm(-2) at a very low operation voltage of 2 V, are demonstrated by continuous simple bar-coated processes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Interface trap and oxide charge generation under negative bias temperature instability of p-channel metal-oxide-semiconductor field-effect transistors with ultrathin plasma-nitrided SiON gate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu Shiyang; Nakajima, Anri; Ohashi, Takuo

    2005-12-01

    The interface trap generation ({delta}N{sub it}) and fixed oxide charge buildup ({delta}N{sub ot}) under negative bias temperature instability (NBTI) of p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) with ultrathin (2 nm) plasma-nitrided SiON gate dielectrics were studied using a modified direct-current-current-voltage method and a conventional subthreshold characteristic measurement. Different stress time dependences were shown for {delta}N{sub it} and {delta}N{sub ot}. At the earlier stress times, {delta}N{sub it} dominates the threshold voltage shift ({delta}V{sub th}) and {delta}N{sub ot} is negligible. With increasing stress time, the rate of increase of {delta}N{sub it} decreases continuously, showing a saturating trend for longer stress times, while {delta}N{submore » ot} still has a power-law dependence on stress time so that the relative contribution of {delta}N{sub ot} increases. The thermal activation energy of {delta}N{sub it} and the NBTI lifetime of pMOSFETs, compared at a given stress voltage, are independent of the peak nitrogen concentration of the SiON film. This indicates that plasma nitridation is a more reliable method for incorporating nitrogen in the gate oxide.« less

  8. Experimental Study of the Detection Limit in Dual-Gate Biosensors Using Ultrathin Silicon Transistors

    DOE PAGES

    Wu, Ting; Alharbi, Abdullah; You, Kai-Dyi; ...

    2017-06-21

    Dual-gate field-effect biosensors (bioFETs) with asymmetric gate capacitances were shown to surpass the Nernst limit of 59 mV/pH. However, previous studies have conflicting findings on the effect of the capacitive amplification scheme on the sensor detection limit, which is inversely proportional to the signal-to-noise ratio (SNR). In this paper, we present a systematic experimental investigation of the SNR using ultrathin silicon transistors. Our sensors operate at low voltage and feature asymmetric front and back oxide capacitances with asymmetry factors of 1.4 and 2.3. We demonstrate that in the dual-gate configuration, the response of our bioFETs to the pH change increasesmore » proportional to the asymmetry factor and indeed exceeds the Nernst limit. Further, our results reveal that the noise amplitude also increases in proportion to the asymmetry factor. We establish that the commensurate increase of the noise amplitude originates from the intrinsic low-frequency characteristic of the sensor noise, dominated by number fluctuation. Finally, these findings suggest that this capacitive signal amplification scheme does not improve the intrinsic detection limit of the dual-gate biosensors.« less

  9. Experimental Study of the Detection Limit in Dual-Gate Biosensors Using Ultrathin Silicon Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Ting; Alharbi, Abdullah; You, Kai-Dyi

    Dual-gate field-effect biosensors (bioFETs) with asymmetric gate capacitances were shown to surpass the Nernst limit of 59 mV/pH. However, previous studies have conflicting findings on the effect of the capacitive amplification scheme on the sensor detection limit, which is inversely proportional to the signal-to-noise ratio (SNR). In this paper, we present a systematic experimental investigation of the SNR using ultrathin silicon transistors. Our sensors operate at low voltage and feature asymmetric front and back oxide capacitances with asymmetry factors of 1.4 and 2.3. We demonstrate that in the dual-gate configuration, the response of our bioFETs to the pH change increasesmore » proportional to the asymmetry factor and indeed exceeds the Nernst limit. Further, our results reveal that the noise amplitude also increases in proportion to the asymmetry factor. We establish that the commensurate increase of the noise amplitude originates from the intrinsic low-frequency characteristic of the sensor noise, dominated by number fluctuation. Finally, these findings suggest that this capacitive signal amplification scheme does not improve the intrinsic detection limit of the dual-gate biosensors.« less

  10. Experimental Study of the Detection Limit in Dual-Gate Biosensors Using Ultrathin Silicon Transistors.

    PubMed

    Wu, Ting; Alharbi, Abdullah; You, Kai-Dyi; Kisslinger, Kim; Stach, Eric A; Shahrjerdi, Davood

    2017-07-25

    Dual-gate field-effect biosensors (bioFETs) with asymmetric gate capacitances were shown to surpass the Nernst limit of 59 mV/pH. However, previous studies have conflicting findings on the effect of the capacitive amplification scheme on the sensor detection limit, which is inversely proportional to the signal-to-noise ratio (SNR). Here, we present a systematic experimental investigation of the SNR using ultrathin silicon transistors. Our sensors operate at low voltage and feature asymmetric front and back oxide capacitances with asymmetry factors of 1.4 and 2.3. We demonstrate that in the dual-gate configuration, the response of our bioFETs to the pH change increases proportional to the asymmetry factor and indeed exceeds the Nernst limit. Further, our results reveal that the noise amplitude also increases in proportion to the asymmetry factor. We establish that the commensurate increase of the noise amplitude originates from the intrinsic low-frequency characteristic of the sensor noise, dominated by number fluctuation. These findings suggest that this capacitive signal amplification scheme does not improve the intrinsic detection limit of the dual-gate biosensors.

  11. Experimental evidence of mobility enhancement in short-channel ultra-thin body double-gate MOSFETs by magnetoresistance technique

    NASA Astrophysics Data System (ADS)

    Chaisantikulwat, W.; Mouis, M.; Ghibaudo, G.; Cristoloveanu, S.; Widiez, J.; Vinet, M.; Deleonibus, S.

    2007-11-01

    Double-gate transistor with ultra-thin body (UTB) has proved to offer advantages over bulk device for high-speed, low-power applications. There is thus a strong need to obtain an accurate understanding of carrier transport and mobility in such device. In this work, we report for the first time an experimental evidence of mobility enhancement in UTB double-gate (DG) MOSFETs using magnetoresistance mobility extraction technique. Mobility in planar DG transistor operating in single- and double-gate mode is compared. The influence of different scattering mechanisms in the channel is also investigated by obtaining mobility values at low temperatures. The results show a clear mobility improvement in double-gate mode compared to single-gate mode mobility at the same inversion charge density. This is explained by the role of volume inversion in ultra-thin body transistor operating in DG mode. Volume inversion is found to be especially beneficial in terms of mobility gain at low-inversion densities.

  12. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    PubMed

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  13. Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Kyoung H.; Gordon, Roy G.; Ritenour, Andrew; Antoniadis, Dimitri A.

    2007-05-01

    Atomic layer deposition (ALD) was used to deposit passivating interfacial nitride layers between Ge and high-κ oxides. High-κ oxides on Ge surfaces passivated by ultrathin (1-2nm) ALD Hf3N4 or AlN layers exhibited well-behaved C-V characteristics with an equivalent oxide thickness as low as 0.8nm, no significant flatband voltage shifts, and midgap density of interface states values of 2×1012cm-1eV-1. Functional n-channel and p-channel Ge field effect transistors with nitride interlayer/high-κ oxide/metal gate stacks are demonstrated.

  14. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for AdvancedCMOS Devices

    PubMed Central

    Suzuki, Masamichi

    2012-01-01

    A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3) high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT) of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al) atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process. PMID:28817057

  15. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  16. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  17. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  18. Critical current enhancement driven by suppression of superconducting fluctuation in ion-gated ultrathin FeSe

    NASA Astrophysics Data System (ADS)

    Harada, T.; Shiogai, J.; Miyakawa, T.; Nojima, T.; Tsukazaki, A.

    2018-05-01

    The framework of phase transition, such as superconducting transition, occasionally depends on the dimensionality of materials. Superconductivity is often weakened in the experimental conditions of two-dimensional thin films due to the fragile superconducting state against defects and interfacial effects. In contrast to this general trend, superconductivity in the thin limit of FeSe exhibits an opposite trend, such as an increase in critical temperature (T c) and the superconducting gap exceeding the bulk values; however, the dominant mechanism is still under debate. Here, we measured thickness-dependent electrical transport properties of the ion-gated FeSe thin films to evaluate the superconducting critical current (I c) in the ultrathin FeSe. Upon systematically decreasing the FeSe thickness by the electrochemical etching technique in the Hall bar-shaped electric double-layer transistors, we observed a dramatic enhancement of I c reaching about 10 mA and corresponding to about 107 A cm‑2 in the thinnest condition. By analyzing the transition behavior, we clarify that the suppressed superconducting fluctuation is one of the origins of the large I c in the ion-gated ultrathin FeSe films. These results indicate the existence of a robust superconducting state possibly with dense Cooper pairs at the thin limit of FeSe.

  19. Ultra-thin Oxide Membranes: Synthesis and Carrier Transport

    NASA Astrophysics Data System (ADS)

    Sim, Jai Sung

    Self-supported freestanding membranes are films that are devoid of any underlying supporting layers. The key advantage of such structures is that, due to the lack of substrate effects - both mechanical and chemical, the true native properties of the material can be probed. This is crucial since many of the studies done on materials that are used as freestanding membranes are done as films clamped to substrates or in the bulk form. This thesis focuses on the synthesis and fabrication as well as electrical studies of free standing ultrathin < 40nm oxide membranes. It also is one of the first demonstrations for electrically probing nanoscale freestanding oxide membranes. Fabrication of such membranes is non-trivial as oxide materials are often brittle and difficult to handle. Therefore, it requires an understanding of thin plate mechanics coupled with controllable thin film deposition process. Taking things a step further, to electrically probe these membranes required design of complex device architecture and extensive optimization of nano-fabrication processes. The challenges and optimized fabrication method of such membranes are demonstrated. Three materials are probed in this study, VO2, TiO2, and CeO2. VO2 for understanding structural considerations for electronic phase change and nature of ionic liquid gating, TiO2 and CeO2 for understanding surface conduction properties and surface chemistry. The VO2 study shows shift in metal-insulator transition (MIT) temperature arising from stress relaxation and opening of the hysteresis. The ionic liquid gating studies showed reversible modulation of channel resistance and allowed distinguishing bulk process from the surface effects. Comparing the ionic liquid gating experiments to hydrogen doping experiments illustrated that ionic liquid gating can be a surface limited electrostatic effect, if the critical voltage threshold is not exceeded. TiO2 study shows creation of non-stoichiometric forms under ion milling. Utilizing

  20. Physical and electrical characterizations of AlGaN/GaN MOS gate stacks with AlGaN surface oxidation treatment

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Shih, Hong-An; Nakazawa, Satoshi; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    The impacts of inserting ultrathin oxides into insulator/AlGaN interfaces on their electrical properties were investigated to develop advanced AlGaN/GaN metal–oxide–semiconductor (MOS) gate stacks. For this purpose, the initial thermal oxidation of AlGaN surfaces in oxygen ambient was systematically studied by synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) and atomic force microscopy (AFM). Our physical characterizations revealed that, when compared with GaN surfaces, aluminum addition promotes the initial oxidation of AlGaN surfaces at temperatures of around 400 °C, followed by smaller grain growth above 850 °C. Electrical measurements of AlGaN/GaN MOS capacitors also showed that, although excessive oxidation treatment of AlGaN surfaces over around 700 °C has an adverse effect, interface passivation with the initial oxidation of the AlGaN surfaces at temperatures ranging from 400 to 500 °C was proven to be beneficial for fabricating high-quality AlGaN/GaN MOS gate stacks.

  1. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  2. An Ultrathin Single Crystalline Relaxor Ferroelectric Integrated on a High Mobility Semiconductor.

    PubMed

    Moghadam, Reza M; Xiao, Zhiyong; Ahmadi-Majlan, Kamyar; Grimley, Everett D; Bowden, Mark; Ong, Phuong-Vu; Chambers, Scott A; Lebeau, James M; Hong, Xia; Sushko, Peter V; Ngai, Joseph H

    2017-10-11

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, the integration of gate materials that enable nonvolatile or hysteretic functionality in field-effect transistors could lead to device technologies that consume less power or allow for novel modalities in computing. Here we present electrical characterization of ultrathin single crystalline SrZr x Ti 1-x O 3 (x = 0.7) films epitaxially grown on a high mobility semiconductor, Ge. Epitaxial films of SrZr x Ti 1-x O 3 exhibit relaxor behavior, characterized by a hysteretic polarization that can modulate the surface potential of Ge. We find that gate layers as thin as 5 nm corresponding to an equivalent-oxide thickness of just 1.0 nm exhibit a ∼2 V hysteretic window in the capacitance-voltage characteristics. The development of hysteretic metal-oxide-semiconductor capacitors with nanoscale gate thicknesses opens new vistas for nanoelectronic devices.

  3. Synthesis of hexagonal ultrathin tungsten oxide nanowires with diameters below 5 nm for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    Lu, Huidan; Zhu, Qin; Zhang, Mengying; Yan, Yi; Liu, Yongping; Li, Ming; Yang, Zhishu; Geng, Peng

    2018-04-01

    Semiconductor with one dimension (1D) ultrathin nanostructure has been proved to be a promising nanomaterial in photocatalytic field. Great efforts were made on preparation of monoclinic ultrathin tungsten oxide nanowires. However, non-monoclinic phase tungsten oxides with 1D ultrathin structure, especially less than 5 nm width, have not been reported. Herein, we report the synthesis of hexagonal ultrathin tungsten oxide nanowires (U-WOx NW) by modified hydrothermal method. Microstructure characterization showed that U-WOx NW have the diameters of 1-3 nm below 5 nm and are hexagonal phase sub-stoichiometric WOx. U-WOx NW show absorption tail in the visible and near infrared region due to oxygen vacancies. For improving further photocatalytic performance, Ag co-catalyst was grown directly onto U-WOx NW surface by in situ redox reaction. Photocatalytic measurements revealed hexagonal U-WOx NW have better photodegradation activity, compared with commercial WO3(C-WO3) and oxidized U-WOx NW, ascribe to larger surface area, short diffusion length of photo-generated charge carriers and visible absorption of oxygen-vacancy-rich hexagonal ultrathin nanostructures. Moreover, the photocatalytic activity and stability of U-WOx NW using Ag co-catalyst were further improved.

  4. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  5. A uniform doping ultra-thin SOI LDMOS with accumulation-mode extended gate and back-side etching technology

    NASA Astrophysics Data System (ADS)

    Yan-Hui, Zhang; Jie, Wei; Chao, Yin; Qiao, Tan; Jian-Ping, Liu; Peng-Cheng, Li; Xiao-Rong, Luo

    2016-02-01

    A uniform doping ultra-thin silicon-on-insulator (SOI) lateral-double-diffused metal-oxide-semiconductor (LDMOS) with low specific on-resistance (Ron,sp) and high breakdown voltage (BV) is proposed and its mechanism is investigated. The proposed LDMOS features an accumulation-mode extended gate (AG) and back-side etching (BE). The extended gate consists of a P- region and two diodes in series. In the on-state with VGD > 0, an electron accumulation layer is formed along the drift region surface under the AG. It provides an ultra-low resistance current path along the whole drift region surface and thus the novel device obtains a low temperature distribution. The Ron,sp is nearly independent of the doping concentration of the drift region. In the off-state, the AG not only modulates the surface electric field distribution and improves the BV, but also brings in a charge compensation effect to further reduce the Ron,sp. Moreover, the BE avoids vertical premature breakdown to obtain high BV and allows a uniform doping in the drift region, which avoids the variable lateral doping (VLD) and the “hot-spot” caused by the VLD. Compared with the VLD SOI LDMOS, the proposed device simultaneously reduces the Ron,sp by 70.2% and increases the BV from 776 V to 818 V. Project supported by the National Natural Science Foundation of China (Grant Nos. 61176069 and 61376079).

  6. Synthesizing new types of ultrathin 2D metal oxide nanosheets via half-successive ion layer adsorption and reaction

    NASA Astrophysics Data System (ADS)

    Gao, Linjie; Li, Yaguang; Xiao, Mu; Wang, Shufang; Fu, Guangsheng; Wang, Lianzhou

    2017-06-01

    Two-dimensional (2D) metal oxide nanosheets have demonstrated their great potential in a broad range of applications. The existing synthesis strategies are mainly preparing 2D nanosheets from layered and specific transition metal oxides. How to prepare the other types of metal oxides as ultrathin 2D nanosheets remains unsolved, especially for metal oxides containing alkali, alkaline earth metal, and multiple metal elements. Herein, we developed a half-successive ion layer adsorption and reaction (SILAR) method, which could synthesize those types of metal oxides as ultrathin 2D nanosheets. The synthesized 2D metal oxides nanosheets are within 1 nm level thickness and 500 m2 · g-1 level surface area. This method allows us to develop many new types of ultrathin 2D metal oxides nanosheets that have never been prepared before.

  7. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  8. Morphology, mechanical stability, and protective properties of ultrathin gallium oxide coatings.

    PubMed

    Lawrenz, Frank; Lange, Philipp; Severin, Nikolai; Rabe, Jürgen P; Helm, Christiane A; Block, Stephan

    2015-06-02

    Ultrathin gallium oxide layers with a thickness of 2.8 ± 0.2 nm were transferred from the surface of liquid gallium onto solid substrates, including conjugated polymer poly(3-hexylthiophene) (P3HT). The gallium oxide exhibits high mechanical stability, withstanding normal pressures of up to 1 GPa in contact mode scanning force microscopy imaging. Moreover, it lowers the rate of photodegradation of P3HT by 4 orders of magnitude, as compared to uncovered P3HT. This allows us to estimate the upper limits for oxygen and water vapor transmission rates of 0.08 cm(3) m(-2) day(-1) and 0.06 mg m(-2) day(-1), respectively. Hence, similar to other highly functional coatings such as graphene, ultrathin gallium oxide layers can be regarded as promising candidates for protective layers in flexible organic (opto-)electronics and photovoltaics because they offer permeation barrier functionalities in conjunction with high optical transparency.

  9. Oxidative Modulation of Voltage-Gated Potassium Channels

    PubMed Central

    Sahoo, Nirakar; Hoshi, Toshinori

    2014-01-01

    Abstract Significance: Voltage-gated K+ channels are a large family of K+-selective ion channel protein complexes that open on membrane depolarization. These K+ channels are expressed in diverse tissues and their function is vital for numerous physiological processes, in particular of neurons and muscle cells. Potentially reversible oxidative regulation of voltage-gated K+ channels by reactive species such as reactive oxygen species (ROS) represents a contributing mechanism of normal cellular plasticity and may play important roles in diverse pathologies including neurodegenerative diseases. Recent Advances: Studies using various protocols of oxidative modification, site-directed mutagenesis, and structural and kinetic modeling provide a broader phenomenology and emerging mechanistic insights. Critical Issues: Physicochemical mechanisms of the functional consequences of oxidative modifications of voltage-gated K+ channels are only beginning to be revealed. In vivo documentation of oxidative modifications of specific amino-acid residues of various voltage-gated K+ channel proteins, including the target specificity issue, is largely absent. Future Directions: High-resolution chemical and proteomic analysis of ion channel proteins with respect to oxidative modification combined with ongoing studies on channel structure and function will provide a better understanding of how the function of voltage-gated K+ channels is tuned by ROS and the corresponding reducing enzymes to meet cellular needs. Antioxid. Redox Signal. 21, 933–952. PMID:24040918

  10. Graphene-graphite oxide field-effect transistors.

    PubMed

    Standley, Brian; Mendez, Anthony; Schmidgall, Emma; Bockrath, Marc

    2012-03-14

    Graphene's high mobility and two-dimensional nature make it an attractive material for field-effect transistors. Previous efforts in this area have used bulk gate dielectric materials such as SiO(2) or HfO(2). In contrast, we have studied the use of an ultrathin layered material, graphene's insulating analogue, graphite oxide. We have fabricated transistors comprising single or bilayer graphene channels, graphite oxide gate insulators, and metal top-gates. The graphite oxide layers show relatively minimal leakage at room temperature. The breakdown electric field of graphite oxide was found to be comparable to SiO(2), typically ~1-3 × 10(8) V/m, while its dielectric constant is slightly higher, κ ≈ 4.3. © 2012 American Chemical Society

  11. Interfacial and electrical properties of InGaAs metal-oxide-semiconductor capacitor with TiON/TaON multilayer composite gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Liu, L.; Lu, H. H.; Lai, P. T.; Tang, W. M.

    2015-03-01

    InGaAs metal-oxide-semiconductor (MOS) capacitors with composite gate dielectric consisting of Ti-based oxynitride (TiON)/Ta-based oxynitride (TaON) multilayer are fabricated by RF sputtering. The interfacial and electrical properties of the TiON/TaON/InGaAs and TaON/TiON/InGaAs MOS structures are investigated and compared. Experimental results show that the former exhibits lower interface-state density (1.0 × 1012 cm-2 eV-1 at midgap), smaller gate leakage current (9.5 × 10-5 A/cm2 at a gate voltage of 2 V), larger equivalent dielectric constant (19.8), and higher reliability under electrical stress than the latter. The involved mechanism lies in the fact that the ultrathin TaON interlayer deposited on the sulfur-passivated InGaAs surface can effectively reduce the defective states and thus unpin the Femi level at the TaON/InGaAs interface, improving the electrical properties of the device.

  12. Ultrathin Nickel Hydroxide and Oxide Nanosheets: Synthesis, Characterizations and Excellent Supercapacitor Performances

    PubMed Central

    Zhu, Youqi; Cao, Chuanbao; Tao, Shi; Chu, Wangsheng; Wu, Ziyu; Li, Yadong

    2014-01-01

    High-quality ultrathin two-dimensional nanosheets of α-Ni(OH)2 are synthesized at large scale via microwave-assisted liquid-phase growth under low-temperature atmospheric conditions. After heat treatment, non-layered NiO nanosheets are obtained while maintaining their original frame structure. The well-defined and freestanding nanosheets exhibit a micron-sized planar area and ultrathin thickness (<2 nm), suggesting an ultrahigh surface atom ratio with unique surface and electronic structure. The ultrathin 2D nanostructure can make most atoms exposed outside with high activity thus facilitate the surface-dependent electrochemical reaction processes. The ultrathin α-Ni(OH)2 and NiO nanosheets exhibit enhanced supercapacitor performances. Particularly, the α-Ni(OH)2 nanosheets exhibit a maximum specific capacitance of 4172.5 F g−1 at a current density of 1 A g−1. Even at higher rate of 16 A g−1, the specific capacitance is still maintained at 2680 F g−1 with 98.5% retention after 2000 cycles. Even more important, we develop a facile and scalable method to produce high-quality ultrathin transition metal hydroxide and oxide nanosheets and make a possibility in commercial applications. PMID:25168127

  13. Manipulation of Spin-Torque Generation Using Ultrathin Au

    NASA Astrophysics Data System (ADS)

    An, Hongyu; Haku, Satoshi; Kanno, Yusuke; Nakayama, Hiroyasu; Maki, Hideyuki; Shi, Ji; Ando, Kazuya

    2018-06-01

    The generation and the manipulation of current-induced spin-orbit torques are of essential interest in spintronics. However, in spite of the vital progress in spin orbitronics, electric control of the spin-torque generation still remains elusive and challenging. We report on electric control of the spin-torque generation using ionic-liquid gating of ultrathin Au. We show that by simply depositing a SiO2 capping layer on an ultrathin-Au /Ni81Fe19 bilayer, the spin-torque generation efficiency is drastically enhanced by a maximum of 7 times. This enhancement is verified to be originated from the rough ultrathin-Au /Ni81Fe19 interface induced by the SiO2 deposition, which results in the enhancement of the interface spin-orbit scattering. We further show that the spin-torque generation efficiency from the ultrathin Au film can be reversibly manipulated by a factor of 2 using the ionic gating with an external electric field within a small range of 1 V. These results pave a way towards the efficient control of the spin-torque generation in spintronic applications.

  14. Electronic-Reconstruction-Enhanced Tunneling Conductance at Terrace Edges of Ultrathin Oxide Films.

    PubMed

    Wang, Lingfei; Kim, Rokyeon; Kim, Yoonkoo; Kim, Choong H; Hwang, Sangwoon; Cho, Myung Rae; Shin, Yeong Jae; Das, Saikat; Kim, Jeong Rae; Kalinin, Sergei V; Kim, Miyoung; Yang, Sang Mo; Noh, Tae Won

    2017-11-01

    Quantum mechanical tunneling of electrons across ultrathin insulating oxide barriers has been studied extensively for decades due to its great potential in electronic-device applications. In the few-nanometers-thick epitaxial oxide films, atomic-scale structural imperfections, such as the ubiquitously existed one-unit-cell-high terrace edges, can dramatically affect the tunneling probability and device performance. However, the underlying physics has not been investigated adequately. Here, taking ultrathin BaTiO 3 films as a model system, an intrinsic tunneling-conductance enhancement is reported near the terrace edges. Scanning-probe-microscopy results demonstrate the existence of highly conductive regions (tens of nanometers wide) near the terrace edges. First-principles calculations suggest that the terrace-edge geometry can trigger an electronic reconstruction, which reduces the effective tunneling barrier width locally. Furthermore, such tunneling-conductance enhancement can be discovered in other transition metal oxides and controlled by surface-termination engineering. The controllable electronic reconstruction can facilitate the implementation of oxide electronic devices and discovery of exotic low-dimensional quantum phases. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Wonjong; Cho, Gu Young; Noh, Seungtak

    2015-01-15

    An ultrathin yttria-stabilized zirconia (YSZ) blocking layer deposited by atomic layer deposition (ALD) was utilized for improving the performance and reliability of low-temperature solid oxide fuel cells (SOFCs) supported by an anodic aluminum oxide substrate. Physical vapor-deposited YSZ and gadolinia-doped ceria (GDC) electrolyte layers were deposited by a sputtering method. The ultrathin ALD YSZ blocking layer was inserted between the YSZ and GDC sputtered layers. To investigate the effects of an inserted ultrathin ALD blocking layer, SOFCs with and without an ultrathin ALD blocking layer were electrochemically characterized. The open circuit voltage (1.14 V) of the ALD blocking-layered SOFC was visiblymore » higher than that (1.05 V) of the other cell. Furthermore, the ALD blocking layer augmented the power density and improved the reproducibility.« less

  16. Gate-Variable Mid-Infrared Optical Transitions in a (Bi1-xSbx)2Te3 Topological Insulator.

    PubMed

    Whitney, William S; Brar, Victor W; Ou, Yunbo; Shao, Yinming; Davoyan, Artur R; Basov, D N; He, Ke; Xue, Qi-Kun; Atwater, Harry A

    2017-01-11

    We report mid-infrared spectroscopy measurements of ultrathin, electrostatically gated (Bi 1-x Sb x ) 2 Te 3 topological insulator films in which we observe several percent modulation of transmittance and reflectance as gating shifts the Fermi level. Infrared transmittance measurements of gated films were enabled by use of an epitaxial lift-off method for large-area transfer of topological insulator films from infrared-absorbing SrTiO 3 growth substrates to thermal oxidized silicon substrates. We combine these optical experiments with transport measurements and angle-resolved photoemission spectroscopy to identify the observed spectral modulation as a gate-driven transfer of spectral weight between both bulk and 2D topological surface channels and interband and intraband channels. We develop a model for the complex permittivity of gated (Bi 1-x Sb x ) 2 Te 3 and find a good match to our experimental data. These results open the path for layered topological insulator materials as a new candidate for tunable, ultrathin infrared optics and highlight the possibility of switching topological optoelectronic phenomena between bulk and spin-polarized surface regimes.

  17. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    NASA Astrophysics Data System (ADS)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  18. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  19. Remote N2 plasma treatment to deposit ultrathin high-k dielectric as tunneling contact layer for single-layer MoS2 MOSFET

    NASA Astrophysics Data System (ADS)

    Qian, Qingkai; Zhang, Zhaofu; Hua, Mengyuan; Wei, Jin; Lei, Jiacheng; Chen, Kevin J.

    2017-12-01

    Remote N2 plasma treatment is explored as a surface functionalization technique to deposit ultrathin high-k dielectric on single-layer MoS2. The ultrathin dielectric is used as a tunneling contact layer, which also serves as an interfacial layer below the gate region for fabricating top-gate MoS2 metal-oxide-semiconductor field-effect transistors (MOSFETs). The fabricated devices exhibited small hysteresis and mobility as high as 14 cm2·V-1·s-1. The contact resistance was significantly reduced, which resulted in the increase of drain current from 20 to 56 µA/µm. The contact resistance reduction can be attributed to the alleviated metal-MoS2 interface reaction and the preserved conductivity of MoS2 below the source/drain metal contact.

  20. High-performance SEGISFET pH Sensor using the structure of double-gate a-IGZO TFTs with engineered gate oxides

    NASA Astrophysics Data System (ADS)

    Pyo, Ju-Young; Cho, Won-Ju

    2017-03-01

    In this paper, we propose a high-performance separative extended gate ion-sensitive field-effect transistor (SEGISFET) that consists of a tin dioxide (SnO2) SEG sensing part and a double-gate structure amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with tantalum pentoxide/silicon dioxide (Ta2O5/SiO2)-engineered top-gate oxide. To increase sensitivity, we maximized the capacitive coupling ratio by applying high-k dielectric at the top-gate oxide layer. As an engineered top-gate oxide, a stack of 25 nm-thick Ta2O5 and 10 nm-thick SiO2 layers was found to simultaneously satisfy a small equivalent oxide thickness (˜17.14 nm), a low leakage current, and a stable interfacial property. The threshold-voltage instability, which is a fundamental issue in a-IGZO TFTs, was improved by low-temperature post-deposition annealing (˜87 °C) using microwave irradiation. The double-gate structure a-IGZO TFTs with engineered top-gate oxide exhibited high mobility, small subthreshold swing, high drive current, and larger on/off current ratio. The a-IGZO SEGISFETs with a dual-gate sensing mode showed a pH sensitivity of 649.04 mV pH-1, which is far beyond the Nernst limit. The non-ideal behavior of ISFETs, hysteresis, and drift effect also improved. These results show that the double-gate structure a-IGZO TFTs with engineered top-gate oxide can be a good candidate for cheap and disposable SEGISFET sensors.

  1. Interface plasmonic properties of silver coated by ultrathin metal oxides

    NASA Astrophysics Data System (ADS)

    Sytchkova, A.; Zola, D.; Grilli, M. L.; Piegari, A.; Fang, M.; He, H.; Shao, J.

    2011-09-01

    Many fields of high technology take advantage of conductor-dielectric interface properties. Deeper knowledge of physical processes that determine the optical response of the structures containing metal-dielectric interfaces is important for improving the performance of thin film devices containing such materials. Here we present a study on optical properties of several ultrathin metal oxides deposited over thin silver layers. Some widely used materials (Al2O3, SiO2, Y2O3, HfO2) were selected for deposition by r.f. sputtering, and the created metal-dielectric structures with two of them, alumina and silica, were investigated in this work using attenuated total reflectance (ATR) technique and by variable-angle spectroscopic ellipsometry (VASE). VASE was performed with a help of a commercial ellipsometer at various incident angles and in a wide spectral range. A home-made sample holder manufactured for WVASE ellipsometer and operational in Otto configuration has been implemented for angle-resolved and spectral ATR measurements. Simultaneous analysis of data obtained by these two independent techniques allows elaboration of a representative model for plasmonic-related phenomena at metal-dielectric interface. The optical constants of the interface layers formed between metal and ultrathin oxide layers are investigated. A series of oxides chosen for this study allows a comparative analysis aimed for selection of the most appropriate materials for different applications.

  2. Surface and Interface Chemistry for Gate Stacks on Silicon

    NASA Astrophysics Data System (ADS)

    Frank, M. M.; Chabal, Y. J.

    This chapter addresses the fundamental silicon surface science associated with the continued progress of nanoelectronics along the path prescribed by Moore's law. Focus is on hydrogen passivation layers and on ultrathin oxide films encountered during silicon cleaning and gate stack formation in the fabrication of metal-oxide-semiconductor field-effect transistors (MOSFETs). Three main topics are addressed. (i) First, the current practices and understanding of silicon cleaning in aqueous solutions are reviewed, including oxidizing chemistries and cleans leading to a hydrogen passivation layer. The dependence of the final surface termination and morphology/roughness on reactant choice and pH and the influence of impurities such as dissolved oxygen or metal ions are discussed. (ii) Next, the stability of hydrogen-terminated silicon in oxidizing liquid and gas phase environments is considered. In particular, the remarkable stability of hydrogen-terminated silicon surface in pure water vapor is discussed in the context of atomic layer deposition (ALD) of high-permittivity (high-k) gate dielectrics where water is often used as an oxygen precursor. Evidence is also provided for co-operative action between oxygen and water vapor that accelerates surface oxidation in humid air. (iii) Finally, the fabrication of hafnium-, zirconium- and aluminum-based high-k gate stacks is described, focusing on the continued importance of the silicon/silicon oxide interface. This includes a review of silicon surface preparation by wet or gas phase processing and its impact on high-k nucleation during ALD growth, and the consideration of gate stack capacitance and carrier mobility. In conclusion, two issues are highlighted: the impact of oxygen vacancies on the electrical characteristics of high-k MOS devices, and the way alloyed metal ions (such as Al in Hf-based gate stacks) in contact with the interfacial silicon oxide layer can be used to control flatband and threshold voltages.

  3. Ultra-thin solid oxide fuel cells: Materials and devices

    NASA Astrophysics Data System (ADS)

    Kerman, Kian

    Solid oxide fuel cells are electrochemical energy conversion devices utilizing solid electrolytes transporting O2- that typically operate in the 800 -- 1000 °C temperature range due to the large activation barrier for ionic transport. Reducing electrolyte thickness or increasing ionic conductivity can enable lower temperature operation for both stationary and portable applications. This thesis is focused on the fabrication of free standing ultrathin (<100 nm) oxide membranes of prototypical O 2- conducting electrolytes, namely Y2O3-doped ZrO2 and Gd2O3-doped CeO2. Fabrication of such membranes requires an understanding of thin plate mechanics coupled with controllable thin film deposition processes. Integration of free standing membranes into proof-of-concept fuel cell devices necessitates ideal electrode assemblies as well as creative processing schemes to experimentally test devices in a high temperature dual environment chamber. We present a simple elastic model to determine stable buckling configurations for free standing oxide membranes. This guides the experimental methodology for Y 2O3-doped ZrO2 film processing, which enables tunable internal stress in the films. Using these criteria, we fabricate robust Y2O3-doped ZrO2 membranes on Si and composite polymeric substrates by semiconductor and micro-machining processes, respectively. Fuel cell devices integrating these membranes with metallic electrodes are demonstrated to operate in the 300 -- 500 °C range, exhibiting record performance at such temperatures. A model combining physical transport of electronic carriers in an insulating film and electrochemical aspects of transport is developed to determine the limits of performance enhancement expected via electrolyte thickness reduction. Free standing oxide heterostructures, i.e. electrolyte membrane and oxide electrodes, are demonstrated. Lastly, using Y2O3-doped ZrO2 and Gd2O 3-doped CeO2, novel electrolyte fabrication schemes are explored to develop oxide

  4. Multifunctional Hybrid Multilayer Gate Dielectrics with Tunable Surface Energy for Ultralow-Power Organic and Amorphous Oxide Thin-Film Transistors.

    PubMed

    Byun, Hye-Ran; You, Eun-Ah; Ha, Young-Geun

    2017-03-01

    For large-area, printable, and flexible electronic applications using advanced semiconductors, novel dielectric materials with excellent capacitance, insulating property, thermal stability, and mechanical flexibility need to be developed to achieve high-performance, ultralow-voltage operation of thin-film transistors (TFTs). In this work, we first report on the facile fabrication of multifunctional hybrid multilayer gate dielectrics with tunable surface energy via a low-temperature solution-process to produce ultralow-voltage organic and amorphous oxide TFTs. The hybrid multilayer dielectric materials are constructed by iteratively stacking bifunctional phosphonic acid-based self-assembled monolayers combined with ultrathin high-k oxide layers. The nanoscopic thickness-controllable hybrid dielectrics exhibit the superior capacitance (up to 970 nF/cm 2 ), insulating property (leakage current densities <10 -7 A/cm 2 ), and thermal stability (up to 300 °C) as well as smooth surfaces (root-mean-square roughness <0.35 nm). In addition, the surface energy of the hybrid multilayer dielectrics are easily changed by switching between mono- and bifunctional phosphonic acid-based self-assembled monolayers for compatible fabrication with both organic and amorphous oxide semiconductors. Consequently, the hybrid multilayer dielectrics integrated into TFTs reveal their excellent dielectric functions to achieve high-performance, ultralow-voltage operation (< ± 2 V) for both organic and amorphous oxide TFTs. Because of the easily tunable surface energy, the multifunctional hybrid multilayer dielectrics can also be adapted for various organic and inorganic semiconductors, and metal gates in other device configurations, thus allowing diverse advanced electronic applications including ultralow-power and large-area electronic devices.

  5. Purely electronic mechanism of electrolyte gating of indium tin oxide thin films

    DOE PAGES

    Leng, X.; Bozovic, I.; Bollinger, A. T.

    2016-08-10

    Epitaxial indium tin oxide films have been grown on both LaAlO 3 and yttria-stabilized zirconia substrates using RF magnetron sputtering. Electrolyte gating causes a large change in the film resistance that occurs immediately after the gate voltage is applied, and shows no hysteresis during the charging/discharging processes. When two devices are patterned next to one another and the first one gated through an electrolyte, the second one shows no changes in conductance, in contrast to what happens in materials (like tungsten oxide) susceptible to ionic electromigration and intercalation. These findings indicate that electrolyte gating in indium tin oxide triggers amore » pure electronic process (electron depletion or accumulation, depending on the polarity of the gate voltage), with no electrochemical reactions involved. Electron accumulation occurs in a very thin layer near the film surface, which becomes highly conductive. These results contribute to our understanding of the electrolyte gating mechanism in complex oxides and may be relevant for applications of electric double layer transistor devices.« less

  6. Photoconductivity of Macroporous and Nonporous Silicon with Ultrathin Oxide Layers

    NASA Astrophysics Data System (ADS)

    Konin, K. P.; Goltvyansky, Yu. V.; Karachevtseva, L. A.; Karas, M. I.; Morozovs'ka, D. V.

    2018-06-01

    The photoconductivity of macroporous silicon with ultrathin oxide layers of 2.7-30 nm in thickness at short-wave optical excitation was studied. The following feature was revealed: a nonmonotonic change in the photoconductivity as a function of the oxide thickness. At a minimum thickness, the photoconductivity is negative; in the interval 6.8-15 nm, it is very much suppressed; at 15-30 nm, it is positive. Suppression of photoconductivity over a wide thickness range indicates an abnormally high concentration of traps and capture centers for charge carriers of both signs. Such a change in the photoconductivity corresponds to the known results on the continuous morphological rearrangement of the oxide in the thickness range from 6-7 nm to 12-15 nm from the coesite-like (4-membered SiO4 tetrahedra rings) to the tridymite-like (6-membered SiO4 tetrahedra rings). The suppression of photoconductivity in the intermediate range probably demonstrates the collective, antisynergetic action of these coexisting oxide forms on the nonequilibrium charge carriers. These coexisting oxide forms manifest themselves as an unusual collective defect.

  7. Facile Synthesis of Ultrathin Nickel-Cobalt Phosphate 2D Nanosheets with Enhanced Electrocatalytic Activity for Glucose Oxidation.

    PubMed

    Shu, Yun; Li, Bing; Chen, Jingyuan; Xu, Qin; Pang, Huan; Hu, Xiaoya

    2018-01-24

    Two-dimensional (2D) ultrathin nickel-cobalt phosphate nanosheets were synthesized using a simple one-step hydrothermal method. The morphology and structure of nanomaterials synthesized under different Ni/Co ratios were investigated by transmission electron microscopy, scanning electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. Moreover, the influence of nanomaterials' structure on the electrochemical performance for glucose oxidation was investigated. It is found that the thinnest nickel-cobalt phosphate nanosheets synthesized with a Ni/Co ratio of 2:5 showed the best electrocatalytic activity for glucose oxidation. Also, the ultrathin nickel-cobalt phosphate nanosheet was used as an electrode material to construct a nonenzymatic electrochemical glucose sensor. The sensor showed a wide linear range (2-4470 μM) and a low detection limit (0.4 μM) with a high sensitivity of 302.99 μA·mM -1 ·cm -2 . Furthermore, the application of the as-prepared sensor in detection of glucose in human serum was successfully demonstrated. These superior performances prove that ultrathin 2D nickel-cobalt phosphate nanosheets are promising materials in the field of electrochemical sensing.

  8. Atomic Scale Control of Competing Electronic Phases in Ultrathin Correlated Oxides

    NASA Astrophysics Data System (ADS)

    Shen, Kyle

    2015-03-01

    Ultrathin epitaxial thin films offer a number of unique advantages for engineering the electronic properties of correlated transition metal oxides. For example, atomically thin films can be synthesized to artificially confine electrons in two dimensions. Furthermore, using a substrate with a mismatched lattice constant can impose large biaxial strains of larger than 3% (Δa / a), much larger than can achieved in bulk single crystals. Since these dimensionally confined or strained systems may necessarily be less than a few unit cells thick, investigating their properties and electronic structure can be particularly challenging. We employ a combination of reactive oxide molecular beam epitaxy (MBE) and angle-resolved photoemission spectroscopy (ARPES) to investigate how dimensional confinement and epitaxial strain can be used to manipulate electronic properties and structure in correlated transition metal oxide thin films. We describe some of our recent work manipulating and studying the electronic structure of ultrathin LaNiO3 through a thickness-driven metal-insulator transition between three and two unit cells (Nature Nanotechnology 9, 443, 2014), where coherent Fermi liquid-like quasiparticles are suppressed at the metal-insulator transition observed in transport. We also will describe some recent unpublished work using epitaxial strain to drive a Lifshitz transition in atomically thin films of the spin-triplet ruthenate superconductor Sr2RuO4, where we also can dramatically alter the quasiparticle scattering rates and drive the system towards non-Fermi liquid behavior near the critical point (B. Burganov, C. Adamo, in preparation). Funding provided by the Office of Naval Research and Air Force Office of Scientific Research.

  9. Charge transfer from an adsorbed ruthenium-based photosensitizer through an ultra-thin aluminium oxide layer and into a metallic substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gibson, Andrew J.; Temperton, Robert H.; Handrup, Karsten

    2014-06-21

    The interaction of the dye molecule N3 (cis-bis(isothiocyanato)bis(2,2-bipyridyl-4,4′-dicarbo-xylato) -ruthenium(II)) with the ultra-thin oxide layer on a AlNi(110) substrate, has been studied using synchrotron radiation based photoelectron spectroscopy, resonant photoemission spectroscopy, and near edge X-ray absorption fine structure spectroscopy. Calibrated X-ray absorption and valence band spectra of the monolayer and multilayer coverages reveal that charge transfer is possible from the molecule to the AlNi(110) substrate via tunnelling through the ultra-thin oxide layer and into the conduction band edge of the substrate. This charge transfer mechanism is possible from the LUMO+2 and 3 in the excited state but not from the LUMO,more » therefore enabling core-hole clock analysis, which gives an upper limit of 6.0 ± 2.5 fs for the transfer time. This indicates that ultra-thin oxide layers are a viable material for use in dye-sensitized solar cells, which may lead to reduced recombination effects and improved efficiencies of future devices.« less

  10. Trends in the thermodynamic stability of ultrathin supported oxide films

    DOE PAGES

    Plessow, Philipp N.; Bajdich, Michal; Greene, Joshua; ...

    2016-05-05

    The formation of thin oxide films on metal supports is an important phenomenon, especially in the context of strong metal support interaction (SMSI). Computational predictions of the stability of these films are hampered by their structural complexity and a varying lattice mismatch with different supports. In this study, we report a large combination of supports and ultrathin oxide films studied with density functional theory (DFT). Trends in stability are investigated through a descriptor-based analysis. Since the studied films are bound to the support exclusively through metal–metal interaction, the adsorption energy of the oxide-constituting metal atom can be expected to bemore » a reasonable descriptor for the stability of the overlayers. If the same supercell is used for all supports, the overlayers experience different amounts of stress. Using supercells with small lattice mismatch for each system leads to significantly improved scaling relations for the stability of the overlayers. Finally, this approach works well for the studied systems and therefore allows the descriptor-based exploration of the thermodynamic stability of supported thin oxide layers.« less

  11. Ultrathin epitaxial barrier layer to avoid thermally induced phase transformation in oxide heterostructures

    DOE PAGES

    Baek, David J.; Lu, Di; Hikita, Yasuyuki; ...

    2016-12-22

    Incorporating oxides with radically different physical and chemical properties into heterostructures offers tantalizing possibilities to derive new functions and structures. Recently, we have fabricated freestanding 2D oxide membranes using the water-soluble perovskite Sr 3Al 2O 6 as a sacrificial buffer layer. Here, with atomic-resolution spectroscopic imaging, we observe that direct growth of oxide thin films on Sr 3Al 2O 6 can cause complete phase transformation of the buffer layer, rendering it water-insoluble. More importantly, we demonstrate that an ultrathin SrTiO 3 layer can be employed as an effective barrier to preserve Sr 3Al 2O 6 during subsequent growth, thus allowingmore » its integration in a wider range of oxide heterostructures.« less

  12. Efficient photocatalytic selective nitro-reduction and C-H bond oxidation over ultrathin sheet mediated CdS flowers.

    PubMed

    Pahari, Sandip Kumar; Pal, Provas; Srivastava, Divesh N; Ghosh, Subhash Ch; Panda, Asit Baran

    2015-06-28

    We report here a visible light driven selective nitro-reduction and oxidation of saturated sp(3) C-H bonds using ultrathin (0.8 nm) sheet mediated uniform CdS flowers as catalyst under a household 40 W CFL lamp and molecular oxygen as oxidant. The CdS flowers were synthesized using a simple surfactant assisted hydrothermal method.

  13. Transparent conducting oxide induced by liquid electrolyte gating

    NASA Astrophysics Data System (ADS)

    ViolBarbosa, Carlos; Karel, Julie; Kiss, Janos; Gordan, Ovidiu-dorin; Altendorf, Simone G.; Utsumi, Yuki; Samant, Mahesh G.; Wu, Yu-Han; Tsuei, Ku-Ding; Felser, Claudia; Parkin, Stuart S. P.

    2016-10-01

    Optically transparent conducting materials are essential in modern technology. These materials are used as electrodes in displays, photovoltaic cells, and touchscreens; they are also used in energy-conserving windows to reflect the infrared spectrum. The most ubiquitous transparent conducting material is tin-doped indium oxide (ITO), a wide-gap oxide whose conductivity is ascribed to n-type chemical doping. Recently, it has been shown that ionic liquid gating can induce a reversible, nonvolatile metallic phase in initially insulating films of WO3. Here, we use hard X-ray photoelectron spectroscopy and spectroscopic ellipsometry to show that the metallic phase produced by the electrolyte gating does not result from a significant change in the bandgap but rather originates from new in-gap states. These states produce strong absorption below ˜1 eV, outside the visible spectrum, consistent with the formation of a narrow electronic conduction band. Thus WO3 is metallic but remains colorless, unlike other methods to realize tunable electrical conductivity in this material. Core-level photoemission spectra show that the gating reversibly modifies the atomic coordination of W and O atoms without a substantial change of the stoichiometry; we propose a simple model relating these structural changes to the modifications in the electronic structure. Thus we show that ionic liquid gating can tune the conductivity over orders of magnitude while maintaining transparency in the visible range, suggesting the use of ionic liquid gating for many applications.

  14. Ultrathin gas permeable oxide membranes for chemical sensing: Nanoporous Ta 2O 5 test study

    DOE PAGES

    Imbault, Alexander; Wang, Yue; Kruse, Peter; ...

    2015-09-25

    Conductometric gas sensors made of gas permeable metal oxide ultrathin membranes can combine the functions of a selective filter, preconcentrator, and sensing element and thus can be particularly promising for the active sampling of diluted analytes. Here we report a case study of the electron transport and gas sensing properties of such a membrane made of nanoporous Ta 2O 5. These membranes demonstrated a noticeable chemical sensitivity toward ammonia, ethanol, and acetone at high temperatures above 400 °C. Furthermore, different from traditional thin films, such gas permeable, ultrathin gas sensing elements can be made suspended enabling advanced architectures of ultrasensitivemore » analytical systems operating at high temperatures and in harsh environments.« less

  15. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO2/Si interfaces with low defect densities

    NASA Astrophysics Data System (ADS)

    Stegemann, Bert; Gad, Karim M.; Balamou, Patrice; Sixtensson, Daniel; Vössing, Daniel; Kasemann, Martin; Angermann, Heike

    2017-02-01

    Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO2/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO2/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO2/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO2/Si interfaces have been shown to generate less interface defect states.

  16. Effective passivation of silicon surfaces by ultrathin atomic-layer deposited niobium oxide

    NASA Astrophysics Data System (ADS)

    Macco, B.; Bivour, M.; Deijkers, J. H.; Basuvalingam, S. B.; Black, L. E.; Melskens, J.; van de Loo, B. W. H.; Berghuis, W. J. H.; Hermle, M.; Kessels, W. M. M. Erwin

    2018-06-01

    This letter reports on effective surface passivation of n-type crystalline silicon by ultrathin niobium oxide (Nb2O5) films prepared by atomic layer deposition (ALD) and subjected to a forming gas anneal at 300 °C. A champion recombination parameter J0 of 20 fA/cm2 and a surface recombination velocity Seff of 4.8 cm/s have been achieved for ultrathin films of 1 nm. The surface pretreatment was found to have a strong impact on the passivation. Good passivation can be achieved on both HF-treated c-Si surfaces and c-Si surfaces with a wet-chemically grown interfacial silicon oxide layer. On HF-treated surfaces, a minimum film thickness of 3 nm is required to achieve a high level of surface passivation, whereas the use of a wet chemically-grown interfacial oxide enables excellent passivation even for Nb2O5 films of only 1 nm. This discrepancy in passivation between both surface types is attributed to differences in the formation and stoichiometry of interfacial silicon oxide, resulting in different levels of chemical passivation. On both surface types, the high level of passivation of ALD Nb2O5 is aided by field-effect passivation originating from a high fixed negative charge density of 1-2 × 1012 cm-3. Furthermore, it is demonstrated that the passivation level provided by 1 nm of Nb2O5 can be further enhanced through light-soaking. Finally, initial explorations show that a low contact resistivity can be obtained using Nb2O5-based contacts. Together, these properties make ALD Nb2O5 a highly interesting building block for high-efficiency c-Si solar cells.

  17. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  18. Ultrathin and Atomically Flat Transition-Metal Oxide: Promising Building Blocks for Metal-Insulator Electronics.

    PubMed

    Cui, Qingsong; Sakhdari, Maryam; Chamlagain, Bhim; Chuang, Hsun-Jen; Liu, Yi; Cheng, Mark Ming-Cheng; Zhou, Zhixian; Chen, Pai-Yen

    2016-12-21

    We present a new and viable template-assisted thermal synthesis method for preparing amorphous ultrathin transition-metal oxides (TMOs) such as TiO 2 and Ta 2 O 5 , which are converted from crystalline two-dimensional (2D) transition-metal dichalcogenides (TMDs) down to a few atomic layers. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning transmission electron microscopy (STEM) were used to characterize the chemical composition and bonding, surface morphology, and atomic structure of these ultrathin amorphous materials to validate the effectiveness of our synthesis approach. Furthermore, we have fabricated metal-insulator-metal (MIM) diodes using the TiO 2 and Ta 2 O 5 as ultrathin insulating layers with low potential barrier heights. Our MIM diodes show a clear transition from direct tunneling to Fowler-Nordheim tunneling, which was not observed in previously reported MIM diodes with TiO 2 or Ta 2 O 5 as the insulating layer. We attribute the improved performance of our MIM diodes to the excellent flatness and low pinhole/defect densities in our TMO insulting layers converted from 2D TMDs, which enable the low-threshold and controllable electron tunneling transport. We envision that it is possible to use the ultrathin TMOs converted from 2D TMDs as the insulating layer of a wide variety of metal-insulator and field-effect electronic devices for various applications ranging from microwave mixing, parametric conversion, infrared photodetection, emissive energy harvesting, to ultrafast electronic switching.

  19. Electrical properties of spin coated ultrathin titanium oxide films on GaAs

    NASA Astrophysics Data System (ADS)

    Dutta, Shankar; Pal, Ramjay; Chatterjee, Ratnamala

    2015-04-01

    In recent years, ultrathin (<50 nm) metal oxide films have been being extensively studied as high-k dielectrics for future metal oxide semiconductor (MOS) technology. This paper discusses deposition of ultrathin TiO2 films (˜10 nm) on GaAs substrates (one sulfur-passivated, another unpassivated) by spin coating technique. The sulfur passivation is done to reduce the surface states of GaAs substrate. After annealing at 400 °C in a nitrogen environment, the TiO2 films are found to be polycrystalline in nature with rutile phase. The TiO2 films exhibit consistent grain size of 10-20 nm with thickness around 10-12 nm. Dielectric constants of the films are found to be 65.4 and 47.1 corresponding to S-passivated and unpassivated substrates, respectively. Corresponding threshold voltages of the MOS structures are measured to be -0.1 V to -0.3 V for the S-passivated and unpassivated samples, respectively. The S-passivated TiO2 film showed improved (lower) leakage current density (5.3 × 10-4 A cm-2 at 3 V) compared to the unpassivated film (1.8 × 10-3 A/cm2 at 3 V). Dielectric breakdown-field of the TiO2 films on S-passivated and unpassivated GaAs samples are found to be 8.4 MV cm-1 and 7.2 MV cm-1 respectively.

  20. Utilizing self-assembled-monolayer-based gate dielectrics to fabricate molybdenum disulfide field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawanago, Takamasa, E-mail: kawanago.t.ab@m.titech.ac.jp; Oda, Shunri

    In this study, we apply self-assembled-monolayer (SAM)-based gate dielectrics to the fabrication of molybdenum disulfide (MoS{sub 2}) field-effect transistors. A simple fabrication process involving the selective formation of a SAM on metal oxides in conjunction with the dry transfer of MoS{sub 2} flakes was established. A subthreshold slope (SS) of 69 mV/dec and no hysteresis were demonstrated with the ultrathin SAM-based gate dielectrics accompanied by a low gate leakage current. The small SS and no hysteresis indicate the superior interfacial properties of the MoS{sub 2}/SAM structure. Cross-sectional transmission electron microscopy revealed a sharp and abrupt interface of the MoS{sub 2}/SAM structure.more » The SAM-based gate dielectrics are found to be applicable to the fabrication of low-voltage MoS{sub 2} field-effect transistors and can also be extended to various layered semiconductor materials. This study opens up intriguing possibilities of SAM-based gate dielectrics in functional electronic devices.« less

  1. Ultrathin Lutetium Oxide Film as an Epitaxial Hole-Blocking Layer for Crystalline Bismuth Vanadate Water Splitting Photoanodes

    DOE PAGES

    Zhang, Wenrui; Yan, Danhua; Tong, Xiao; ...

    2018-01-08

    Here a novel ultrathin lutetium oxide (Lu 2O 3) interlayer is integrated with crystalline bismuth vanadate (BiVO4) thin film photoanodes to facilitate carrier transport through atomic-scale interface control. The epitaxial Lu 2O 32O 3

  2. Parameter Space of Atomic Layer Deposition of Ultrathin Oxides on Graphene

    PubMed Central

    2016-01-01

    Atomic layer deposition (ALD) of ultrathin aluminum oxide (AlOx) films was systematically studied on supported chemical vapor deposition (CVD) graphene. We show that by extending the precursor residence time, using either a multiple-pulse sequence or a soaking period, ultrathin continuous AlOx films can be achieved directly on graphene using standard H2O and trimethylaluminum (TMA) precursors even at a high deposition temperature of 200 °C, without the use of surfactants or other additional graphene surface modifications. To obtain conformal nucleation, a precursor residence time of >2s is needed, which is not prohibitively long but sufficient to account for the slow adsorption kinetics of the graphene surface. In contrast, a shorter residence time results in heterogeneous nucleation that is preferential to defect/selective sites on the graphene. These findings demonstrate that careful control of the ALD parameter space is imperative in governing the nucleation behavior of AlOx on CVD graphene. We consider our results to have model system character for rational two-dimensional (2D)/non-2D material process integration, relevant also to the interfacing and device integration of the many other emerging 2D materials. PMID:27723305

  3. High performance top-gated ferroelectric field effect transistors based on two-dimensional ZnO nanosheets

    NASA Astrophysics Data System (ADS)

    Tian, Hongzheng; Wang, Xudong; Zhu, Yuankun; Liao, Lei; Wang, Xianying; Wang, Jianlu; Hu, Weida

    2017-01-01

    High quality ultrathin two-dimensional zinc oxide (ZnO) nanosheets (NSs) are synthesized, and the ZnO NS ferroelectric field effect transistors (FeFETs) are demonstrated based on the P(VDF-TrFE) polymer film used as the top gate insulating layer. The ZnO NSs exhibit a maximum field effect mobility of 588.9 cm2/Vs and a large transconductance of 2.5 μS due to their high crystalline quality and ultrathin two-dimensional structure. The polarization property of the P(VDF-TrFE) film is studied, and a remnant polarization of >100 μC/cm2 is achieved with a P(VDF-TrFE) thickness of 300 nm. Because of the ultrahigh remnant polarization field generated in the P(VDF-TrFE) film, the FeFETs show a large memory window of 16.9 V and a high source-drain on/off current ratio of more than 107 at zero gate voltage and a source-drain bias of 0.1 V. Furthermore, a retention time of >3000 s of the polarization state is obtained, inspiring a promising candidate for applications in data storage with non-volatile features.

  4. A Hydrogel of Ultrathin Pure Polyaniline Nanofibers: Oxidant-Templating Preparation and Supercapacitor Application.

    PubMed

    Zhou, Kun; He, Yuan; Xu, Qingchi; Zhang, Qin'e; Zhou, An'an; Lu, Zihao; Yang, Li-Kun; Jiang, Yuan; Ge, Dongtao; Liu, Xiang Yang; Bai, Hua

    2018-05-15

    Although challenging, fabrication of porous conducting polymeric materials with excellent electronic properties is crucial for many applications. We developed a fast in situ polymerization approach to pure polyaniline (PANI) hydrogels, with vanadium pentoxide hydrate nanowires as both the oxidant and sacrifice template. A network comprised of ultrathin PANI nanofibers was generated during the in situ polymerization, and the large aspect ratio of these PANI nanofibers allowed the formation of hydrogels at a low solid content of 1.03 wt %. Owing to the ultrathin fibril structure, PANI hydrogels functioning as a supercapacitor electrode display a high specific capacitance of 636 F g -1 , a rate capability, and good cycling stability (∼83% capacitance retention after 10,000 cycles). This method was also extended to the preparation of polypyrrole and poly(3,4-ethylenedioxythiophene) hydrogels. This template polymerization method represents a rational strategy for design of conducing polymer networks, which can be readily integrated in high-performance devices or a further platform for functional composites.

  5. Dynamics of ultrathin V-oxide layers on Rh(111) in catalytic oxidation of ammonia and CO.

    PubMed

    von Boehn, B; Preiss, A; Imbihl, R

    2016-07-20

    Catalytic oxidation of ammonia and CO has been studied in the 10(-4) mbar range using a catalyst prepared by depositing ultra-thin vanadium oxide layers on Rh(111) (θV ≈ 0.2 MLE). Using photoemission electron microscopy (PEEM) as a spatially resolving method, we observe that upon heating in an atmosphere of NH3 and O2 the spatial homogeneity of the VOx layer is removed at 800 K and a pattern consisting of macroscopic stripes develops; at elevated temperatures this pattern transforms into a pattern of circular VOx islands. Under reaction conditions the neighboring VOx islands become attracted by each other and coalesce. Similar processes of pattern formation and island coalescence are observed in catalytic CO oxidation. Reoxidation of the reduced VOx catalyst proceeds via surface diffusion of oxygen adsorbed onto Rh(111). A pattern consisting of macroscopic circular VOx islands can also be obtained by heating a Rh(111)/VOx catalyst in pure O2.

  6. Free-standing ultrathin CoMn2O4 nanosheets anchored on reduced graphene oxide for high-performance supercapacitors.

    PubMed

    Gao, Guoxin; Lu, Shiyao; Xiang, Yang; Dong, Bitao; Yan, Wei; Ding, Shujiang

    2015-11-21

    Ultrathin CoMn2O4 nanosheets supported on reduced graphene oxide (rGO) are successfully synthesized through a simple co-precipitation method with a post-annealing treatment. With the assistance of citrate, the free-standing CoMn2O4 ultrathin nanosheets can form porous overlays on both sides of the rGO sheets. Such a novel hybrid nanostructure can effectively promote charge transport and accommodate volume variation upon prolonged charge/discharge cycling. When evaluated as a promising electrode for supercapacitors in a 6 M KOH solution electrolyte, the hybrid nanocomposites demonstrate highly enhanced capacitance and excellent cycling stability.

  7. Tailoring the composition of ultrathin, ternary alloy PtRuFe nanowires for the methanol oxidation reaction and formic acid oxidation reaction

    DOE PAGES

    Scofield, Megan E.; Koenigsmann, Christopher; Wang, Lei; ...

    2014-11-25

    In the search for alternatives to conventional Pt electrocatalysts, we have synthesized ultrathin, ternary PtRuFe nanowires (NW), possessing different chemical compositions in order to probe their CO tolerance as well as electrochemical activity as a function of composition for both (i) the methanol oxidation reaction (MOR) and (ii) the formic acid oxidation reaction (FAOR). As-prepared ‘multifunctional’ ternary NW catalysts exhibited both higher MOR and FAOR activity as compared with binary Pt₇Ru₃ NW, monometallic Pt NW, and commercial catalyst control samples. In terms of synthetic novelty, we utilized a sustainably mild, ambient wet-synthesis method never previously applied to the fabrication ofmore » crystalline, pure ternary systems in order to fabricate ultrathin, homogeneous alloy PtRuFe NWs with a range of controlled compositions. Thus, these NWs were subsequently characterized using a suite of techniques including XRD, TEM, SAED, and EDAX in order to verify not only the incorporation of Ru and Fe into the Pt lattice but also their chemical homogeneity, morphology, as well as physical structure and integrity. Lastly, these NWs were electrochemically tested in order to deduce the appropriateness of conventional explanations such as (i) the bi-functional mechanism as well as (ii) the ligand effect to account for our MOR and FAOR reaction data. Specifically, methanol oxidation appears to be predominantly influenced by the Ru content, whereas formic acid oxidation is primarily impacted by the corresponding Fe content within the ternary metal alloy catalyst itself.« less

  8. Controllable Preparation of Ultrathin Sandwich-Like Membrane with Porous Organic Framework and Graphene Oxide for Molecular Filtration

    NASA Astrophysics Data System (ADS)

    Zhu, Yuanzhi; Xu, Danyun; Zhao, Qingshan; Li, Yang; Peng, Wenchao; Zhang, Guoliang; Zhang, Fengbao; Fan, Xiaobin

    2015-10-01

    Porous organic frameworks (POFs) based membranes have potential applications in molecular filtration, despite the lack of a corresponding study. This study reports an interesting strategy to get processable POFs dispersion and a novel ultrathin sandwich-like membrane design. It was accidentally found that the hydrophobic N-rich Schiff based POFs agglomerates could react with lithium-ethylamine and formed stable dispersion in water. By successively filtrating the obtained POFs dispersion and graphene oxide (GO), we successfully prepared ultrathin sandwich-like hybrid membranes with layered structure, which showed significantly improved separation efficiency in molecular filtration of organic dyes. This study may provide a universal way to the preparation of processable POFs and their hybrid membranes with GO.

  9. Gate bias stress stability under light irradiation for indium zinc oxide thin-film transistors based on anodic aluminium oxide gate dielectrics

    NASA Astrophysics Data System (ADS)

    Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao

    2011-11-01

    Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.

  10. In situ study of the electronic structure of atomic layer deposited oxide ultrathin films upon oxygen adsorption using ambient pressure XPS

    DOE PAGES

    Mao, Bao-Hua; Crumlin, Ethan; Tyo, Eric C.; ...

    2016-07-21

    In this work, ambient pressure X-ray photoelectron spectroscopy (APXPS) was used to investigate the effect of oxygen adsorption on the band bending and electron affinity of Al 2O 3, ZnO and TiO 2 ultrathin films (~1 nm in thickness) deposited on a Si substrate by atomic layer deposition (ALD). Upon exposure to oxygen at room temperature (RT), upward band bending was observed on all three samples, and a decrease in electron affinity was observed on Al 2O 3 and ZnO ultrathin films at RT. At 80°C, the magnitude of the upward band bending decreased, and the change in the electronmore » affinity vanished. These results indicate the existence of two surface oxygen species: a negatively charged species that is strongly adsorbed and responsible for the observed upward band bending, and a weakly adsorbed species that is polarized, lowering the electron affinity. Based on the extent of upward band bending on the three samples, the surface coverage of the strongly adsorbed species exhibits the following order: Al 2O 3 > ZnO > TiO 2. This finding is in stark contrast to the trend expected on the surface of these bulk oxides, and highlights the unique surface activity of ultrathin oxide films with important implications, for example, in oxidation reactions taking place on these films or in catalyst systems where such oxides are used as a support material.« less

  11. Multibit Polycristalline Silicon-Oxide-Silicon Nitride-Oxide-Silicon Memory Cells with High Density Designed Utilizing a Separated Control Gate

    NASA Astrophysics Data System (ADS)

    Rok Kim, Kyeong; You, Joo Hyung; Dal Kwack, Kae; Kim, Tae Whan

    2010-10-01

    Unique multibit NAND polycrystalline silicon-oxide-silicon nitride-oxide-silicon (SONOS) memory cells utilizing a separated control gate (SCG) were designed to increase memory density. The proposed NAND SONOS memory device based on a SCG structure was operated as two bits, resulting in an increase in the storage density of the NVM devices in comparison with conventional single-bit memories. The electrical properties of the SONOS memory cells with a SCG were investigated to clarify the charging effects in the SONOS memory cells. When the program voltage was supplied to each gate of the NAND SONOS flash memory cells, the electrons were trapped in the nitride region of the oxide-nitride-oxide layer under the gate to supply the program voltage. The electrons were accumulated without affecting the other gate during the programming operation, indicating the absence of cross-talk between two trap charge regions. It is expected that the inference effect will be suppressed by the lower program voltage than the program voltage of the conventional NAND flash memory. The simulation results indicate that the proposed unique NAND SONOS memory cells with a SCG can be used to increase memory density.

  12. Differential-Mode Biosensor Using Dual Extended-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jinhyeon; Lee, Hee Ho; Ahn, Jungil; Seo, Sang-Ho; Shin, Jang-Kyoo

    2012-06-01

    In this paper, we present a differential-mode biosensor using dual extended-gate metal-oxide-semiconductor field-effect transistors (MOSFETs), which possesses the advantages of both the extended-gate structure and the differential-mode operation. The extended-gate MOSFET was fabricated using a 0.6 µm standard complementary metal oxide semiconductor (CMOS) process. The Au extended gate is the sensing gate on which biomolecules are immobilized, while the Pt extended gate is the dummy gate for use in the differential-mode detection circuit. The differential-mode operation offers many advantages such as insensitivity to the variation of temperature and light, as well as low noise. The outputs were measured using a semiconductor parameter analyzer in a phosphate buffered saline (PBS; pH 7.4) solution. A standard Ag/AgCl reference electrode was used to apply the gate bias. We measured the variation of output voltage with time, temperature, and light intensity. The bindings of self-assembled monolayer (SAM), streptavidin, and biotin caused a variation in the output voltage of the differential-mode detection circuit and this was confirmed by surface plasmon resonance (SPR) experiment. Biotin molecules could be detected up to a concentration of as low as 0.001 µg/ml.

  13. Phosphorus oxide gate dielectric for black phosphorus field effect transistors

    NASA Astrophysics Data System (ADS)

    Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.

    2018-04-01

    The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.

  14. Ultrathin Pt xSn 1–x Nanowires for Methanol and Ethanol Oxidation Reactions: Tuning Performance by Varying Chemical Composition

    DOE PAGES

    Li, Luyao; Liu, Haiqing; Qin, Chao; ...

    2018-02-28

    Pt-based alloys denote promising catalysts for the methanol oxidation reaction (MOR) and the ethanol oxidation reaction (EOR), due to their enhanced activity toward alcohol-oxidation reactions and reduced cost as compared with Pt alone. Among all of these binary systems, PtSn has been reported to exhibit superior methanol/ethanol oxidation activity. In this paper, we deliberatively tailor chemical composition, reduce size, and optimize morphology of the catalyst in an effort to understand structure–property correlations that can be used to improve upon the electrocatalytic activity of these systems. Previous work performed by our group suggested that Pt-based catalysts, possessing an ultrathin one-dimensional (1D)more » structure, dramatically promote both cathodic and anodic reactions with respect to their zero-dimensional (0D) counterparts. Herein, a novel set of ultrathin binary Pt–Sn 1D nanowire (NW) catalysts with rationally controlled chemical compositions, i.e., Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3, has been synthesized using a facile, room-temperature, wet-solution-based method. The crystallinity and chemical composition of these as-prepared samples were initially characterized using XRD, XPS, and EDX. Results revealed that this synthetic protocol could successfully generate PtSn alloys with purposely tunable chemical compositions. TEM and HRTEM verified the structural integrity of our ultrathin 1D NW morphology for our Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3 samples. The effects of varying Sn content within these alloy samples toward the electro-oxidation reaction of methanol and ethanol were probed using cyclic voltammetry (CV) in acidic media. Finally, within this series, we find that the optimized chemical composition for both the MOR and the EOR is Pt 7Sn 3.« less

  15. Ultrathin Pt xSn 1–x Nanowires for Methanol and Ethanol Oxidation Reactions: Tuning Performance by Varying Chemical Composition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Luyao; Liu, Haiqing; Qin, Chao

    Pt-based alloys denote promising catalysts for the methanol oxidation reaction (MOR) and the ethanol oxidation reaction (EOR), due to their enhanced activity toward alcohol-oxidation reactions and reduced cost as compared with Pt alone. Among all of these binary systems, PtSn has been reported to exhibit superior methanol/ethanol oxidation activity. In this paper, we deliberatively tailor chemical composition, reduce size, and optimize morphology of the catalyst in an effort to understand structure–property correlations that can be used to improve upon the electrocatalytic activity of these systems. Previous work performed by our group suggested that Pt-based catalysts, possessing an ultrathin one-dimensional (1D)more » structure, dramatically promote both cathodic and anodic reactions with respect to their zero-dimensional (0D) counterparts. Herein, a novel set of ultrathin binary Pt–Sn 1D nanowire (NW) catalysts with rationally controlled chemical compositions, i.e., Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3, has been synthesized using a facile, room-temperature, wet-solution-based method. The crystallinity and chemical composition of these as-prepared samples were initially characterized using XRD, XPS, and EDX. Results revealed that this synthetic protocol could successfully generate PtSn alloys with purposely tunable chemical compositions. TEM and HRTEM verified the structural integrity of our ultrathin 1D NW morphology for our Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3 samples. The effects of varying Sn content within these alloy samples toward the electro-oxidation reaction of methanol and ethanol were probed using cyclic voltammetry (CV) in acidic media. Finally, within this series, we find that the optimized chemical composition for both the MOR and the EOR is Pt 7Sn 3.« less

  16. Controllable fabrication of ultrathin free-standing graphene films

    PubMed Central

    Chen, Jianyi; Guo, Yunlong; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Liu, Hongtao; Wu, Bin; Yu, Gui; Hu, Wenping; Liu, Yunqi; Zhu, Daoben

    2014-01-01

    Graphene free-standing film-like or paper-like materials have attracted great attention due to their intriguing electronic, optical and mechanical properties and potential application in chemical filters, molecular storage and supercapacitors. Although significant progress has been made in fabricating graphene films or paper, there is still no effective method targeting ultrathin free-standing graphene films (UFGFs). Here, we present a modified filtration assembly method to prepare these ultrathin films. With this approach, we have fabricated a series of ultrathin free-standing graphene oxide films and UFGFs, up to 40 mm in diameter, with controllable thickness from micrometre to nanoscale (approx. 40 nm) dimensions. This method can be easily scaled up and the films display excellent optical, electrical and electrochemical properties. The ability to produce UFGFs from graphene oxide with a scalable, low-cost approach should take us a step closer to real-world applications of graphene. PMID:24615152

  17. Metal Adatoms and Clusters on Ultrathin Zirconia Films

    PubMed Central

    2016-01-01

    Nucleation and growth of transition metals on zirconia has been studied by scanning tunneling microscopy (STM) and density functional theory (DFT) calculations. Since STM requires electrical conductivity, ultrathin ZrO2 films grown by oxidation of Pt3Zr(0001) and Pd3Zr(0001) were used as model systems. DFT studies were performed for single metal adatoms on supported ZrO2 films as well as the (1̅11) surface of monoclinic ZrO2. STM shows decreasing cluster size, indicative of increasing metal–oxide interaction, in the sequence Ag < Pd ≈ Au < Ni ≈ Fe. Ag and Pd nucleate mostly at steps and domain boundaries of ZrO2/Pt3Zr(0001) and form three-dimensional clusters. Deposition of low coverages of Ni and Fe at room temperature leads to a high density of few-atom clusters on the oxide terraces. Weak bonding of Ag to the oxide is demonstrated by removing Ag clusters with the STM tip. DFT calculations for single adatoms show that the metal–oxide interaction strength increases in the sequence Ag < Au < Pd < Ni on monoclinic ZrO2, and Ag ≈ Au < Pd < Ni on the supported ultrathin ZrO2 film. With the exception of Au, metal nucleation and growth on ultrathin zirconia films follow the usual rules: More reactive (more electropositive) metals result in a higher cluster density and wet the surface more strongly than more noble metals. These bind mainly to the oxygen anions of the oxide. Au is an exception because it can bind strongly to the Zr cations. Au diffusion may be impeded by changing its charge state between −1 and +1. We discuss differences between the supported ultrathin zirconia films and the surfaces of bulk ZrO2, such as the possibility of charge transfer to the substrate of the films. Due to their large in-plane lattice constant and the variety of adsorption sites, ZrO2{111} surfaces are more reactive than many other oxygen-terminated oxide surfaces. PMID:27213024

  18. Ultrathin free-standing graphene oxide film based flexible touchless sensor

    NASA Astrophysics Data System (ADS)

    Liu, Lin; Wang, Yingyi; Li, Guanghui; Qin, Sujie; Zhang, Ting

    2018-01-01

    Ultrathin free-standing graphene oxide (GO) films were fabricated by vacuum filtration method assisted with Ni(OH)2 nanosheets as the sacrifice layer. The surface of the obtained GO film is very clean as the Ni(OH)2 nanosheets can be thoroughly etched by HCl. The thickness of the GO films can be well-controlled by changing the volume of GO dispersion, and the thinnest GO film reached ~12 nm. As a novel and transparent dielectric material, the GO film has been applied as the dielectric layer for the flexible touchless capacitive sensor which can effectively distinguish the approaching of an insulator or a conductor. Project supported by the National Natural Science Foundation of China (No. 61574163) and the Foundation Research Project of Jiangsu Province (Nos. BK20160392, BK20170008).

  19. Effect of top gate potential on bias-stress for dual gate amorphous indium-gallium-zinc-oxide thin film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chun, Minkyu; Um, Jae Gwang; Park, Min Sang

    We report the abnormal behavior of the threshold voltage (V{sub TH}) shift under positive bias Temperature stress (PBTS) and negative bias temperature stress (NBTS) at top/bottom gate in dual gate amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). It is found that the PBTS at top gate shows negative transfer shift and NBTS shows positive transfer shift for both top and bottom gate sweep. The shift of bottom/top gate sweep is dominated by top gate bias (V{sub TG}), while bottom gate bias (V{sub BG}) is less effect than V{sub TG}. The X-ray photoelectron spectroscopy (XPS) depth profile provides the evidence of Inmore » metal diffusion to the top SiO{sub 2}/a-IGZO and also the existence of large amount of In{sup +} under positive top gate bias around top interfaces, thus negative transfer shift is observed. On the other hand, the formation of OH{sup −} at top interfaces under the stress of negative top gate bias shows negative transfer shift. The domination of V{sub TG} both on bottom/top gate sweep after PBTS/NBTS is obviously occurred due to thin active layer.« less

  20. Oxidation of the Ru(0001) surface covered by weakly bound, ultrathin silicate films

    DOE PAGES

    Emmez, Emre; Anibal Boscoboinik, J.; Tenney, Samuel; ...

    2015-06-30

    Bilayer silicate films grown on metal substrates are weakly bound to the metal surfaces, which allows ambient gas molecules to intercalate the oxide/metal interface. In this work, we studied the interaction of oxygen with Ru(0001) supported ultrathin silicate and aluminosilicate films at elevated O 2 pressures (10 -5–10 mbar) and temperatures (450–923 K). The results show that the silicate films stay essentially intact under these conditions, and oxygen in the film does not exchange with oxygen in the ambient. O 2 molecules readily penetrate the film and dissociate on the underlying Ru surface underneath. Also, the silicate layer does howevermore » strongly passivate the Ru surface towards RuO 2(110) oxide formation that readily occurs on bare Ru(0001) under the same conditions. Lastly, the results indicate considerable spatial effects for oxidation reactions on metal surfaces in the confined space at the interface. Moreover, the aluminosilicate films completely suppress the Ru oxidation, providing some rationale for using crystalline aluminosilicates in anti-corrosion coatings.« less

  1. Structure of a zinc oxide ultra-thin film on Rh(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yuhara, J.; Kato, D.; Matsui, T.

    The structural parameters of ultra-thin zinc oxide films on Rh(100) are investigated using low-energy electron diffraction intensity (LEED I–V) curves, scanning tunneling microscopy (STM), and first-principles density functional theory (DFT) calculations. From the analysis of LEED I–V curves and DFT calculations, two optimized models A and B are determined. Their structures are basically similar to the planer h-BN ZnO(0001) structure, although some oxygen atoms protrude from the surface, associated with an in-plane shift of Zn atoms. From a comparison of experimental STM images and simulated STM images, majority and minority structures observed in the STM images represent the two optimizedmore » models A and B, respectively.« less

  2. Solvothermal synthesis of gallium-indium-zinc-oxide nanoparticles for electrolyte-gated transistors.

    PubMed

    Santos, Lídia; Nunes, Daniela; Calmeiro, Tomás; Branquinho, Rita; Salgueiro, Daniela; Barquinha, Pedro; Pereira, Luís; Martins, Rodrigo; Fortunato, Elvira

    2015-01-14

    Solution-processed field-effect transistors are strategic building blocks when considering low-cost sustainable flexible electronics. Nevertheless, some challenges (e.g., processing temperature, reliability, reproducibility in large areas, and cost effectiveness) are requirements that must be surpassed in order to achieve high-performance transistors. The present work reports electrolyte-gated transistors using as channel layer gallium-indium-zinc-oxide nanoparticles produced by solvothermal synthesis combined with a solid-state electrolyte based on aqueous dispersions of vinyl acetate stabilized with cellulose derivatives, acrylic acid ester in styrene and lithium perchlorate. The devices fabricated using this approach display a ION/IOFF up to 1 × 10(6), threshold voltage (VTh) of 0.3-1.9 V, and mobility up to 1 cm(2)/(V s), as a function of gallium-indium-zinc-oxide ink formulation and two different annealing temperatures. These results validates the usage of electrolyte-gated transistors as a viable and promising alternative for nanoparticle based semiconductor devices as the electrolyte improves the interface and promotes a more efficient step coverage of the channel layer, reducing the operating voltage when compared with conventional dielectrics gating. Moreover, it is shown that by controlling the applied gate potential, the operation mechanism of the electrolyte-gated transistors can be modified from electric double layer to electrochemical doping.

  3. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  4. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  5. Reliability Characterization of Digital Microcircuits - Investigation of an In-Process Oxide Reliability Screening Method

    DTIC Science & Technology

    1993-04-01

    CLASSIFICATION 18. SECURITY CLASSIFICATION 19. SECURIlY CLASSIFICATION 20. UMITATION OF ABSTRACT OF REPORT OF THIS PAGE OF ABSTRACT UNCLASSIFIED UNCLASSIFIED...with the silicon underneath, growing a thin nitride layer. This layer of Si 3 N 4 , if not completely removed, will retard oxidation in the area...C. Shatas, K. C. Saraswat and J. D. Meindl, "Interfacial and Breakdown Characteristics of MOS Devices with Rapidly Grown Ultrathin SiO Gate

  6. Role of Oxygen in Ionic Liquid Gating on Two-Dimensional Cr2Ge2Te6: A Non-oxide Material.

    PubMed

    Chen, Yangyang; Xing, Wenyu; Wang, Xirui; Shen, Bowen; Yuan, Wei; Su, Tang; Ma, Yang; Yao, Yunyan; Zhong, Jiangnan; Yun, Yu; Xie, X C; Jia, Shuang; Han, Wei

    2018-01-10

    Ionic liquid gating can markedly modulate a material's carrier density so as to induce metallization, superconductivity, and quantum phase transitions. One of the main issues is whether the mechanism of ionic liquid gating is an electrostatic field effect or an electrochemical effect, especially for oxide materials. Recent observation of the suppression of the ionic liquid gate-induced metallization in the presence of oxygen for oxide materials suggests the electrochemical effect. However, in more general scenarios, the role of oxygen in the ionic liquid gating effect is still unclear. Here, we perform ionic liquid gating experiments on a non-oxide material: two-dimensional ferromagnetic Cr 2 Ge 2 Te 6 . Our results demonstrate that despite the large increase of the gate leakage current in the presence of oxygen, the oxygen does not affect the ionic liquid gating effect on  the channel resistance of Cr 2 Ge 2 Te 6 devices (<5% difference), which suggests the electrostatic field effect as the mechanism on non-oxide materials. Moreover, our results show that ionic liquid gating is more effective on the modulation of the channel resistances compared to the back gating across the 300 nm thick SiO 2 .

  7. Positive Bias Instability of Bottom-Gate Zinc Oxide Thin-Film Transistors with a SiOx/SiNx-Stacked Gate Insulator

    NASA Astrophysics Data System (ADS)

    Furuta, Mamoru; Kamada, Yudai; Hiramatsu, Takahiro; Li, Chaoyang; Kimura, Mutsumi; Fujita, Shizuo; Hirao, Takashi

    2011-03-01

    The positive bias instabilities of the zinc oxide thin-film transistors (ZnO TFTs) with a SiOx/SiNx-stacked gate insulator have been investigated. The film quality of a gate insulator of SiOx, which forms an interface with the ZnO channel, was varied by changing the gas mixture ratio of SiH4/N2O/N2 during plasma-enhanced chemical vapor deposition. The positive bias stress endurance of ZnO TFT strongly depended on the deposition condition of the SiOx gate insulator. From the relaxations of the transfer curve shift after imposition of positive bias stress, transfer curves could not be recovered completely without any thermal annealing. A charge trapping in a gate insulator rather than that in bulk ZnO and its interface with a gate insulator is a dominant instability mechanism of ZnO TFTs under positive bias stress.

  8. Room-temperature phosphorescence logic gates developed from nucleic acid functionalized carbon dots and graphene oxide

    NASA Astrophysics Data System (ADS)

    Gui, Rijun; Jin, Hui; Wang, Zonghua; Zhang, Feifei; Xia, Jianfei; Yang, Min; Bi, Sai; Xia, Yanzhi

    2015-04-01

    Room-temperature phosphorescence (RTP) logic gates were developed using capture ssDNA (cDNA) modified carbon dots and graphene oxide (GO). The experimental results suggested the feasibility of these developed RTP-based ``OR'', ``INHIBIT'' and ``OR-INHIBIT'' logic gate operations, using Hg2+, target ssDNA (tDNA) and doxorubicin (DOX) as inputs.Room-temperature phosphorescence (RTP) logic gates were developed using capture ssDNA (cDNA) modified carbon dots and graphene oxide (GO). The experimental results suggested the feasibility of these developed RTP-based ``OR'', ``INHIBIT'' and ``OR-INHIBIT'' logic gate operations, using Hg2+, target ssDNA (tDNA) and doxorubicin (DOX) as inputs. Electronic supplementary information (ESI) available: All experimental details, Part S1-3, Fig. S1-6 and Table S1. See DOI: 10.1039/c4nr07620f

  9. Electric field effect on exchange interaction in ultrathin Co films with ionic liquids

    NASA Astrophysics Data System (ADS)

    Ishibashi, Mio; Yamada, Kihiro T.; Shiota, Yoichi; Ando, Fuyuki; Koyama, Tomohiro; Kakizakai, Haruka; Mizuno, Hayato; Miwa, Kazumoto; Ono, Shimpei; Moriyama, Takahiro; Chiba, Daichi; Ono, Teruo

    2018-06-01

    Electric-field modulations of magnetic properties have been extensively studied not only for practical applications but also for fundamental interest. In this study, we investigated the electric field effect on the exchange interaction in ultrathin Co films with ionic liquids. The exchange coupling J was characterized from the direct magnetization measurement as a function of temperature using Pt/ultrathin Co/MgO structures. The trend of the electric field effect on J is in good agreement with that of the theoretical prediction, and a large change in J by applying a gate voltage was observed by forming an electric double layer using ionic liquids.

  10. Variations of Contact Resistance in Dual-Gated Monolayer Molybdenum Disulfide Transistors Depending on Gate Bias Selection

    NASA Astrophysics Data System (ADS)

    Tran, P. X.

    2017-06-01

    Monolayer molybdenum disulfide (MoS2) is considered an alternative two-dimensional material for high performance ultra-thin field-effect transistors. MoS2 is a triple atomic layer with a direct 1.8 eV bandgap. Bulk MoS2 has an additional indirect bandgap of 1.2 eV, which leads to high current on/off ratio around 108. Flakes of MoS2 can be obtained by mechanical exfoliation or grown by chemical vapor deposition. Intrinsic cut-off frequency of multilayer MoS2 transistor has reached 42 GHz. Chemical doping of MoS2 is challenging and results in reduction of contact resistance. This paper focuses on modeling of dual-gated monolayer MoS2 transistors with effective mobility of carriers varying from 0.6 cm2/V s to 750 cm2/V s. In agreement with experimental data, the model demonstrates that in back-gate bias devices, the contact resistance decreases almost exponentially with increasing gate bias, whereas in top-gate bias devices, the contact resistance stays invariant when varying gate bias.

  11. Controllable synthesis of ultrathin vanadium oxide nanobelts via an EDTA-mediated hydrothermal process

    NASA Astrophysics Data System (ADS)

    Yu-Xiang, Qin; Cheng, Liu; Wei-Wei, Xie; Meng-Yang, Cui

    2016-02-01

    Ultrathin VO2 nanobelts with rough alignment features are prepared on the induction layer-coated substrates by an ethylenediaminetetraacetic acid (EDTA)-mediated hydrothermal process. EDTA acts as a chelating reagent and capping agent to facilitate the one-dimensional (1D) preferential growth of ultrathin VO2 nanobelts with high crystallinities and good uniformities. The annealed induction layer and concentration of EDTA are found to play crucial roles in the formation of aligned and ultrathin nanobelts. Variation in EDTA concentration can change the VO2 morphology of ultrathin nanobelts into that of thick nanoplates. Mild annealing of ultrathin VO2 nanobelts at 350 °C in air results in the formation of V2O5 nanobelts with a nearly unchanged ultrathin structure. The nucleation and growth mechanism involved in the formations of nanobelts and nanoplates are proposed. The ethanol gas sensing properties of the V2O5 nanobelt networks-based sensor are investigated in a temperature range from 100 °C to 300 °C over ethanol concentrations ranging from 3 ppm to 500 ppm. The results indicate that the V2O5 nanobelt network sensor exhibits high sensitivity, good reversibility, and fast response-recovery characteristics with an optimal working temperature of 250 °C. Project supported by the National Natural Science Foundation of China (Grant Nos. 61274074, 61271070, and 61574100).

  12. High quality HfO{sub 2}/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8 nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barth, Michael; Datta, Suman, E-mail: sdatta@engr.psu.edu; Bruce Rayner, G.

    2014-12-01

    We investigate in-situ cleaning of GaSb surfaces and its effect on the electrical performance of p-type GaSb metal-oxide-semiconductor capacitor (MOSCAP) using a remote hydrogen plasma. Ultrathin HfO{sub 2} films grown by atomic layer deposition were used as a high permittivity gate dielectric. Compared to conventional ex-situ chemical cleaning methods, the in-situ GaSb surface treatment resulted in a drastic improvement in the impedance characteristics of the MOSCAPs, directly evidencing a much lower interface trap density and enhanced Fermi level movement efficiency. We demonstrate that by using a combination of ex-situ and in-situ surface cleaning steps, aggressively scaled HfO{sub 2}/p-GaSb MOSCAP structuresmore » with a low equivalent oxide thickness of 0.8 nm and efficient gate modulation of the surface potential are achieved, allowing to push the Fermi level far away from the valence band edge high up into the band gap of GaSb.« less

  13. Oxide-based synaptic transistors gated by solution-processed gelatin electrolytes

    NASA Astrophysics Data System (ADS)

    He, Yinke; Sun, Jia; Qian, Chuan; Kong, Ling-An; Gou, Guangyang; Li, Hongjian

    2017-04-01

    In human brain, a large number of neurons are connected via synapses. Simulation of the synaptic behaviors using electronic devices is the most important step for neuromorphic systems. In this paper, proton conducting gelatin electrolyte-gated oxide field-effect transistors (FETs) were used for emulating synaptic functions, in which the gate electrode is regarded as pre-synaptic neuron and the channel layer as the post-synaptic neuron. In analogy to the biological synapse, a potential spike can be applied at the gate electrode and trigger ionic motion in the gelatin electrolyte, which in turn generates excitatory post-synaptic current (EPSC) in the channel layer. Basic synaptic behaviors including spike time-dependent EPSC, paired-pulse facilitation (PPF), self-adaptation, and frequency-dependent synaptic transmission were successfully mimicked. Such ionic/electronic hybrid devices are beneficial for synaptic electronics and brain-inspired neuromorphic systems.

  14. Lanthanide-based oxides and silicates for high-kappa gate dielectric applications

    NASA Astrophysics Data System (ADS)

    Jur, Jesse Stephen

    The ability to improve performance of the high-end metal oxide semiconductor field effect transistor (MOSFET) is highly reliant on the dimensional scaling of such a device. In scaling, a decrease in dielectric thickness results in high current leakage between the electrode and the substrate by way of direct tunneling through the gate dielectric. Observation of a high leakage current when the standard gate dielectric, SiO2, is decreased below a thickness of 1.5 nm requires engineering of a replacement dielectric that is much more scalable. This high-kappa dielectric allows for a physically thicker oxide, reducing leakage current. Integration of select lanthanide-based oxides and silicates, in particular lanthanum oxide and silicate, into MOS gate stack devices is examined. The quality of the high-kappa dielectrics is monitored electrically to determine properties such as equivalent oxide thickness, leakage current density and defect densities. In addition, analytical characterization of the dielectric and the gate stack is provided to examine the materialistic significance to the change of the electrical properties of the devices. In this work, lanthanum oxide films have been deposited by thermal evaporation on to a pre-grown chemical oxide layer on silicon. It is observed that the SiO2 interfacial layer can be consumed by a low-temperature reaction with lanthanum oxide to produce a high-quality silicate. This is opposed to depositing lanthanum oxide directly on silicon, which can possibly favor silicide formation. The importance of oxygen regulation in the surrounding environment of the La2O3-SiO2 reaction-anneal is observed. By controlling the oxygen available during the reaction, SiO2 growth can be limited to achieve high stoichiometric ratios of La2O 3 to SiO2. As a result, MOS devices with an equivalent oxide thickness (EOT) of 5 A and a leakage current density of 5.0 A/cm 2 are attained. This data equals the best value achieved in this field and is a

  15. In Situ Integration of Ultrathin PtCu Nanowires with Reduced Graphene Oxide Nanosheets for Efficient Electrocatalytic Oxygen Reduction.

    PubMed

    Yan, Xiaoxiao; Chen, Yifan; Deng, Sihui; Yang, Yifan; Huang, Zhenna; Ge, Cunwang; Xu, Lin; Sun, Dongmei; Fu, Gengtao; Tang, Yawen

    2017-11-27

    Ultrathin Pt-based nanowires are considered as promising electrocatalysts owing to their high atomic utilization efficiency and structural robustness. Moreover, integration of Pt-based nanowires with graphene oxide (GO) could further increase the electrocatalytic performance, yet remains challenging to date. Herein, for the first time we demonstrate the in situ synthesis of ultrathin PtCu nanowires grown over reduced GO (PtCu-NWs/rGO) by a one-pot hydrothermal approach with the aid of amine-terminated poly(N-isopropyl acrylamide) (PNIPAM-NH 2 ). The judicious selection of PNIPAM-NH 2 facilitates the in situ nucleation and anisotropic growth of nanowires on the rGO surface and oriented attachment mechanism accounts for the formation of PtCu ultrathin nanowires. Owing to the synergy between PtCu NWs and rGO support, the PtCu-NWs/rGO outperforms the rGO supported PtCu nanoparticles (PtCu-NPs/rGO), PtCu-NWs, and commercial Pt/C toward the oxygen reduction reaction (ORR) with higher activity and better stability, making it a promising cathodic electrocatalyst for both fuel cells and metal-air cells. Moreover, the present synthetic strategy could inspire the future design of other metal alloy nanowires/carbon hybrid catalysts. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Multi-functional ultrathin Pd xCu 1-x and Pt~Pd xCu 1-x one-dimensional nanowire motifs for various small molecule oxidation reactions

    DOE PAGES

    Liu, Haiqing; Wong, Stanislaus S.; Adzic, Radoslav R.

    2015-11-18

    Developing novel electrocatalysts for small molecule oxidation processes, including formic acid oxidation (FAOR), methanol oxidation reaction (MOR), and ethanol oxidation reaction (EOR), denoting the key anodic reactions for their respective fuel cell configurations, is a significant and relevant theme of recent efforts in the field. Herein, in this report, we demonstrated a concerted effort to couple and combine the benefits of small size, anisotropic morphology, and tunable chemical composition in order to devise a novel “family” of functional architectures. In particular, we have fabricated not only ultrathin 1-D Pd 1–xCu x alloys but also Pt-coated Pd 1–xCu x (i.e., Pt~Pdmore » 1–xCu x; herein the ~ indicates an intimate association, but not necessarily actual bond formation, between the inner bimetallic core and the Pt outer shell) core–shell hierarchical nanostructures with readily tunable chemical compositions by utilizing a facile, surfactant-based, wet chemical synthesis coupled with a Cu underpotential deposition technique. Our main finding is that our series of as-prepared nanowires are functionally flexible. More precisely, we demonstrate that various examples within this “family” of structural motifs can be tailored for exceptional activity with all 3 of these important electrocatalytic reactions. In particular, we note that our series of Pd 1–xCu x nanowires all exhibit enhanced FAOR activities as compared with not only analogous Pd ultrathin nanowires but also commercial Pt and Pd standards, with Pd 9Cu representing the “optimal” composition. Moreover, our group of Pt~Pd 1–xCu x nanowires consistently outperformed not only commercial Pt NPs but also ultrathin Pt nanowires by several fold orders of magnitude for both the MOR and EOR reactions in alkaline media. As a result, the variation of the MOR and EOR performance with the chemical composition of our ultrathin Pt~Pd 1–xCu x nanowires was also discussed.« less

  17. Effects of negative gate-bias stress on the performance of solution-processed zinc-oxide transistors

    NASA Astrophysics Data System (ADS)

    Kim, Dongwook; Lee, Woo-Sub; Shin, Hyunji; Choi, Jong Sun; Zhang, Xue; Park, Jaehoon; Hwang, Jaeeun; Kim, Hongdoo; Bae, Jin-Hyuk

    2014-08-01

    We studied the effects of negative gate-bias stress on the electrical characteristics of top-contact zinc-oxide (ZnO) thin-film transistors (TFTs), which were fabricated by spin coating a ZnO solution onto a silicon-nitride gate dielectric layer. The negative gate-bias stress caused characteristic degradations in the on-state currents and the field-effect mobility of the fabricated ZnO TFTs. Additionally, a decrease in the off-state currents and a positive shift in the threshold voltage occurred with increasing stress time. These results indicate that the negative gate-bias stress caused an injection of electrons into the gate dielectric, thereby deteriorating the TFT's performance.

  18. Frequency-Stable Ionic-Type Hybrid Gate Dielectrics for High Mobility Solution-Processed Metal-Oxide Thin-Film Transistors

    PubMed Central

    Heo, Jae Sang; Choi, Seungbeom; Jo, Jeong-Wan; Kang, Jingu; Park, Ho-Hyun; Kim, Yong-Hoon; Park, Sung Kyu

    2017-01-01

    In this paper, we demonstrate high mobility solution-processed metal-oxide thin-film transistors (TFTs) by using a high-frequency-stable ionic-type hybrid gate dielectric (HGD). The HGD gate dielectric, a blend of sol-gel aluminum oxide (AlOx) and poly(4-vinylphenol) (PVP), exhibited high dielectric constant (ε~8.15) and high-frequency-stable characteristics (1 MHz). Using the ionic-type HGD as a gate dielectric layer, an minimal electron-double-layer (EDL) can be formed at the gate dielectric/InOx interface, enhancing the field-effect mobility of the TFTs. Particularly, using the ionic-type HGD gate dielectrics annealed at 350 °C, InOx TFTs having an average field-effect mobility of 16.1 cm2/Vs were achieved (maximum mobility of 24 cm2/Vs). Furthermore, the ionic-type HGD gate dielectrics can be processed at a low temperature of 150 °C, which may enable their applications in low-thermal-budget plastic and elastomeric substrates. In addition, we systematically studied the operational stability of the InOx TFTs using the HGD gate dielectric, and it was observed that the HGD gate dielectric effectively suppressed the negative threshold voltage shift during the negative-illumination-bias stress possibly owing to the recombination of hole carriers injected in the gate dielectric with the negatively charged ionic species in the HGD gate dielectric. PMID:28772972

  19. Metal Immiscibility Route to Synthesis of Ultrathin Carbides, Borides, and Nitrides.

    PubMed

    Wang, Zixing; Kochat, Vidya; Pandey, Prafull; Kashyap, Sanjay; Chattopadhyay, Soham; Samanta, Atanu; Sarkar, Suman; Manimunda, Praveena; Zhang, Xiang; Asif, Syed; Singh, Abhisek K; Chattopadhyay, Kamanio; Tiwary, Chandra Sekhar; Ajayan, Pulickel M

    2017-08-01

    Ultrathin ceramic coatings are of high interest as protective coatings from aviation to biomedical applications. Here, a generic approach of making scalable ultrathin transition metal-carbide/boride/nitride using immiscibility of two metals is demonstrated. Ultrathin tantalum carbide, nitride, and boride are grown using chemical vapor deposition by heating a tantalum-copper bilayer with corresponding precursor (C 2 H 2 , B powder, and NH 3 ). The ultrathin crystals are found on the copper surface (opposite of the metal-metal junction). A detailed microscopy analysis followed by density functional theory based calculation demonstrates the migration mechanism, where Ta atoms prefer to stay in clusters in the Cu matrix. These ultrathin materials have good interface attachment with Cu, improving the scratch resistance and oxidation resistance of Cu. This metal-metal immiscibility system can be extended to other metals to synthesize metal carbide, boride, and nitride coatings. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Effect of Oxide Interface Roughness on the Threshold Voltage Fluctuations in Decanano MOSFETs with Ultrathin Gate Oxides

    NASA Technical Reports Server (NTRS)

    Asenov, Asen; Kaya, S.

    2000-01-01

    In this paper we use the Density Gradient (DG) simulation approach to study, in 3-D, the effect of local oxide thickness fluctuations on the threshold voltage of decanano MOSFETs on a statistical scale. The random 2-D surfaces used to represent the interface are constructed using the standard assumptions for the auto-correlation function of the interface. The importance of the Quantum Mechanical effects when studying oxide thickness fluctuations are illustrated in several simulation examples.

  1. Ultrathin 2D Photocatalysts: Electronic-Structure Tailoring, Hybridization, and Applications.

    PubMed

    Di, Jun; Xiong, Jun; Li, Huaming; Liu, Zheng

    2018-01-01

    As a sustainable technology, semiconductor photocatalysis has attracted considerable interest in the past several decades owing to the potential to relieve or resolve energy and environmental-pollution issues. By virtue of their unique structural and electronic properties, emerging ultrathin 2D materials with appropriate band structure show enormous potential to achieve efficient photocatalytic performance. Here, the state-of-the-art progress on ultrathin 2D photocatalysts is reviewed and a critical appraisal of the classification, controllable synthesis, and formation mechanism of ultrathin 2D photocatalysts is presented. Then, different strategies to tailor the electronic structure of ultrathin 2D photocatalysts are summarized, including component tuning, thickness tuning, doping, and defect engineering. Hybridization with the introduction of a foreign component and maintaining the ultrathin 2D structure is presented to further boost the photocatalytic performance, such as quantum dots/2D materials, single atoms/2D materials, molecular/2D materials, and 2D-2D stacking materials. More importantly, the advancement of versatile photocatalytic applications of ultrathin 2D photocatalysts in the fields of water oxidation, hydrogen evolution, CO 2 reduction, nitrogen fixation, organic syntheses, and removal pollutants is discussed. Finally, the future opportunities and challenges regarding ultrathin 2D photocatalysts to bring about new opportunities for future research in the field of photocatalysis are also presented. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Low temperature mobility in hafnium-oxide gated germanium p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Beer, Chris; Whall, Terry; Parker, Evan; Leadley, David; De Jaeger, Brice; Nicholas, Gareth; Zimmerman, Paul; Meuris, Marc; Szostak, Slawomir; Gluszko, Grzegorz; Lukasiak, Lidia

    2007-12-01

    Effective mobility measurements have been made at 4.2K on high performance high-k gated germanium p-type metal-oxide-semiconductor field effect transistors with a range of Ge/gate dielectric interface state densities. The mobility is successfully modelled by assuming surface roughness and interface charge scattering at the SiO2 interlayer/Ge interface. The deduced interface charge density is approximately equal to the values obtained from the threshold voltage and subthreshold slope measurements on each device. A hydrogen anneal reduces both the interface state density and the surface root mean square roughness by 20%.

  3. Nanocrystal floating gate memory with solution-processed indium-zinc-tin-oxide channel and colloidal silver nanocrystals

    NASA Astrophysics Data System (ADS)

    Hu, Quanli; Ha, Sang-Hyub; Lee, Hyun Ho; Yoon, Tae-Sik

    2011-12-01

    A nanocrystal (NC) floating gate memory with solution-processed indium-zinc-tin-oxide (IZTO) channel and silver (Ag) NCs embedded in thin gate dielectric layer (SiO2(30 nm)/Al2O3(3 nm)) was fabricated. Both the IZTO channel and colloidal Ag NC layers were prepared by spin-coating and subsequent annealing, and dip-coating process, respectively. A threshold voltage shift up to ~0.9 V, corresponding to the electron density of 6.5 × 1011 cm-2, at gate pulsing <=10 V was achieved by the charging of high density NCs. These results present the successful non-volatile memory characteristics of an oxide-semiconductor transistor fabricated through solution processes.

  4. TOPICAL REVIEW: Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems

    NASA Astrophysics Data System (ADS)

    Stoldt, Conrad R.; Bright, Victor M.

    2006-05-01

    A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film.

  5. Identification of O-rich structures on platinum(111)-supported ultrathin iron oxide films

    DOE PAGES

    Merte, Lindsay R.; Bai, Yunhai; Zeuthen, Helene; ...

    2016-01-06

    Using high-resolution scanning tunneling microscopy (STM) we have studied the oxidation of ultrathin FeO films grown on Pt(111). At the initial stage of the FeO film oxidation by atomic oxygen exposure, we identified three distinct types of line defects, all of which form boundaries between FeO domains of opposite orientation. Two types of line defects appearing bright ( type-i) and dark ( type-ii) in the STM images at typical scanning parameters are “metallic”, whereas the third line defect exhibits nonmetallic behavior ( type-iii). Atomic-scale structure models of these line defects are proposed, with type-i defects exhibiting 4-fold coordinated Fe atoms,more » type-ii exhibiting 2-fold coordinated O atoms, and type-iii exhibiting tetrahedrally-coordinated Fe atoms. In addition, FeO 2 trilayer islands are formed upon oxidation, which appear at FCC-type domains of the moiré structure. At high scanning bias, distinct protrusions on the trilayer islands are observed over surface O ions, which are assigned to H adatoms. The experimental data are supported by density functional theory (DFT) calculations, in which bare and hydroxylated FeO 2 trilayer islands are compared. Finally, we compare the formation of O-rich features on continuous FeO films using atomic oxygen with the oxidation of Pt(111)-supported FeO islands accomplished by O 2 exposure.« less

  6. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulationmore » by the gate and pinch off.« less

  7. Ultrathin inorganic molecular nanowire based on polyoxometalates

    PubMed Central

    Zhang, Zhenxin; Murayama, Toru; Sadakane, Masahiro; Ariga, Hiroko; Yasuda, Nobuhiro; Sakaguchi, Norihito; Asakura, Kiyotaka; Ueda, Wataru

    2015-01-01

    The development of metal oxide-based molecular wires is important for fundamental research and potential practical applications. However, examples of these materials are rare. Here we report an all-inorganic transition metal oxide molecular wire prepared by disassembly of larger crystals. The wires are comprised of molybdenum(VI) with either tellurium(IV) or selenium(IV): {(NH4)2[XMo6O21]}n (X=tellurium(IV) or selenium(IV)). The ultrathin molecular nanowires with widths of 1.2 nm grow to micrometre-scale crystals and are characterized by single-crystal X-ray analysis, Rietveld analysis, scanning electron microscopy, X-ray photoelectron spectroscopy, ultraviolet–visible spectroscopy, thermal analysis and elemental analysis. The crystals can be disassembled into individual molecular wires through cation exchange and subsequent ultrasound treatment, as visualized by atomic force microscopy and transmission electron microscopy. The ultrathin molecular wire-based material exhibits high activity as an acid catalyst, and the band gap of the molecular wire-based crystal is tunable by heat treatment. PMID:26139011

  8. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down tomore » the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.« less

  9. Stabilization of ultrathin (hydroxy)oxide films on transition metal substrates for electrochemical energy conversion

    NASA Astrophysics Data System (ADS)

    Zeng, Zhenhua; Chang, Kee-Chul; Kubal, Joseph; Markovic, Nenad M.; Greeley, Jeffrey

    2017-06-01

    Design of cost-effective electrocatalysts with enhanced stability and activity is of paramount importance for the next generation of energy conversion systems, including fuel cells and electrolysers. However, electrocatalytic materials generally improve one of these properties at the expense of the other. Here, using density functional theory calculations and electrochemical surface science measurements, we explore atomic-level features of ultrathin (hydroxy)oxide films on transition metal substrates and demonstrate that these films exhibit both excellent stability and activity for electrocatalytic applications. The films adopt structures with stabilities that significantly exceed bulk Pourbaix limits, including stoichiometries not found in bulk and properties that are tunable by controlling voltage, film composition, and substrate identity. Using nickel (hydroxy)oxide/Pt(111) as an example, we further show how the films enhance activity for hydrogen evolution through a bifunctional effect. The results suggest design principles for this class of electrocatalysts with simultaneously enhanced stability and activity for energy conversion.

  10. Stabilization of ultrathin (hydroxy)oxide films on transition metal substrates for electrochemical energy conversion

    DOE PAGES

    Zeng, Zhenhua; Chang, Kee-Chul; Kubal, Joseph; ...

    2017-05-08

    Design of cost-effective electrocatalysts with enhanced stability and activity is of paramount importance for the next generation of energy conversion systems, including fuel cells and electrolyzers. However, electrocatalytic materials generally improve one of these properties at the expense of the other. Here, using Density Functional Theory calculations and electrochemical surface science measurements, we explore atomic-level features of ultrathin (hydroxy)oxide films on transition metal substrates and demonstrate that these films exhibit both excellent stability and activity for electrocatalytic applications. The films adopt structures with stabilities that significantly exceed bulk Pourbaix limits, including stoichiometries not found in bulk and properties that aremore » tunable by controlling voltage, film composition, and substrate identity. Using nickel (hydroxy)oxide/Pt(111) as an example, we further show how the films enhance activity for hydrogen evolution through a bifunctional effect. Finally, the results suggest design principles for a new class of electrocatalysts with simultaneously enhanced stability and activity for energy conversion.« less

  11. Ultrathin dendrimer-graphene oxide composite film for stable cycling lithium-sulfur batteries.

    PubMed

    Liu, Wen; Jiang, Jianbing; Yang, Ke R; Mi, Yingying; Kumaravadivel, Piranavan; Zhong, Yiren; Fan, Qi; Weng, Zhe; Wu, Zishan; Cha, Judy J; Zhou, Henghui; Batista, Victor S; Brudvig, Gary W; Wang, Hailiang

    2017-04-04

    Lithium-sulfur batteries (Li-S batteries) have attracted intense interest because of their high specific capacity and low cost, although they are still hindered by severe capacity loss upon cycling caused by the soluble lithium polysulfide intermediates. Although many structure innovations at the material and device levels have been explored for the ultimate goal of realizing long cycle life of Li-S batteries, it remains a major challenge to achieve stable cycling while avoiding energy and power density compromises caused by the introduction of significant dead weight/volume and increased electrochemical resistance. Here we introduce an ultrathin composite film consisting of naphthalimide-functionalized poly(amidoamine) dendrimers and graphene oxide nanosheets as a cycling stabilizer. Combining the dendrimer structure that can confine polysulfide intermediates chemically and physically together with the graphene oxide that renders the film robust and thin (<1% of the thickness of the active sulfur layer), the composite film is designed to enable stable cycling of sulfur cathodes without compromising the energy and power densities. Our sulfur electrodes coated with the composite film exhibit very good cycling stability, together with high sulfur content, large areal capacity, and improved power rate.

  12. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  13. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  14. Characterization of ultrathin insulators in CMOS technology: Wearout and failure mechanisms due to processing and operation

    NASA Astrophysics Data System (ADS)

    Okandan, Murat

    In the CMOS technology the gate dielectric is the most critical layer, as its condition directly dictates the ultimate performance of the devices. In this thesis, the wear-out and failure mechanisms in ultra-thin (around 50A and lower) oxides are investigated. A new degradation phenomenon, quasi-breakdown (or soft-breakdown), and the annealing and stressing behavior of devices after quasi-breakdown are considered in detail. Devices that are in quasi-breakdown continue to operate as switches, but the gate leakage current is two orders of magnitude higher than the leakage in healthy devices and the stressing/annealing behavior of the devices are completely altered. This phenomenon is of utmost interest, since the reduction in SiO2 dielectric thickness has reached its physical limits, and the quasi-breakdown behavior is seen to dominate as a failure mode in this regime. The quasi-breakdown condition can be brought on by stresses during operation or processing. To further study this evolution through stresses and anneals, cyclic current-voltage (I-V) measurement has been further developed and utilized in this thesis. Cyclic IV is a simple and fast, two terminal measurement technique that looks at the transient current flowing in an MOS system during voltage sweeps from accumulation to inversion and back. During these sweeps, carrier trapping/detrapping, generation and recombination are observed. An experimental setup using a fast electrometer and analog to digital conversion (A/D) card and the software for control of the setup and data analysis were also developed to gain further insight into the detailed physics involved. Overall, the crucial aspects of wear-out and quasi-breakdown of ultrathin dielectrics, along with the methods for analyzing this evolution are presented in this thesis.

  15. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  16. Effect of structure on the tribology of ultrathin graphene and graphene oxide films.

    PubMed

    Chen, Hang; Filleter, Tobin

    2015-03-27

    The friction and wear properties of graphene and graphene oxide (GO) with varying C/O ratio were investigated using friction force microscopy. When applied as solid lubricants between a sliding contact of a silicon (Si) tip and a SiO2/Si substrate, graphene and ultrathin GO films (as thin as 1-2 atomic layers) were found to reduce friction by ∼6 times and ∼2 times respectively as compared to the unlubricated contact. The differences in measured friction were attributed to different interfacial shear strengths. Ultrathin films of GO with a low C/O ratio of ∼2 were found to wear easily under small normal load. The onset of wear, and the location of wear initiation, is attributed to differences in the local shear strength of the sliding interface as a result of the non-homogeneous surface structure of GO. While the exhibited low friction of GO as compared to SiO2 makes it an economically viable coating for micro/nano-electro-mechanical systems with the potential to extend the lifetime of devices, its higher propensity for wear may limit its usefulness. To address this limitation, the wear resistance of GO samples with a higher C/O ratio (∼4) was also studied. The higher C/O ratio GO was found to exhibit much improved wear resistance which approached that of the graphene samples. This demonstrates the potential of tailoring the structure of GO to achieve graphene-like tribological properties.

  17. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  18. Contact Selectivity Engineering in a 2 μm Thick Ultrathin c-Si Solar Cell Using Transition-Metal Oxides Achieving an Efficiency of 10.8.

    PubMed

    Xue, Muyu; Islam, Raisul; Meng, Andrew C; Lyu, Zheng; Lu, Ching-Ying; Tae, Christian; Braun, Michael R; Zang, Kai; McIntyre, Paul C; Kamins, Theodore I; Saraswat, Krishna C; Harris, James S

    2017-12-06

    In this paper, the integration of metal oxides as carrier-selective contacts for ultrathin crystalline silicon (c-Si) solar cells is demonstrated which results in an ∼13% relative improvement in efficiency. The improvement in efficiency originates from the suppression of the contact recombination current due to the band offset asymmetry of these oxides with Si. First, an ultrathin c-Si solar cell having a total thickness of 2 μm is shown to have >10% efficiency without any light-trapping scheme. This is achieved by the integration of nickel oxide (NiO x ) as a hole-selective contact interlayer material, which has a low valence band offset and high conduction band offset with Si. Second, we show a champion cell efficiency of 10.8% with the additional integration of titanium oxide (TiO x ), a well-known material for an electron-selective contact interlayer. Key parameters including V oc and J sc also show different degrees of enhancement if single (NiO x only) or double (both NiO x and TiO x ) carrier-selective contacts are integrated. The fabrication process for TiO x and NiO x layer integration is scalable and shows good compatibility with the device.

  19. Ultrathin Coating of Confined Pt Nanocatalysts by Atomic Layer Deposition for Enhanced Catalytic Performance in Hydrogenation Reactions.

    PubMed

    Wang, Meihua; Gao, Zhe; Zhang, Bin; Yang, Huimin; Qiao, Yan; Chen, Shuai; Ge, Huibin; Zhang, Jiankang; Qin, Yong

    2016-06-13

    Metal-support interfaces play a prominent role in heterogeneous catalysis. However, tailoring the metal-support interfaces to realize full utilization remains a major challenge. In this work, we propose a graceful strategy to maximize the metal-oxide interfaces by coating confined nanoparticles with an ultrathin oxide layer. This is achieved by sequential deposition of ultrathin Al2 O3 coats, Pt, and a thick Al2 O3 layer on carbon nanocoils templates by atomic layer deposition (ALD), followed by removal of the templates. Compared with the Pt catalysts confined in Al2 O3 nanotubes without the ultrathin coats, the ultrathin coated samples have larger Pt-Al2 O3 interfaces. The maximized interfaces significantly improve the activity and the protecting Al2 O3 nanotubes retain the stability for hydrogenation reactions of 4-nitrophenol. We believe that applying ALD ultrathin coats on confined catalysts is a promising way to achieve enhanced performance for other catalysts. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Transparent photostable ZnO nonvolatile memory transistor with ferroelectric polymer and sputter-deposited oxide gate

    NASA Astrophysics Data System (ADS)

    Park, C. H.; Im, Seongil; Yun, Jungheum; Lee, Gun Hwan; Lee, Byoung H.; Sung, Myung M.

    2009-11-01

    We report on the fabrication of transparent top-gate ZnO nonvolatile memory thin-film transistors (NVM-TFTs) with 200 nm thick poly(vinylidene fluoride/trifluoroethylene) ferroelectric layer; semitransparent 10 nm thin AgOx and transparent 130 nm thick indium-zinc oxide (IZO) were deposited on the ferroelectric polymer as gate electrode by rf sputtering. Our semitransparent NVM-TFT with AgOx gate operates under low voltage write-erase (WR-ER) pulse of ±20 V, but shows some degradation in retention property. In contrast, our transparent IZO-gated device displays very good retention properties but requires anomalously higher pulse of ±70 V for WR and ER states. Both devices stably operated under visible illuminations.

  1. Patterned FePt nanostructures using ultrathin self-organized templates

    NASA Astrophysics Data System (ADS)

    Deng, Chen Hua; Zhang, Min; Wang, Fang; Xu, Xiao Hong

    2018-02-01

    Patterned magnetic thin films are both scientifically interesting and technologically useful. Ultrathin self-organized anodic aluminum oxide (AAO) template can be used to fabricate large area nanodot and antidot arrays. The magnetic properties of these nanostructures may be tuned by the morphology of the AAO template, which in turn can be controlled by synthetic parameters. In this work, ultrathin AAO templates were used as etching masks for the fabrication of both FePt nanodot and antidot arrays with high areal density. The perpendicular magnetic anisotropy of L10 FePt thin films are preserved in the nanostructures.

  2. Cross-flow-assembled ultrathin and robust graphene oxide membranes for efficient molecule separation

    NASA Astrophysics Data System (ADS)

    Ying, Yulong; Ying, Wen; Guo, Yi; Peng, Xinsheng

    2018-04-01

    A graphene oxide (GO) membrane is promising for molecule separation. However, it is still a big challenge to achieve highly stable pristine GO membranes, especially in water. In this work, an ultrathin and robust GO membrane is assembled via the cross-flow method. The as-prepared 12 nm thick GO membrane (GOCF membrane) presents high stability with water permeance of 1505 ± 65 litres per hour per square meter per bar (LHM bar-1) and Evans Blue (EB) rejection of 98.7 ± 0.4%, 21-fold enhancement in water permeance compared with that of a pristine GO membrane (50-70 LHM bar-1) and 100 times higher than that of commercial ultrafiltration membranes (15 LHM.bar-1, GE2540F30, MWCO 1000, GE Co., Ltd) with similar rejection. Attributed to the surface cross-flow, the GO nanosheets will be refolded, crumpled, or wrinkled, resulting in a very strong inter-locking structure among the GO membrane, which significantly enhances the stability and facilitates their separation performance. This cross-flow assembling technique is also easily extended to assemble GO membranes onto other various backing filter supports. Based on the Donnan effect and size sieving mechanism, selective membrane separation of dyes with a similar molecular structure from their mixture (such as Rhodamine B (RhB) and Rose Bengal, and RhB and EB) are achieved with a selectivity of 133 ± 10 and 227 ± 15, respectively. Assembly of this ultrathin GO membrane with high stability and separation performance, via a simple cross-flow method, shows great potential for water purification.

  3. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2

    PubMed Central

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.; Arena, Dario A.; Schlom, Darrell G.; Piper, Louis F. J.

    2015-01-01

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions. PMID:28793516

  4. X-Ray Spectroscopy of Ultra-Thin Oxide/Oxide Heteroepitaxial Films: A Case Study of Single-Nanometer VO2/TiO2.

    PubMed

    Quackenbush, Nicholas F; Paik, Hanjong; Woicik, Joseph C; Arena, Dario A; Schlom, Darrell G; Piper, Louis F J

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe a low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Our results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. More generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.

  5. X-ray Spectroscopy of Ultra-thin Oxide/oxide Heteroepitaxial Films: A Case Study of Single-nanometer VO2/TiO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Quackenbush, Nicholas F.; Paik, Hanjong; Woicik, Joseph C.

    2015-08-21

    Epitaxial ultra-thin oxide films can support large percent level strains well beyond their bulk counterparts, thereby enabling strain-engineering in oxides that can tailor various phenomena. At these reduced dimensions (typically < 10 nm), contributions from the substrate can dwarf the signal from the epilayer, making it difficult to distinguish the properties of the epilayer from the bulk. This is especially true for oxide on oxide systems. Here, we have employed a combination of hard X-ray photoelectron spectroscopy (HAXPES) and angular soft X-ray absorption spectroscopy (XAS) to study epitaxial VO2/TiO2 (100) films ranging from 7.5 to 1 nm. We observe amore » low-temperature (300 K) insulating phase with evidence of vanadium-vanadium (V-V) dimers and a high-temperature (400 K) metallic phase absent of V-V dimers irrespective of film thickness. Results confirm that the metal insulator transition can exist at atomic dimensions and that biaxial strain can still be used to control the temperature of its transition when the interfaces are atomically sharp. Generally, our case study highlights the benefits of using non-destructive XAS and HAXPES to extract out information regarding the interfacial quality of the epilayers and spectroscopic signatures associated with exotic phenomena at these dimensions.« less

  6. Shadow-casted ultrathin surface coatings of titanium and titanium/silicon oxide sol particles via ultrasound-assisted deposition.

    PubMed

    Karahan, H Enis; Birer, Özgür; Karakuş, Kerem; Yıldırım, Cansu

    2016-07-01

    Ultrasound-assisted deposition (USAD) of sol nanoparticles enables the formation of uniform and inherently stable thin films. However, the technique still suffers in coating hard substrates and the use of fast-reacting sol-gel precursors still remains challenging. Here, we report on the deposition of ultrathin titanium and titanium/silicon hybrid oxide coatings using hydroxylated silicon wafers as a model hard substrate. We use acetic acid as the catalyst which also suppresses the reactivity of titanium tetraisopropoxide while increasing the reactivity of tetraethyl orthosilicate through chemical modifications. Taking the advantage of this peculiar behavior, we successfully prepared titanium and titanium/silicon hybrid oxide coatings by USAD. Varying the amount of acetic acid in the reaction media, we managed to modulate thickness and surface roughness of the coatings in nanoscale. Field-emission scanning electron microscopy and atomic force microscopy studies showed the formation of conformal coatings having nanoroughness. Quantitative chemical state maps obtained by x-ray photoelectron spectroscopy (XPS) suggested the formation of ultrathin (<10nm) coatings and thickness measurements by rotating analyzer ellipsometry supported this observation. For the first time, XPS chemical maps revealed the transport effect of ultrasonic waves since coatings were directly cast on rectangular substrates as circular shadows of the horn with clear thickness gradient from the center to the edges. In addition to the progress made in coating hard substrates, employing fast-reacting precursors and achieving hybrid coatings; this report provides the first visual evidence on previously suggested "acceleration and smashing" mechanism as the main driving force of USAD. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. Gate-tunable carbon nanotube-MoS2 heterojunction p-n diode.

    PubMed

    Jariwala, Deep; Sangwan, Vinod K; Wu, Chung-Chiang; Prabhumirashi, Pradyumna L; Geier, Michael L; Marks, Tobin J; Lauhon, Lincoln J; Hersam, Mark C

    2013-11-05

    The p-n junction diode and field-effect transistor are the two most ubiquitous building blocks of modern electronics and optoelectronics. In recent years, the emergence of reduced dimensionality materials has suggested that these components can be scaled down to atomic thicknesses. Although high-performance field-effect devices have been achieved from monolayered materials and their heterostructures, a p-n heterojunction diode derived from ultrathin materials is notably absent and constrains the fabrication of complex electronic and optoelectronic circuits. Here we demonstrate a gate-tunable p-n heterojunction diode using semiconducting single-walled carbon nanotubes (SWCNTs) and single-layer molybdenum disulfide as p-type and n-type semiconductors, respectively. The vertical stacking of these two direct band gap semiconductors forms a heterojunction with electrical characteristics that can be tuned with an applied gate bias to achieve a wide range of charge transport behavior ranging from insulating to rectifying with forward-to-reverse bias current ratios exceeding 10(4). This heterojunction diode also responds strongly to optical irradiation with an external quantum efficiency of 25% and fast photoresponse <15 μs. Because SWCNTs have a diverse range of electrical properties as a function of chirality and an increasing number of atomically thin 2D nanomaterials are being isolated, the gate-tunable p-n heterojunction concept presented here should be widely generalizable to realize diverse ultrathin, high-performance electronics and optoelectronics.

  8. Gate-tunable carbon nanotube–MoS2 heterojunction p-n diode

    PubMed Central

    Jariwala, Deep; Sangwan, Vinod K.; Wu, Chung-Chiang; Prabhumirashi, Pradyumna L.; Geier, Michael L.; Marks, Tobin J.; Lauhon, Lincoln J.; Hersam, Mark C.

    2013-01-01

    The p-n junction diode and field-effect transistor are the two most ubiquitous building blocks of modern electronics and optoelectronics. In recent years, the emergence of reduced dimensionality materials has suggested that these components can be scaled down to atomic thicknesses. Although high-performance field-effect devices have been achieved from monolayered materials and their heterostructures, a p-n heterojunction diode derived from ultrathin materials is notably absent and constrains the fabrication of complex electronic and optoelectronic circuits. Here we demonstrate a gate-tunable p-n heterojunction diode using semiconducting single-walled carbon nanotubes (SWCNTs) and single-layer molybdenum disulfide as p-type and n-type semiconductors, respectively. The vertical stacking of these two direct band gap semiconductors forms a heterojunction with electrical characteristics that can be tuned with an applied gate bias to achieve a wide range of charge transport behavior ranging from insulating to rectifying with forward-to-reverse bias current ratios exceeding 104. This heterojunction diode also responds strongly to optical irradiation with an external quantum efficiency of 25% and fast photoresponse <15 μs. Because SWCNTs have a diverse range of electrical properties as a function of chirality and an increasing number of atomically thin 2D nanomaterials are being isolated, the gate-tunable p-n heterojunction concept presented here should be widely generalizable to realize diverse ultrathin, high-performance electronics and optoelectronics. PMID:24145425

  9. DIFMOS - A floating-gate electrically erasable nonvolatile semiconductor memory technology. [Dual Injector Floating-gate MOS

    NASA Technical Reports Server (NTRS)

    Gosney, W. M.

    1977-01-01

    Electrically alterable read-only memories (EAROM's) or reprogrammable read-only memories (RPROM's) can be fabricated using a single-level metal-gate p-channel MOS technology with all conventional processing steps. Given the acronym DIFMOS for dual-injector floating-gate MOS, this technology utilizes the floating-gate technique for nonvolatile storage of data. Avalanche injection of hot electrons through gate oxide from a special injector diode in each bit is used to charge the floating gates. A second injector structure included in each bit permits discharge of the floating gate by avalanche injection of holes through gate oxide. The overall design of the DIFMOS bit is dictated by the physical considerations required for each of the avalanche injector types. The end result is a circuit technology which can provide fully decoded bit-erasable EAROM-type circuits using conventional manufacturing techniques.

  10. Improving pH sensitivity by field-induced charge regulation in flexible biopolymer electrolyte gated oxide transistors

    NASA Astrophysics Data System (ADS)

    Liu, Ning; Gan, Lu; Liu, Yu; Gui, Weijun; Li, Wei; Zhang, Xiaohang

    2017-10-01

    Electrical manipulation of charged ions in electrolyte-gated transistors is crucial for enhancing the electric-double-layer (EDL) gating effect, thereby improving their sensing abilities. Here, indium-zinc-oxide (IZO) based thin-film-transistors (TFTs) are fabricated on flexible plastic substrate. Acid doped chitosan-based biopolymer electrolyte is used as the gate dielectric, exhibiting an extremely high EDL capacitance. By regulating the dynamic EDL charging process with special gate potential profiles, the EDL gating effect of the chitosan-gated TFT is enhanced, and then resulting in higher pH sensitivities. An extremely high sensitivity of ∼57.8 mV/pH close to Nernst limit is achieved when the gate bias of the TFT sensor sweeps at a rate of 10 mV/s. Additionally, an enhanced sensitivity of 2630% in terms of current variation with pH range from 11 to 3 is realized when the device is operated in the ion depletion mode with a negative gate bias of -0.7 V. Robust ionic modulation is demonstrated in such chitosan-gated sensors. Efficiently driving the charged ions in the chitosan-gated IZO-TFT provides a new route for ultrasensitive, low voltage, and low-cost biochemical sensing technologies.

  11. Ultrathin strain-gated field effect transistor based on In-doped ZnO nanobelts

    NASA Astrophysics Data System (ADS)

    Zhang, Zheng; Du, Junli; Li, Bing; Zhang, Shuhao; Hong, Mengyu; Zhang, Xiaomei; Liao, Qingliang; Zhang, Yue

    2017-08-01

    In this work, we fabricated a strain-gated piezoelectric transistor based on single In-doped ZnO nanobelt with ±(0001) top/bottom polar surfaces. In the vertical structured transistor, the Pt tip of the AFM and Au film are used as source and drain electrode. The electrical transport performance of the transistor is gated by compressive strains. The working mechanism is attributed to the Schottky barrier height changed under the coupling effect of piezoresistive and piezoelectric. Uniquely, the transistor turns off under the compressive stress of 806 nN. The strain-gated transistor is likely to have important applications in high resolution mapping device and MEMS devices.

  12. Thickness and composition of ultrathin SiO2 layers on Si

    NASA Astrophysics Data System (ADS)

    van der Marel, C.; Verheijen, M. A.; Tamminga, Y.; Pijnenburg, R. H. W.; Tombros, N.; Cubaynes, F.

    2004-07-01

    investigated [D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt, and G. Timp, Nature (London) 399, 758 (1999); D. A. Muller and J. B. Neaton, Structure and Energetics of the Interface Between Si and Amorphous SiO2 in Fundamental Aspects of Silicon Oxidation, edited by Y. J. Chabal (Springer, Berlin, 2001), pp. 219-246.] by means of high-resolution electron energy loss spectroscopy measurements of the O K edge in ultrathin gate oxides of SiO2. .

  13. Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure

    NASA Astrophysics Data System (ADS)

    Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He

    2017-12-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.

  14. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  15. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  16. Off-line wafer level reliability control: unique measurement method to monitor the lifetime indicator of gate oxide validated within bipolar/CMOS/DMOS technology

    NASA Astrophysics Data System (ADS)

    Gagnard, Xavier; Bonnaud, Olivier

    2000-08-01

    We have recently published a paper on a new rapid method for the determination of the lifetime of the gate oxide involved in a Bipolar/CMOS/DMOS technology (BCD). Because this previous method was based on a current measurement with gate voltage as a parameter needing several stress voltages, it was applied only by lot sampling. Thus, we tried to find an indicator in order to monitor the gate oxide lifetime during the wafer level parametric test and involving only one measurement of the device on each wafer test cell. Using the Weibull law and Crook model, combined with our recent model, we have developed a new test method needing only one electrical measurement of MOS capacitor to monitor the quality of the gate oxide. Based also on a current measurement, the parameter is the lifetime indicator of the gate oxide. From the analysis of several wafers, we gave evidence of the possibility to detect a low performance wafer, which corresponds to the infantile failure on the Weibull plot. In order to insert this new method in the BCD parametric program, a parametric flowchart was established. This type of measurement is an important challenges, because the actual measurements, breakdown charge, Qbd, and breakdown electric field, Ebd, at parametric level and Ebd and interface states density, Dit during the process cannot guarantee the gate oxide lifetime all along fabrication process. This indicator measurement is the only one, which predicts the lifetime decrease.

  17. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks

    NASA Astrophysics Data System (ADS)

    Ritzenthaler, R.; Schram, T.; Bury, E.; Spessot, A.; Caillat, C.; Srividya, V.; Sebaai, F.; Mitard, J.; Ragnarsson, L.-Å.; Groeseneken, G.; Horiguchi, N.; Fazan, P.; Thean, A.

    2013-06-01

    In this work, the possibility of integration of High-k/Metal Gate (HKMG), Replacement Metal Gate (RMG) gate stacks for low power DRAM compatible transistors is studied. First, it is shown that RMG gate stacks used for Logic applications need to be seriously reconsidered, because of the additional anneal(s) needed in a DRAM process. New solutions are therefore developed. A PMOS stack HfO2/TiN with TiN deposited in three times combined with Work Function metal oxidations is demonstrated, featuring a very good Work Function of 4.95 eV. On the other hand, the NMOS side is shown to be a thornier problem to solve: a new solution based on the use of oxidized Ta as a diffusion barrier is proposed, and a HfO2/TiN/TaOX/TiAl/TiN/TiN gate stack featuring an aggressive Work Function of 4.35 eV (allowing a Work Function separation of 600 mV between NMOS and PMOS) is demonstrated. This work paves the way toward the integration of gate-last options for DRAM periphery transistors.

  18. Ultrathin Shape Change Smart Materials.

    PubMed

    Xu, Weinan; Kwok, Kam Sang; Gracias, David H

    2018-02-20

    With the discovery of graphene, significant research has focused on the synthesis, characterization, and applications of ultrathin materials. Graphene has also brought into focus other ultrathin materials composed of organics, polymers, inorganics, and their hybrids. Together, these ultrathin materials have unique properties of broad significance. For example, ultrathin materials have a large surface area and high flexibility which can enhance conformal contact in wearables and sensors leading to improved sensitivity. When porous, the short transverse diffusion length in these materials allows rapid mass transport. Alternatively, when impermeable, these materials behave as an ultrathin barrier. Such controlled permeability is critical in the design of encapsulation and drug delivery systems. Finally, ultrathin materials often feature defect-free and single-crystal-like two-dimensional atomic structures resulting in superior mechanical, optical, and electrical properties. A unique property of ultrathin materials is their low bending rigidity, which suggests that they could easily be bent, curved, or folded into 3D shapes. In this Account, we review the emerging field of 2D to 3D shape transformations of ultrathin materials. We broadly define ultrathin to include materials with a thickness below 100 nm and composed of a range of organic, inorganic, and hybrid compositions. This topic is important for both fundamental and applied reasons. Fundamentally, bending and curving of ultrathin films can cause atomistic and molecular strain which can alter their physical and chemical properties and lead to new 3D forms of matter which behave very differently from their planar precursors. Shape change can also lead to new 3D architectures with significantly smaller form factors. For example, 3D ultrathin materials would occupy a smaller space in on-chip devices or could permeate through tortuous media which is important for miniaturized robots and smart dust applications. Our

  19. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  20. Surface modification of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors.

    PubMed

    Jang, Kwang-Suk; Wee, Duyoung; Kim, Yun Ho; Kim, Jinsoo; Ahn, Taek; Ka, Jae-Won; Yi, Mi Hye

    2013-06-11

    We report a simple approach to modify the surface of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors. It is expected that the yttrium oxide interlayer will provide a surface that is more chemically compatible with the ZnO semiconductor than is bare polyimde. The field-effect mobility and the on/off current ratio of the ZnO TFT with the YOx/polyimide gate insulator were 0.456 cm(2)/V·s and 2.12 × 10(6), respectively, whereas the ZnO TFT with the polyimide gate insulator was inactive.

  1. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-01

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  2. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors.

    PubMed

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-17

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  3. Enhancement of emission efficiency of colloidal CdSe quantum dots on silicon substrate via an ultra-thin layer of aluminum oxide.

    PubMed

    Patty, K; Sadeghi, S M; Nejat, A; Mao, C-B

    2014-04-18

    We demonstrate that an ultra-thin layer of aluminum oxide can significantly enhance the emission efficiency of colloidal quantum dots on a Si substrate. For an ensemble of single quantum dots, our results show that this super brightening process can increase the fluorescence of CdSe quantum dots, forming well-resolved spectra, while in the absence of this layer the emission remains mostly at the noise level. We demonstrate that this process can be further enhanced with irradiation of the quantum dots, suggesting a significant photo-induced fluorescence enhancement via considerable suppression of non-radiative decay channels of the quantum dots. We study the impact of the Al oxide thickness on Si and interdot interactions, and discuss the results in terms of photo-induced catalytic properties of the Al oxide and the effects of such an oxide on the Coulomb blockade responsible for suppression of photo-ionization of the quantum dots.

  4. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    PubMed

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  5. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    NASA Astrophysics Data System (ADS)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  6. Understanding Metal-Insulator transitions in ultra-thin films of LaNiO3

    NASA Astrophysics Data System (ADS)

    Ravichandran, Jayakanth; King, Philip D. C.; Schlom, Darrell G.; Shen, Kyle M.; Kim, Philip

    2014-03-01

    LaNiO3 (LNO) is a bulk paramagnetic metal and a member of the family of RENiO3 Nickelates (RE = Rare Earth Metals), which is on the verge of the metal-insulator transition. Ultra-thin films of LNO has been studied extensively in the past and due to its sensitivity to disorder, the true nature of the metal-insulator transition in these films have been hard to decipher. We grow high quality ultra-thin films of LNO using reactive molecular beam epitaxy (MBE) and use a combination of ionic liquid gating and magneto-transport measurements to understand the nature and tunability of metal-insulator transition as a function of thickness for LNO. The underlying mechanisms for the transition are discussed in the framework of standard transport models. These results are discussed in the light of other Mott insulators such as Sr2IrO4, where we have performed similar measurements around the insulating state.

  7. Short-Term Synaptic Plasticity Regulation in Solution-Gated Indium-Gallium-Zinc-Oxide Electric-Double-Layer Transistors.

    PubMed

    Wan, Chang Jin; Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing

    2016-04-20

    In the biological nervous system, synaptic plasticity regulation is based on the modulation of ionic fluxes, and such regulation was regarded as the fundamental mechanism underlying memory and learning. Inspired by such biological strategies, indium-gallium-zinc-oxide (IGZO) electric-double-layer (EDL) transistors gated by aqueous solutions were proposed for synaptic behavior emulations. Short-term synaptic plasticity, such as paired-pulse facilitation, high-pass filtering, and orientation tuning, was experimentally emulated in these EDL transistors. Most importantly, we found that such short-term synaptic plasticity can be effectively regulated by alcohol (ethyl alcohol) and salt (potassium chloride) additives. Our results suggest that solution gated oxide-based EDL transistors could act as the platforms for short-term synaptic plasticity emulation.

  8. 3D gate-all-around bandgap-engineered SONOS flash memory in vertical silicon pillar with metal gate

    NASA Astrophysics Data System (ADS)

    Oh, Jae-Sub; Yang, Seong-Dong; Lee, Sang-Youl; Kim, Young-Su; Kang, Min-Ho; Lim, Sung-Kyu; Lee, Hi-Deok; Lee, Ga-Won

    2013-08-01

    In this paper, a gate-all-around bandgap-engineered silicon-oxide-nitride-oxide-silicon device with a vertical silicon pillar structure and a Ti metal gate are demonstrated for a potential solution to overcome the scaling-down of flash memory device. The devices were fabricated using CMOS-compatible technology and exhibited well-behaved memory characteristics in terms of the program/erase window, retention, and endurance properties. Moreover, the integration of the Ti metal gate demonstrated a significant improvement in the erase characteristics due to the efficient suppression of the electron back tunneling through the blocking oxide.

  9. 100-nm gate lithography for double-gate transistors

    NASA Astrophysics Data System (ADS)

    Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.

    2001-09-01

    The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.

  10. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    PubMed Central

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-01-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V−1 sec−1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process. PMID:27184121

  11. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    NASA Astrophysics Data System (ADS)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  12. Highly scaled equivalent oxide thickness of 0.66 nm for TiN/HfO2/GaSb MOS capacitors by using plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Tsai, Ming-Li; Wang, Shin-Yuan; Chien, Chao-Hsin

    2017-08-01

    Through in situ hydrogen plasma treatment (HPT) and plasma-enhanced atomic-layer-deposited TiN (PEALD-TiN) layer capping, we successfully fabricated TiN/HfO2/GaSb metal-oxide-semiconductor capacitors with an ultrathin equivalent oxide thickness of 0.66 nm and a low density of states of approximately 2 × 1012 cm-2 eV-1 near the valence band edge. After in situ HPT, a native oxide-free surface was obtained through efficient etching. Moreover, the use of the in situ PEALD-TiN layer precluded high-κ dielectric damage that would have been caused by conventional sputtering, thereby yielding a superior high-κ dielectric and low gate leakage current.

  13. A room temperature method for the formation of ultrathin silicon oxide films

    NASA Astrophysics Data System (ADS)

    Muisener, Richard John

    Growing interest surrounds the use of thin films to impart unique surface properties without adversely affecting those of the bulk. One such example is the formation of a stable high-energy silicon oxide surface on polymers. Thin silicon oxide films have been used to tailor the surface properties of many materials. Conventional methods for SiOx film fabrication such as chemical vapor deposition require either high temperature or expensive vacuum chambers. This research focuses on the intrinsically inexpensive process of UV-ozone to form ultrathin SiOx films from polysiloxane precursors at room temperature and atmospheric pressure. Chemical evidence suggests a complete conversion from organic polymer to inorganic ceramic. Through XPS, the UV-ozone treatment oxidizes over 95% of the silicone's organic side groups with a resulting stoichiometry Of Si 1O2.2C0.08. The silicon oxidation state changes from 2+ in poly(dimethylsiloxane) to 93% 4+ corresponding to SiO2. IR studies show a total loss of methyl bands and the growth of a new Si-O band centered at 1225 cm-1. Gas phase reaction products suggest a radical driven process. The physical properties also suggest a complete conversion to SiO x. Excellent control of film thickness, as low as 2 nm, has been demonstrated by variable angle spectroscopic ellipsometry. The ellipsometrically determined thickness loss of 55% during treatment corresponds to an SiOx film density of 1.9 g/cm3. The continuity of the film is demonstrated by electrical properties and a very low water contact angle consistent with SiOx. The later property ensures that the SiOx films are anti-fogging in nature. Unique hydrophilic-hydrophobic structures were formed through photo-patterning. The reaction has been successfully modeled as self-limiting based on the diffusion of ozone. The chief reactant, atomic oxygen, is generated by the photochemical dissociation of ozone and quickly generates radical species within the polymer film. The reaction proceeds

  14. Kink effect in ultrathin FDSOI MOSFETs

    NASA Astrophysics Data System (ADS)

    Park, H. J.; Bawedin, M.; Choi, H. G.; Cristoloveanu, S.

    2018-05-01

    Systematic experiments demonstrate the presence of the kink effect even in FDSOI MOSFETs. The back-gate bias controls the kink effect via the formation of a back accumulation channel. The kink is more or less pronounced according to the film thickness and channel length. However, in ultrathin (<10 nm) and/or very short transistors (L < 50 nm), the kink is totally absent as a consequence of super-coupling effect. For the first time, thanks to the availability of body contacts, the body potential is probed to evidence the impact of majority carrier accumulation and drain pulse duration on the kink effect onset. He is currently working toward the Ph.D. degree in FDSOI device characterization and simulation at a laboratory of IMEP-lahc, Université Grenoble Alpes, Minatec, Grenoble, France. His research interests include residual floating body effects, electrical characterization, and device simulation for ultra FDSOI MOSFETs.

  15. Near-IR squaraine dye–loaded gated periodic mesoporous organosilica for photo-oxidation of phenol in a continuous-flow device

    PubMed Central

    Borah, Parijat; Sreejith, Sivaramapanicker; Anees, Palapuravan; Menon, Nishanth Venugopal; Kang, Yuejun; Ajayaghosh, Ayyappanpillai; Zhao, Yanli

    2015-01-01

    Periodic mesoporous organosilica (PMO) has been widely used for the fabrication of a variety of catalytically active materials. We report the preparation of novel photo-responsive PMO with azobenzene-gated pores. Upon activation, the azobenzene gate undergoes trans-cis isomerization, which allows an unsymmetrical near-infrared squaraine dye (Sq) to enter into the pores. The gate closure by cis-trans isomerization of the azobenzene unit leads to the safe loading of the monomeric dye inside the pores. The dye-loaded and azobenzene-gated PMO (Sq-azo@PMO) exhibits excellent generation of reactive oxygen species upon excitation at 664 nm, which can be effectively used for the oxidation of phenol into benzoquinone in aqueous solution. Furthermore, Sq-azo@PMO as the catalyst was placed inside a custom-built, continuous-flow device to carry out the photo-oxidation of phenol to benzoquinone in the presence of 664-nm light. By using the device, about 23% production of benzoquinone with 100% selectivity was achieved. The current research presents a prototype of transforming heterogeneous catalysts toward practical use. PMID:26601266

  16. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  17. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  18. Si photoanode protected by a metal modified ITO layer with ultrathin NiO(x) for solar water oxidation.

    PubMed

    Sun, Ke; Shen, Shaohua; Cheung, Justin S; Pang, Xiaolu; Park, Namseok; Zhou, Jigang; Hu, Yongfeng; Sun, Zhelin; Noh, Sun Young; Riley, Conor T; Yu, Paul K L; Jin, Sungho; Wang, Deli

    2014-03-14

    We report an ultrathin NiOx catalyzed Si np(+) junction photoanode for a stable and efficient solar driven oxygen evolution reaction (OER) in water. A stable semi-transparent ITO/Au/ITO hole conducting oxide layer, sandwiched between the OER catalyst and the Si photoanode, is used to protect the Si from corrosion in an alkaline working environment, enhance the hole transportation, and provide a pre-activation contact to the NiOx catalyst. The NiOx catalyzed Si photoanode generates a photocurrent of 1.98 mA cm(-2) at the equilibrium water oxidation potential (EOER = 0.415 V vs. NHE in 1 M NaOH solution). A thermodynamic solar-to-oxygen conversion efficiency (SOCE) of 0.07% under 0.51-sun illumination is observed. The successful development of a low cost, highly efficient, and stable photoelectrochemical electrode based on earth abundant elements is essential for the realization of a large-scale practical solar fuel conversion.

  19. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    NASA Astrophysics Data System (ADS)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-09-01

    An effective method for determining the optical constants of Ta2O5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta2O5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta2O5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta2O5. This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices.

  20. On the role of ultra-thin oxide cathode synthesis on the functionality of micro-solid oxide fuel cells: Structure, stress engineering and in situ observation of fuel cell membranes during operation

    NASA Astrophysics Data System (ADS)

    Lai, Bo-Kuai; Kerman, Kian; Ramanathan, Shriram

    Microstructure and stresses in dense La 0.6Sr 0.4Co 0.8Fe 0.2O 3 (LSCF) ultra-thin films have been investigated to increase the physical thickness of crack-free cathodes and active area of thermo-mechanically robust micro-solid oxide fuel cell (μSOFC) membranes. Processing protocols employ low deposition rates to create a highly granular nanocrystalline microstructure in LSCF thin films and high substrate temperatures to produce linear temperature-dependent stress evolution that is dominated by compressive stresses in μSOFC membranes. Insight and trade-off on the synthesis are revealed by probing microstructure evolution and electrical conductivity in LSCF thin films, in addition to in situ monitoring of membrane deformation while measuring μSOFC performance at varying temperatures. From these studies, we were able to successfully fabricate failure-resistant square μSOFC (LSCF/YSZ/Pt) membranes with width of 250 μm and crack-free cathodes with thickness of ∼70 nm. Peak power density of ∼120 mW cm -2 and open circuit voltage of ∼0.6 V at 560 °C were achieved on a μSOFC array chip containing ten such membranes. Mechanisms affecting fuel cell performance are discussed. Our results provide fundamental insight to pathways of microstructure and stress engineering of ultra-thin, dense oxide cathodes and μSOFC membranes.

  1. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  2. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  3. Ultrathin planar graphene supercapacitors.

    PubMed

    Yoo, Jung Joon; Balakrishnan, Kaushik; Huang, Jingsong; Meunier, Vincent; Sumpter, Bobby G; Srivastava, Anchal; Conway, Michelle; Reddy, Arava Leela Mohana; Yu, Jin; Vajtai, Robert; Ajayan, Pulickel M

    2011-04-13

    With the advent of atomically thin and flat layers of conducting materials such as graphene, new designs for thin film energy storage devices with good performance have become possible. Here, we report an "in-plane" fabrication approach for ultrathin supercapacitors based on electrodes comprised of pristine graphene and multilayer reduced graphene oxide. The in-plane design is straightforward to implement and exploits efficiently the surface of each graphene layer for energy storage. The open architecture and the effect of graphene edges enable even the thinnest of devices, made from as grown 1-2 graphene layers, to reach specific capacities up to 80 μFcm(-2), while much higher (394 μFcm(-2)) specific capacities are observed multilayer reduced graphene oxide electrodes. The performances of devices with pristine as well as thicker graphene-based structures are examined using a combination of experiments and model calculations. The demonstrated all solid-state supercapacitors provide a prototype for a broad range of thin-film based energy storage devices.

  4. Investigation of field induced trapping on floating gates

    NASA Technical Reports Server (NTRS)

    Gosney, W. M.

    1975-01-01

    The development of a technology for building electrically alterable read only memories (EAROMs) or reprogrammable read only memories (RPROMs) using a single level metal gate p channel MOS process with all conventional processing steps is outlined. Nonvolatile storage of data is achieved by the use of charged floating gate electrodes. The floating gates are charged by avalanche injection of hot electrodes through gate oxide, and discharged by avalanche injection of hot holes through gate oxide. Three extra diffusion and patterning steps are all that is required to convert a standard p channel MOS process into a nonvolatile memory process. For identification, this nonvolatile memory technology was given the descriptive acronym DIFMOS which stands for Dual Injector, Floating gate MOS.

  5. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    NASA Astrophysics Data System (ADS)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  6. Technologies for suppressing charge-traps in novel p-channel Field-MOSFET with thick gate oxide

    NASA Astrophysics Data System (ADS)

    Miyoshi, Tomoyuki; Oshima, Takayuki; Noguchi, Junji

    2015-05-01

    High voltage laterally diffused MOS (LDMOS) FETs are widely used in analog applications. A Field-MOSFET with a thick gate oxide is one of the best ways of achieving a simpler design and smaller circuit footprint for high-voltage analog circuits. This paper focuses on an approach to improving the reliability of p-channel Field-MOSFETs. By introducing a fluorine implantation process and terminating fluorine at the LOCOS bird’s beak, the gate oxide breakdown voltage could be raised to 350 V at a high-slew rate and the negative bias temperature instability (NBTI) shift could be kept to within 15% over a product’s lifetime. By controlling the amount of charge in the insulating layer through improving the interlayer dielectric (ILD) deposition processes, a higher BVDSS of 370 V and 10-year tolerability of 300 V were obtained with an assisted reduced surface electric field (RESURF) effect. These techniques can supply an efficient solution for ensuring reliable high-performance applications.

  7. Development of an ultra-thin film comprised of a graphene membrane and carbon nanotube vein support.

    PubMed

    Lin, Xiaoyang; Liu, Peng; Wei, Yang; Li, Qunqing; Wang, Jiaping; Wu, Yang; Feng, Chen; Zhang, Lina; Fan, Shoushan; Jiang, Kaili

    2013-01-01

    Graphene, exhibiting superior mechanical, thermal, optical and electronic properties, has attracted great interest. Considering it being one-atom-thick, and the reduced mechanical strength at grain boundaries, the fabrication of large-area suspended chemical vapour deposition graphene remains a challenge. Here we report the fabrication of an ultra-thin free-standing carbon nanotube/graphene hybrid film, inspired by the vein-membrane structure found in nature. Such a square-centimetre-sized hybrid film can realize the overlaying of large-area single-layer chemical vapour deposition graphene on to a porous vein-like carbon nanotube network. The vein-membrane-like hybrid film, with graphene suspended on the carbon nanotube meshes, possesses excellent mechanical performance, optical transparency and good electrical conductivity. The ultra-thin hybrid film features an electron transparency close to 90%, which makes it an ideal gate electrode in vacuum electronics and a high-performance sample support in transmission electron microscopy.

  8. Suspended sub-50 nm vanadium dioxide membrane transistors: fabrication and ionic liquid gating studies

    NASA Astrophysics Data System (ADS)

    Sim, Jai S.; Zhou, You; Ramanathan, Shriram

    2012-10-01

    We demonstrate a robust lithographic patterning method to fabricate self-supported sub-50 nm VO2 membranes that undergo a phase transition. Utilizing such self-supported membranes, we directly observed a shift in the metal-insulator transition temperature arising from stress relaxation and consistent opening of the hysteresis. Electric double layer transistors were then fabricated with the membranes and compared to thin film devices. The ionic liquid allowed reversible modulation of channel resistance and distinguishing bulk processes from the surface effects. From the shift in the metal-insulator transition temperature, the carrier density doped through electrolyte gating is estimated to be 1 × 1020 cm-3. Hydrogen annealing studies showed little difference in resistivity between the film and the membrane indicating rapid diffusion of hydrogen in the vanadium oxide rutile lattice consistent with previous observations. The ability to fabricate electrically-wired, suspended VO2 ultra-thin membranes creates new opportunities to study mesoscopic size effects on phase transitions and may also be of interest in sensor devices.

  9. Ultrathin Au-Alloy Nanowires at the Liquid-Liquid Interface.

    PubMed

    Chatterjee, Dipanwita; Shetty, Shwetha; Müller-Caspary, Knut; Grieb, Tim; Krause, Florian F; Schowalter, Marco; Rosenauer, Andreas; Ravishankar, Narayanan

    2018-03-14

    Ultrathin bimetallic nanowires are of importance and interest for applications in electronic devices such as sensors and heterogeneous catalysts. In this work, we have designed a new, highly reproducible and generalized wet chemical method to synthesize uniform and monodispersed Au-based alloy (AuCu, AuPd, and AuPt) nanowires with tunable composition using microwave-assisted reduction at the liquid-liquid interface. These ultrathin alloy nanowires are below 4 nm in diameter and about 2 μm long. Detailed microstructural characterization shows that the wires have an face centred cubic (FCC) crystal structure, and they have low-energy twin-boundary and stacking-fault defects along the growth direction. The wires exhibit remarkable thermal and mechanical stability that is critical for important applications. The alloy wires exhibit excellent electrocatalytic activity for methanol oxidation in an alkaline medium.

  10. Oxidation of ultrathin GaSe

    DOE PAGES

    Thomas Edwin Beechem; McDonald, Anthony E.; Ohta, Taisuke; ...

    2015-10-26

    Oxidation of exfoliated gallium selenide (GaSe) is investigated through Raman, photoluminescence, Auger, and X-ray photoelectron spectroscopies. Photoluminescence and Raman intensity reductions associated with spectral features of GaSe are shown to coincide with the emergence of signatures emanating from the by-products of the oxidation reaction, namely, Ga 2Se 3 and amorphous Se. Furthermore, photoinduced oxidation is initiated over a portion of a flake highlighting the potential for laser based patterning of two-dimensional heterostructures via selective oxidation.

  11. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric

    PubMed Central

    Fujii, Mami N.; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-01-01

    The use of indium–gallium–zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic–inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic–inorganic hybrid devices. PMID:26677773

  12. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    PubMed

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  13. Comparison of junctionless and inversion-mode p-type metal-oxide-semiconductor field-effect transistors in presence of hole-phonon interactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dib, E., E-mail: elias.dib@for.unipi.it; Carrillo-Nuñez, H.; Cavassilas, N.

    Junctionless transistors are being considered as one of the alternatives to conventional metal-oxide field-effect transistors. In this work, it is then presented a simulation study of silicon double-gated p-type junctionless transistors compared with its inversion-mode counterpart. The quantum transport problem is solved within the non-equilibrium Green's function formalism, whereas hole-phonon interactions are tackled by means of the self-consistent Born approximation. Our findings show that junctionless transistors should perform as good as a conventional transistor only for ultra-thin channels, with the disadvantage of requiring higher supply voltages in thicker channel configurations.

  14. Facile design of ultra-thin anodic aluminum oxide membranes for the fabrication of plasmonic nanoarrays.

    PubMed

    Hao, Qi; Huang, Hao; Fan, Xingce; Hou, Xiangyu; Yin, Yin; Li, Wan; Si, Lifang; Nan, Haiyan; Wang, Huaiyu; Mei, Yongfeng; Qiu, Teng; Chu, Paul K

    2017-03-10

    Ultra-thin anodic aluminum oxide (AAO) membranes are efficient templates for the fabrication of patterned nanostructures. Herein, a three-step etching method to control the morphology of AAO is described. The morphological evolution of the AAO during phosphoric acid etching is systematically investigated and a nonlinear growth mechanism during unsteady-state anodization is revealed. The thickness of the AAO can be quantitatively controlled from ∼100 nm to several micrometers while maintaining the tunablity of the pore diameter. The AAO membranes are robust and readily transferable to different types of substrates to prepare patterned plasmonic nanoarrays such as nanoislands, nanoclusters, ultra-small nanodots, and core-satellite superstructures. The localized surface plasmon resonance from these nanostructures can be easily tuned by adjusting the morphology of the AAO template. The custom AAO template provides a platform for the fabrication of low-cost and large-scale functional nanoarrays suitable for fundamental studies as well as applications including biochemical sensing, imaging, photocatalysis, and photovoltaics.

  15. Facile design of ultra-thin anodic aluminum oxide membranes for the fabrication of plasmonic nanoarrays

    NASA Astrophysics Data System (ADS)

    Hao, Qi; Huang, Hao; Fan, Xingce; Hou, Xiangyu; Yin, Yin; Li, Wan; Si, Lifang; Nan, Haiyan; Wang, Huaiyu; Mei, Yongfeng; Qiu, Teng; Chu, Paul K.

    2017-03-01

    Ultra-thin anodic aluminum oxide (AAO) membranes are efficient templates for the fabrication of patterned nanostructures. Herein, a three-step etching method to control the morphology of AAO is described. The morphological evolution of the AAO during phosphoric acid etching is systematically investigated and a nonlinear growth mechanism during unsteady-state anodization is revealed. The thickness of the AAO can be quantitatively controlled from ˜100 nm to several micrometers while maintaining the tunablity of the pore diameter. The AAO membranes are robust and readily transferable to different types of substrates to prepare patterned plasmonic nanoarrays such as nanoislands, nanoclusters, ultra-small nanodots, and core-satellite superstructures. The localized surface plasmon resonance from these nanostructures can be easily tuned by adjusting the morphology of the AAO template. The custom AAO template provides a platform for the fabrication of low-cost and large-scale functional nanoarrays suitable for fundamental studies as well as applications including biochemical sensing, imaging, photocatalysis, and photovoltaics.

  16. Near interface traps in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors monitored by temperature dependent gate current transient measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fiorenza, Patrick; La Magna, Antonino; Vivona, Marilena

    This letter reports on the impact of gate oxide trapping states on the conduction mechanisms in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs). The phenomena were studied by gate current transient measurements, performed on n-channel MOSFETs operated in “gate-controlled-diode” configuration. The measurements revealed an anomalous non-steady conduction under negative bias (V{sub G} > |20 V|) through the SiO{sub 2}/4H-SiC interface. The phenomenon was explained by the coexistence of a electron variable range hopping and a hole Fowler-Nordheim (FN) tunnelling. A semi-empirical modified FN model with a time-depended electric field is used to estimate the near interface traps in the gate oxide (N{sub trap} ∼ 2 × 10{supmore » 11} cm{sup −2}).« less

  17. Sub-0.5 V Highly Stable Aqueous Salt Gated Metal Oxide Electronics

    PubMed Central

    Park, Sungjun; Lee, SeYeong; Kim, Chang-Hyun; Lee, Ilseop; Lee, Won-June; Kim, Sohee; Lee, Byung-Geun; Jang, Jae-Hyung; Yoon, Myung-Han

    2015-01-01

    Recently, growing interest in implantable bionics and biochemical sensors spurred the research for developing non-conventional electronics with excellent device characteristics at low operation voltages and prolonged device stability under physiological conditions. Herein, we report high-performance aqueous electrolyte-gated thin-film transistors using a sol-gel amorphous metal oxide semiconductor and aqueous electrolyte dielectrics based on small ionic salts. The proper selection of channel material (i.e., indium-gallium-zinc-oxide) and precautious passivation of non-channel areas enabled the development of simple but highly stable metal oxide transistors manifested by low operation voltages within 0.5 V, high transconductance of ~1.0 mS, large current on-off ratios over 107, and fast inverter responses up to several hundred hertz without device degradation even in physiologically-relevant ionic solutions. In conjunction with excellent transistor characteristics, investigation of the electrochemical nature of the metal oxide-electrolyte interface may contribute to the development of a viable bio-electronic platform directly interfacing with biological entities in vivo. PMID:26271456

  18. Electron transporting water-gated thin film transistors

    NASA Astrophysics Data System (ADS)

    Al Naim, Abdullah; Grell, Martin

    2012-10-01

    We demonstrate an electron-transporting water-gated thin film transistor, using thermally converted precursor-route zinc-oxide (ZnO) intrinsic semiconductors with hexamethyldisilazene (HMDS) hydrophobic surface modification. Water gated HMDS-ZnO thin film transistors (TFT) display low threshold and high electron mobility. ZnO films constitute an attractive alternative to organic semiconductors for TFT transducers in sensor applications for waterborne analytes. Despite the use of an electrolyte as gate medium, the gate geometry (shape of gate electrode and distance between gate electrode and TFT channel) is relevant for optimum performance of water-gated TFTs.

  19. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    PubMed

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  20. Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Kondo, Hiroki; Sakashita, Mitsuo; Nakatsuka, Osamu; Zaima, Shigeaki

    2011-06-01

    We have demonstrated the control of interfacial properties of Pr-oxide/Ge gate stack structure by the introduction of nitrogen. From C- V characteristics of Al/Pr-oxide/Ge 3N 4/Ge MOS capacitors, the interface state density decreases without the change of the accumulation capacitance after annealing. The TEM and TED measurements reveal that the crystallization of Pr-oxide is enhanced with annealing and the columnar structure of cubic-Pr 2O 3 is formed after annealing. From the depth profiles measured using XPS with Ar sputtering for the Pr-oxide/Ge 3N 4/Ge stack structure, the increase in the Ge component is not observed in a Pr-oxide film and near the interface between a Pr-oxide film and a Ge substrate. In addition, the N component segregates near the interface region, amorphous Pr-oxynitride (PrON) is formed at the interface. As a result, Pr-oxide/PrON/Ge stacked structure without the Ge-oxynitride interlayer is formed.

  1. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  2. Charge injection from gate electrode by simultaneous stress of optical and electrical biases in HfInZnO amorphous oxide thin film transistor

    NASA Astrophysics Data System (ADS)

    Kwon, Dae Woong; Kim, Jang Hyun; Chang, Ji Soo; Kim, Sang Wan; Sun, Min-Chul; Kim, Garam; Kim, Hyun Woo; Park, Jae Chul; Song, Ihun; Kim, Chang Jung; Jung, U. In; Park, Byung-Gook

    2010-11-01

    A comprehensive study is done regarding stabilities under simultaneous stress of light and dc-bias in amorphous hafnium-indium-zinc-oxide thin film transistors. The positive threshold voltage (Vth) shift is observed after negative gate bias and light stress, and it is completely different from widely accepted phenomenon which explains that negative-bias stress results in Vth shift in the left direction by bias-induced hole-trapping. Gate current measurement is performed to explain the unusual positive Vth shift under simultaneous application of light and negative gate bias. As a result, it is clearly found that the positive Vth shift is derived from electron injection from gate electrode to gate insulator.

  3. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  4. Fabrication of Ta2O5/GeNx gate insulator stack for Ge metal-insulator-semiconductor structures by electron-cyclotron-resonance plasma nitridation and sputtering deposition techniques

    NASA Astrophysics Data System (ADS)

    Otani, Yohei; Itayama, Yasuhiro; Tanaka, Takuo; Fukuda, Yukio; Toyota, Hiroshi; Ono, Toshiro; Mitsui, Minoru; Nakagawa, Kiyokazu

    2007-04-01

    The authors have fabricated germanium (Ge) metal-insulator-semiconductor (MIS) structures with a 7-nm-thick tantalum pentaoxide (Ta2O5)/2-nm-thick germanium nitride (GeNx) gate insulator stack by electron-cyclotron-resonance plasma nitridation and sputtering deposition. They found that pure GeNx ultrathin layers can be formed by the direct plasma nitridation of the Ge surface without substrate heating. X-ray photoelectron spectroscopy revealed no oxidation of the GeNx layer after the Ta2O5 sputtering deposition. The fabricated MIS capacitor with a capacitance equivalent thickness of 4.3nm showed excellent leakage current characteristics. The interface trap density obtained by the modified conductance method was 4×1011cm-2eV-1 at the midgap.

  5. [Color selection of ultrathin veneers in clinic].

    PubMed

    Feng, Sun

    2016-12-01

    Ultrathin veneer is a new therapeutic technology developed from minimally invasive theories. Ultrathin veneer alters the unwanted shape and color of a tooth through minimal or lack of preparation. The color of tooth after restoration is mixed with the natural color of tooth, the original color of veneer, and the color of bonding material because of ultrathin (approximately 0.2 mm) veneer. Thus, the color is affected by numerous variations. Full considerations are required for creating designs. The author summarizes clinical points and provides suggestions for ultrathin veneer in color.

  6. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lei; Yan, Danhua; Shaffer, David W.

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  7. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE PAGES

    Wang, Lei; Yan, Danhua; Shaffer, David W.; ...

    2017-12-27

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  8. Anomalous positive flatband voltage shifts in metal gate stacks containing rare-earth oxide capping layers

    NASA Astrophysics Data System (ADS)

    Caraveo-Frescas, J. A.; Hedhili, M. N.; Wang, H.; Schwingenschlögl, U.; Alshareef, H. N.

    2012-03-01

    It is shown that the well-known negative flatband voltage (VFB) shift, induced by rare-earth oxide capping in metal gate stacks, can be completely reversed in the absence of the silicon overlayer. Using TaN metal gates and Gd2O3-doped dielectric, we measure a ˜350 mV negative shift with the Si overlayer present and a ˜110 mV positive shift with the Si overlayer removed. This effect is correlated to a positive change in the average electrostatic potential at the TaN/dielectric interface which originates from an interfacial dipole. The dipole is created by the replacement of interfacial oxygen atoms in the HfO2 lattice with nitrogen atoms from TaN.

  9. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  10. Structural, electronic and chemical properties of metal/oxide and oxide/oxide interfaces and thin film structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lad, Robert J.

    1999-12-14

    This project focused on three different aspects of oxide thin film systems: (1) Model metal/oxide and oxide/oxide interface studies were carried out by depositing ultra-thin metal (Al, K, Mg) and oxide (MgO, AlO{sub x}) films on TiO{sub 2}, NiO and {alpha}-Al{sub 2}O{sub 3} single crystal oxide substrates. (2) Electron cyclotron resonance (ECR) oxygen plasma deposition was used to fabricate AlO{sub 3} and ZrO{sub 2} films on sapphire substrates, and film growth mechanisms and structural characteristics were investigated. (3) The friction and wear characteristics of ZrO{sub 2} films on sapphire substrates in unlubricated sliding contact were studied and correlated with filmmore » microstructure. In these studies, thin film and interfacial regions were characterized using diffraction (RHEED, LEED, XRD), electron spectroscopies (XPS, UPS, AES), microscopy (AFM) and tribology instruments (pin-on-disk, friction microprobe, and scratch tester). By precise control of thin film microstructure, an increased understanding of the structural and chemical stability of interface regions and tribological performance of ultra-thin oxide films was achieved in these important ceramic systems.« less

  11. High electron mobility and quantum oscillations in non-encapsulated ultrathin semiconducting Bi2O2Se

    NASA Astrophysics Data System (ADS)

    Wu, Jinxiong; Yuan, Hongtao; Meng, Mengmeng; Chen, Cheng; Sun, Yan; Chen, Zhuoyu; Dang, Wenhui; Tan, Congwei; Liu, Yujing; Yin, Jianbo; Zhou, Yubing; Huang, Shaoyun; Xu, H. Q.; Cui, Yi; Hwang, Harold Y.; Liu, Zhongfan; Chen, Yulin; Yan, Binghai; Peng, Hailin

    2017-07-01

    High-mobility semiconducting ultrathin films form the basis of modern electronics, and may lead to the scalable fabrication of highly performing devices. Because the ultrathin limit cannot be reached for traditional semiconductors, identifying new two-dimensional materials with both high carrier mobility and a large electronic bandgap is a pivotal goal of fundamental research. However, air-stable ultrathin semiconducting materials with superior performances remain elusive at present. Here, we report ultrathin films of non-encapsulated layered Bi2O2Se, grown by chemical vapour deposition, which demonstrate excellent air stability and high-mobility semiconducting behaviour. We observe bandgap values of ˜0.8 eV, which are strongly dependent on the film thickness due to quantum-confinement effects. An ultrahigh Hall mobility value of >20,000 cm2 V-1 s-1 is measured in as-grown Bi2O2Se nanoflakes at low temperatures. This value is comparable to what is observed in graphene grown by chemical vapour deposition and at the LaAlO3-SrTiO3 interface, making the detection of Shubnikov-de Haas quantum oscillations possible. Top-gated field-effect transistors based on Bi2O2Se crystals down to the bilayer limit exhibit high Hall mobility values (up to 450 cm2 V-1 s-1), large current on/off ratios (>106) and near-ideal subthreshold swing values (˜65 mV dec-1) at room temperature. Our results make Bi2O2Se a promising candidate for future high-speed and low-power electronic applications.

  12. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr

    We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasingmore » temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.« less

  13. Ionic liquid gating reveals trap-filled limit mobility in low temperature amorphous zinc oxide

    NASA Astrophysics Data System (ADS)

    Bubel, S.; Meyer, S.; Kunze, F.; Chabinyc, M. L.

    2013-10-01

    In low-temperature solution processed amorphous zinc oxide (a-ZnO) thin films, we show the thin film transistor (TFT) characteristics for the trap-filled limit (TFL), when the quasi Fermi energy exceeds the conduction band edge and all tail-states are filled. In order to apply gate fields that are high enough to reach the TFL, we use an ionic liquid tape gate. Performing capacitance voltage measurements to determine the accumulated charge during TFT operation, we find the TFL at biases higher than predicted by the electronic structure of crystalline ZnO. We conclude that the density of states in the conduction band of a-ZnO is higher than in its crystalline state. Furthermore, we find no indication of percolative transport in the conduction band but trap assisted transport in the tail-states of the band.

  14. Bayesian inference of metal oxide ultrathin film structure based on crystal truncation rod measurements

    PubMed Central

    Anada, Masato; Nakanishi-Ohno, Yoshinori; Okada, Masato; Kimura, Tsuyoshi; Wakabayashi, Yusuke

    2017-01-01

    Monte Carlo (MC)-based refinement software to analyze the atomic arrangements of perovskite oxide ultrathin films from the crystal truncation rod intensity is developed on the basis of Bayesian inference. The advantages of the MC approach are (i) it is applicable to multi-domain structures, (ii) it provides the posterior probability of structures through Bayes’ theorem, which allows one to evaluate the uncertainty of estimated structural parameters, and (iii) one can involve any information provided by other experiments and theories. The simulated annealing procedure efficiently searches for the optimum model owing to its stochastic updates, regardless of the initial values, without being trapped by local optima. The performance of the software is examined with a five-unit-cell-thick LaAlO3 film fabricated on top of SrTiO3. The software successfully found the global optima from an initial model prepared by a small grid search calculation. The standard deviations of the atomic positions derived from a dataset taken at a second-generation synchrotron are ±0.02 Å for metal sites and ±0.03 Å for oxygen sites. PMID:29217989

  15. Temperature dependency of double material gate oxide (DMGO) symmetric dual-k spacer (SDS) wavy FinFET

    NASA Astrophysics Data System (ADS)

    Pradhan, K. P.; Priyanka; Sahu, P. K.

    2016-01-01

    Symmetric Dual-k Spacer (SDS) Trigate Wavy FinFET is a novel hybrid device that combines three significant and advanced technologies i.e., ultra-thin-body (UTB), FinFET, and symmetric spacer engineering on a single silicon on insulator (SOI) platform. This innovative architecture promises to enhance the device performance as compared to conventional FinFET without increasing the chip area. For the first time, we have incorporated two different dielectric materials (SiO2, and HfO2) as gate oxide to analyze the effect on various performance metrics of SDS wavy FinFET. This work evaluates the response of double material gate oxide (DMGO) on parameters like mobility, on current (Ion), transconductance (gm), transconductance generation factor (TGF), total gate capacitance (Cgg), and cutoff frequency (fT) in SDS wavy FinFET. This work also reveals the presence of biasing point i.e., zero temperature coefficient (ZTC) bias point. The ZTC bias point is that point where the device parameters become independent of temperature. The impact of operating temperature (T) on above said various performances are also subjected to extensive analysis. This further validates the reliability of DMGO-SDS FinFET and its application opportunities involved in modeling analog/RF circuits for a broad range of temperature applications. From extensive 3-D device simulation, we have determined that the inclusion of DMGO in SDS wavy FinFET is superior in performance.

  16. Anomalous temperature dependence of training effect in specular spin valve using ultrathin Cr2O3-nano-oxide layer with magnetoelectric effect

    NASA Astrophysics Data System (ADS)

    Sawada, Kazuya; Shimomura, Naoki; Doi, Masaaki; Sahashi, Masashi

    2010-05-01

    Exchange bias from antiferromagnetic (AFM) oxides with a magnetoelectric (ME) effect has been studied for controlling ferromagnetic (FM) magnetizations by an applying electric field. However, thick ME oxides are needed for realizing the electrically controlled exchange biasing. Therefore, in this study the temperature dependencies of the training effect for the Cr2O3-nano-oxide-layer (NOL) are investigated for confirming the ME effect of the Cr2O3-NOL. The anomalous temperature tendencies of system dependent constant for exchange bias and magnetoresistance (MR), κHex and κMR, were observed, which are probably originated from the ME effect of the Cr2O3-NOL because (1) these anomalous temperature tendencies could not be obtained in the CoO-NOL spin valve and (2) the κHex and κMR are defined as the strength of the coupling between FM and AFM spins. It is remarkable result for us to confirm the possibility of the ME effect from the ultrathin Cr2O3 layer (less than 1 nm) because the ME effect was observed in only thick ME materials.

  17. Excitatory Post-Synaptic Potential Mimicked in Indium-Zinc-Oxide Synaptic Transistors Gated by Methyl Cellulose Solid Electrolyte

    PubMed Central

    Guo, Liqiang; Wen, Juan; Ding, Jianning; Wan, Changjin; Cheng, Guanggui

    2016-01-01

    The excitatory postsynaptic potential (EPSP) of biological synapses is mimicked in indium-zinc-oxide synaptic transistors gated by methyl cellulose solid electrolyte. These synaptic transistors show excellent electrical performance at an operating voltage of 0.8 V, Ion/off ratio of 2.5 × 106, and mobility of 38.4 cm2/Vs. After this device is connected to a resistance of 4 MΩ in series, it exhibits excellent characteristics as an inverter. A threshold potential of 0.3 V is achieved by changing the gate pulse amplitude, width, or number, which is analogous to biological EPSP. PMID:27924838

  18. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  19. Protonic/electronic hybrid oxide transistor gated by chitosan and its full-swing low voltage inverter applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chao, Jin Yu; Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201; Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn

    Modulation of charge carrier density in condensed materials based on ionic/electronic interaction has attracted much attention. Here, protonic/electronic hybrid indium-zinc-oxide (IZO) transistors gated by chitosan based electrolyte were obtained. The chitosan-based electrolyte illustrates a high proton conductivity and an extremely strong proton gating behavior. The transistor illustrates good electrical performances at a low operating voltage of ∼1.0 V such as on/off ratio of ∼3 × 10{sup 7}, subthreshold swing of ∼65 mV/dec, threshold voltage of ∼0.3 V, and mobility of ∼7 cm{sup 2}/V s. Good positive gate bias stress stabilities are obtained. Furthermore, a low voltage driven resistor-loaded inverter was built by using an IZO transistor inmore » series with a load resistor, exhibiting a linear relationship between the voltage gain and the supplied voltage. The inverter is also used for decreasing noises of input signals. The protonic/electronic hybrid IZO transistors have potential applications in biochemical sensors and portable electronics.« less

  20. Static Noise Margin Enhancement by Flex-Pass-Gate SRAM

    NASA Astrophysics Data System (ADS)

    O'Uchi, Shin-Ichi; Masahara, Meishoku; Sakamoto, Kunihiro; Endo, Kazuhiko; Liu, Yungxun; Matsukawa, Takashi; Sekigawa, Toshihiro; Koike, Hanpei; Suzuki, Eiichi

    A Flex-Pass-Gate SRAM, i.e. a fin-type-field-effect-transistor- (FinFET-) based SRAM, is proposed to enhance noise margin during both read and write operations. In its cell, the flip-flop is composed of usual three-terminal- (3T-) FinFETs while pass gates are composed of four-terminal- (4T-) FinFETs. The 4T-FinFETs enable to adopt a dynamic threshold-voltage control in the pass gates. During a write operation, the threshold voltage of the pass gates is lowered to enhance the writing speed and stability. During the read operation, on the other hand, the threshold voltage is raised to enhance the static noise margin. An asymmetric-oxide 4T-FinFET is helpful to manage the leakage current through the pass gate. In this paper, a design strategy of the pass gate with an asymmetric gate oxide is considered, and a TCAD-based Monte Carlo simulation reveals that the Flex-Pass-Gate SRAM based on that design strategy is expected to be effective in half-pitch 32-nm technology for low-standby-power (LSTP) applications, even taking into account the variability in the device performance.

  1. A compact quantum correction model for symmetric double gate metal-oxide-semiconductor field-effect transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Edward Namkyu; Shin, Yong Hyeon; Yun, Ilgu, E-mail: iyun@yonsei.ac.kr

    2014-11-07

    A compact quantum correction model for a symmetric double gate (DG) metal-oxide-semiconductor field-effect transistor (MOSFET) is investigated. The compact quantum correction model is proposed from the concepts of the threshold voltage shift (ΔV{sub TH}{sup QM}) and the gate capacitance (C{sub g}) degradation. First of all, ΔV{sub TH}{sup QM} induced by quantum mechanical (QM) effects is modeled. The C{sub g} degradation is then modeled by introducing the inversion layer centroid. With ΔV{sub TH}{sup QM} and the C{sub g} degradation, the QM effects are implemented in previously reported classical model and a comparison between the proposed quantum correction model and numerical simulationmore » results is presented. Based on the results, the proposed quantum correction model can be applicable to the compact model of DG MOSFET.« less

  2. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  3. Probing photoresponse of aligned single-walled carbon nanotube doped ultrathin MoS2.

    PubMed

    Wang, Rui; Wang, Tianjiao; Hong, Tu; Xu, Ya-Qiong

    2018-08-24

    We report a facile method to produce ultrathin molybdenum disulfide (MoS 2 ) hybrids with polarized near-infrared (NIR) photoresponses, in which horizontally-aligned single-walled carbon nanotubes (SWNTs) are integrated with single- and few-layer MoS 2 through a two-step chemical vapor deposition process. The photocurrent generation mechanisms in SWNT-MoS 2 hybrids are systematically investigated through wavelength- and polarization-dependent scanning photocurrent measurements. When the incident photon energy is above the direct bandgap of MoS 2 , isotropic photocurrent signals are observed, which can be primarily attributed to the direct bandgap transition in MoS 2 . In contrast, if the incident photon energy in the NIR region is below the direct bandgap of MoS 2 , the maximum photocurrent response occurs when the incident light is polarized in the direction along the SWNTs, indicating that photocurrent signals mainly result from the anisotropic absorption of SWNTs. More importantly, these two-dimensional (2D) hybrid structures inherit the electrical transport properties from MoS 2 , displaying n-type characteristics at a zero gate voltage. These fundamental studies provide a new way to produce ultrathin MoS 2 hybrids with inherited electrical properties and polarized NIR photoresponses, opening doors for engineering various 2D hybrid materials for future broadband optoelectronic applications.

  4. Thermal Stress Analysis of Floating-Gate Tunneling Oxide Electrically Erasable Programmable Read Only Memory During Manufacturing Process

    NASA Astrophysics Data System (ADS)

    Zong, Xiang-fu; Wang, Xu; Weng, Yu-min; Yan, Ren-jin; Tang, Guo-an; Zhang, Zhao-qiang

    1998-10-01

    In this study, finite element modeling was used to evaluate the residual thermal stress in floating-gate tunneling oxide electrically erasable programmable read only memory (FLOTOX E2 PROMs) manufacturing process. Special attention is paid to the tunnel oxide region, in which high field electron injection is the basis to E2 PROMs operation. Calculated results show the presence of large stresses and stress gradients at the fringe. This may contribute to the invalidation of E2 PROMs. A possible failure mechanism of E2 PROM related to residual thermal stress-induced leakage is proposed.

  5. Two breakdown mechanisms in ultrathin alumina barrier magnetic tunnel junctions

    NASA Astrophysics Data System (ADS)

    Oliver, Bryan; Tuttle, Gary; He, Qing; Tang, Xuefei; Nowak, Janusz

    2004-02-01

    Two breakdown mechanisms are observed in magnetic tunnel junctions having an ultrathin alumina barrier. The two breakdown mechanisms manifest themselves differently when considering large ensembles of nominally identical devices under different stress conditions. The results suggest that one type of breakdown occurs because of the intrinsic breakdown of a well-formed oxide barrier that can be described by the E model of dielectric breakdown. The other is an extrinsic breakdown related to defects in the barrier rather than the failure of the oxide integrity. The characteristic of extrinsic breakdown suggests that a pre-existing pinhole in the barriers grows in area by means of dissipative (Joule) heating and/or an electric field across the pinhole circumference.

  6. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  7. INTERDISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Quantum-Mechanical Study on Surrounding-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Hu, Guang-Xi; Wang, Ling-Li; Liu, Ran; Tang, Ting-Ao; Qiu, Zhi-Jun

    2010-10-01

    As the channel length of metal-oxide-semiconductor field-effect transistors (MOSFETs) scales into the nanometer regime, quantum mechanical effects are becoming more and more significant. In this work, a model for the surrounding-gate (SG) nMOSFET is developed. The Schrödinger equation is solved analytically. Some of the solutions are verified via results obtained from simulations. It is found that the percentage of the electrons with lighter conductivity mass increases as the silicon body radius decreases, or as the gate voltage reduces, or as the temperature decreases. The centroid of inversion-layer is driven away from the silicon-oxide interface towards the silicon body, therefore the carriers will suffer less scattering from the interface and the electrons effective mobility of the SG nMOSFETs will be enhanced.

  8. Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices.

    PubMed

    Black, Jennifer M; Come, Jeremy; Bi, Sheng; Zhu, Mengyang; Zhao, Wei; Wong, Anthony T; Noh, Joo Hyon; Pudasaini, Pushpa R; Zhang, Pengfei; Okatan, Mahmut Baris; Dai, Sheng; Kalinin, Sergei V; Rack, Philip D; Ward, Thomas Zac; Feng, Guang; Balke, Nina

    2017-11-22

    Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal-insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment and theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.

  9. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    PubMed

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  10. Structural phase diagram for ultra-thin epitaxial Fe 3O 4 / MgO(0 01) films: thickness and oxygen pressure dependence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alraddadi, S.; Hines, W.; Yilmaz, T.

    2016-02-19

    A systematic investigation of the thickness and oxygen pressure dependence for the structural properties of ultra-thin epitaxial magnetite (Fe 3O 4) films has been carried out; for such films, the structural properties generally differ from those for the bulk when the thickness ≤10 nm. Iron oxide ultra-thin films with thicknesses varying from 3 nm to 20 nm were grown on MgO (001) substrates using molecular beam epitaxy under different oxygen pressures ranging from 1 × 10 -7 torr to 1 × 10 -5 torr. The crystallographic and electronic structures of the films were characterized using low energy electron diffraction (LEED)more » and x-ray photoemission spectroscopy (XPS), respectively. Moreover, the quality of the epitaxial Fe 3O 4 ultra-thin films was judged by magnetic measurements of the Verwey transition, along with complementary XPS spectra. We observed that under the same growth conditions the stoichiometry of ultra-thin films under 10 nm transforms from the Fe 3O 4 phase to the FeO phase. In this work, a phase diagram based on thickness and oxygen pressure has been constructed to explain the structural phase transformation. It was found that high-quality magnetite films with thicknesses ≤20 nm formed within a narrow range of oxygen pressure. An optimal and controlled growth process is a crucial requirement for the accurate study of the magnetic and electronic properties for ultra-thin Fe 3O 4 films. Furthermore, these results are significant because they may indicate a general trend in the growth of other oxide films, which has not been previously observed or considered.« less

  11. Interfacial structure and electrical properties of ultrathin HfO2 dielectric films on Si substrates by surface sol-gel method

    NASA Astrophysics Data System (ADS)

    Gong, You-Pin; Li, Ai-Dong; Qian, Xu; Zhao, Chao; Wu, Di

    2009-01-01

    Ultrathin HfO2 films with about ~3 nm thickness were deposited on n-type (1 0 0) silicon substrates using hafnium chloride (HfCl4) source by the surface sol-gel method and post-deposition annealing (PDA). The interfacial structure and electrical properties of ultrathin HfO2 films were investigated. The HfO2 films show amorphous structures and smooth surface morphologies with a very thin interfacial oxide layer of ~0.5 nm and small surface roughness (~0.45 nm). The 500 °C PDA treatment forms stronger Hf-O bonds, leading to passivated traps, and the interfacial layer is mainly Hf silicate (HfxSiyOz). Equivalent oxide thickness of around 0.84 nm of HfO2/Si has been obtained with a leakage current density of 0.7 A cm-2 at Vfb + 1 V after 500 °C PDA. It was found that the current conduction mechanism of HfO2/Si varied from Schottky-Richardson emission to Fowler-Nordheim tunnelling at an applied higher positive voltage due to the activated partial traps remaining in the ultrathin HfO2 films.

  12. G4-FETs as Universal and Programmable Logic Gates

    NASA Technical Reports Server (NTRS)

    Johnson, Travis; Fijany, Amir; Mojarradi, Mohammad; Vatan, Farrokh; Toomarian, Nikzad; Kolawa, Elizabeth; Cristoloveanu, Sorin; Blalock, Benjamin

    2007-01-01

    An analysis of a patented generic silicon- on-insulator (SOI) electronic device called a G4-FET has revealed that the device could be designed to function as a universal and programmable logic gate. The universality and programmability could be exploited to design logic circuits containing fewer discrete components than are required for conventional transistor-based circuits performing the same logic functions. A G4-FET is a combination of a junction field-effect transistor (JFET) and a metal oxide/semiconductor field-effect transistor (MOSFET) superimposed in a single silicon island and can therefore be regarded as two transistors sharing the same body. A G4-FET can also be regarded as a single transistor having four gates: two side junction-based gates, a top MOS gate, and a back gate activated by biasing of the SOI substrate. Each of these gates can be used to control the conduction characteristics of the transistor; this possibility creates new options for designing analog, radio-frequency, mixed-signal, and digital circuitry. With proper choice of the specific dimensions for the gates, channels, and ancillary features of the generic G4-FET, the device could be made to function as a three-input, one-output logic gate. As illustrated by the truth table in the top part of the figure, the behavior of this logic gate would be the inverse (the NOT) of that of a majority gate. In other words, the device would function as a NOT-majority gate. By simply adding an inverter, one could obtain a majority gate. In contrast, to construct a majority gate in conventional complementary metal oxide/semiconductor (CMOS) circuitry, one would need four three-input AND gates and a four-input OR gate, altogether containing 32 transistors.

  13. Memory and learning behaviors mimicked in nanogranular SiO2-based proton conductor gated oxide-based synaptic transistors

    NASA Astrophysics Data System (ADS)

    Wan, Chang Jin; Zhu, Li Qiang; Zhou, Ju Mei; Shi, Yi; Wan, Qing

    2013-10-01

    In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements.In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements. Electronic supplementary information (ESI) available. See DOI: 10.1039/c3nr02987e

  14. Ultrathin Ferroelectric Films: Growth, Characterization, Physics and Applications.

    PubMed

    Wang, Ying; Chen, Weijin; Wang, Biao; Zheng, Yue

    2014-09-11

    Ultrathin ferroelectric films are of increasing interests these years, owing to the need of device miniaturization and their wide spectrum of appealing properties. Recent advanced deposition methods and characterization techniques have largely broadened the scope of experimental researches of ultrathin ferroelectric films, pushing intensive property study and promising device applications. This review aims to cover state-of-the-art experimental works of ultrathin ferroelectric films, with a comprehensive survey of growth methods, characterization techniques, important phenomena and properties, as well as device applications. The strongest emphasis is on those aspects intimately related to the unique phenomena and physics of ultrathin ferroelectric films. Prospects and challenges of this field also have been highlighted.

  15. Ultrathin Ferroelectric Films: Growth, Characterization, Physics and Applications

    PubMed Central

    Wang, Ying; Chen, Weijin; Wang, Biao; Zheng, Yue

    2014-01-01

    Ultrathin ferroelectric films are of increasing interests these years, owing to the need of device miniaturization and their wide spectrum of appealing properties. Recent advanced deposition methods and characterization techniques have largely broadened the scope of experimental researches of ultrathin ferroelectric films, pushing intensive property study and promising device applications. This review aims to cover state-of-the-art experimental works of ultrathin ferroelectric films, with a comprehensive survey of growth methods, characterization techniques, important phenomena and properties, as well as device applications. The strongest emphasis is on those aspects intimately related to the unique phenomena and physics of ultrathin ferroelectric films. Prospects and challenges of this field also have been highlighted. PMID:28788196

  16. Physics of Ultrathin Films and Heterostructures of Rare-Earth Nickelates

    DOE PAGES

    Middey, Srimanta; Chakhalian, J.; Mahadevan, P.; ...

    2016-04-06

    The electronic structure of transition metal oxides featuring correlated electrons can be rationalized within the Zaanen-Sawatzky-Allen framework. Following a brief description of the present paradigms of electronic behavior, we focus on the physics of rare-earth nickelates as an archetype of complexity emerging within the charge transfer regime. The intriguing prospect of realizing the physics of high- Tc cuprates through heterostructuring resulted in a massive endeavor to epitaxially stabilize these materials in ultrathin form. A plethora of new phenomena unfolded in such artificial structures due to the effect of epitaxial strain, quantum confinement, and interfacial charge transfer. Here we review themore » present status of artificial rare-earth nickelates in an effort to uncover the interconnection between the electronic and magnetic behavior and the underlying crystal structure. Here, we conclude by discussing future directions to disentangle the puzzle regarding the origin of the metal-insulator transition, the role of oxygen holes, and the true nature of the antiferromagnetic spin configuration in the ultrathin limit.« less

  17. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  18. Stretchable carbon nanotube charge-trap floating-gate memory and logic devices for wearable electronics.

    PubMed

    Son, Donghee; Koo, Ja Hoon; Song, Jun-Kyul; Kim, Jaemin; Lee, Mincheol; Shim, Hyung Joon; Park, Minjoon; Lee, Minbaek; Kim, Ji Hoon; Kim, Dae-Hyeong

    2015-05-26

    Electronics for wearable applications require soft, flexible, and stretchable materials and designs to overcome the mechanical mismatch between the human body and devices. A key requirement for such wearable electronics is reliable operation with high performance and robustness during various deformations induced by motions. Here, we present materials and device design strategies for the core elements of wearable electronics, such as transistors, charge-trap floating-gate memory units, and various logic gates, with stretchable form factors. The use of semiconducting carbon nanotube networks designed for integration with charge traps and ultrathin dielectric layers meets the performance requirements as well as reliability, proven by detailed material and electrical characterizations using statistics. Serpentine interconnections and neutral mechanical plane layouts further enhance the deformability required for skin-based systems. Repetitive stretching tests and studies in mechanics corroborate the validity of the current approaches.

  19. Image potential states at transition metal oxide surfaces: A time-resolved two-photon photoemission study on ultrathin NiO films

    NASA Astrophysics Data System (ADS)

    Gillmeister, K.; Kiel, M.; Widdra, W.

    2018-02-01

    For well-ordered ultrathin films of NiO(001) on Ag(001), a series of unoccupied states below the vacuum level has been found. The states show a nearly free electron dispersion and binding energies which are typical for image potential states. By time-resolved two-photon photoemission (2PPE), the lifetimes of the first three states and their dependence on oxide film thickness are determined. For NiO film thicknesses between 2 and 4 monolayers (ML), the lifetime of the first state is in the range of 28-42 fs and shows an oscillatory behavior with increasing thickness. The values for the second state decrease monotonically from 88 fs for 2 ML to 33 fs for 4 ML. These differences are discussed in terms of coupling of the unoccupied states to the layer-dependent electronic structure of the growing NiO film.

  20. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  1. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  2. Camphor-Enabled Transfer and Mechanical Testing of Centimeter-Scale Ultrathin Films.

    PubMed

    Wang, Bin; Luo, Da; Li, Zhancheng; Kwon, Youngwoo; Wang, Meihui; Goo, Min; Jin, Sunghwan; Huang, Ming; Shen, Yongtao; Shi, Haofei; Ding, Feng; Ruoff, Rodney S

    2018-05-21

    Camphor is used to transfer centimeter-scale ultrathin films onto custom-designed substrates for mechanical (tensile) testing. Compared to traditional transfer methods using dissolving/peeling to remove the support-layers, camphor is sublimed away in air at low temperature, thereby avoiding additional stress on the as-transferred films. Large-area ultrathin films can be transferred onto hollow substrates without damage by this method. Tensile measurements are made on centimeter-scale 300 nm-thick graphene oxide film specimens, much thinner than the ≈2 μm minimum thickness of macroscale graphene-oxide films previously reported. Tensile tests were also done on two different types of large-area samples of adlayer free CVD-grown single-layer graphene supported by a ≈100 nm thick polycarbonate film; graphene stiffens this sample significantly, thus the intrinsic mechanical response of the graphene can be extracted. This is the first tensile measurement of centimeter-scale monolayer graphene films. The Young's modulus of polycrystalline graphene ranges from 637 to 793 GPa, while for near single-crystal graphene, it ranges from 728 to 908 GPa (folds parallel to the tensile loading direction) and from 683 to 775 GPa (folds orthogonal to the tensile loading direction), demonstrating the mechanical performance of large-area graphene in a size scale relevant to many applications. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Analyzing Single-Event Gate Ruptures In Power MOSFET's

    NASA Technical Reports Server (NTRS)

    Zoutendyk, John A.

    1993-01-01

    Susceptibilities of power metal-oxide/semiconductor field-effect transistors (MOSFET's) to single-event gate ruptures analyzed by exposing devices to beams of energetic bromine ions while applying appropriate bias voltages to source, gate, and drain terminals and measuring current flowing into or out of each terminal.

  4. Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices

    DOE PAGES

    Black, Jennifer M.; Come, Jeremy; Bi, Sheng; ...

    2017-10-24

    Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal–insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment andmore » theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.« less

  5. Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, Jennifer M.; Come, Jeremy; Bi, Sheng

    Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal–insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment andmore » theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.« less

  6. Structural and thermodynamic consideration of metal oxide doped GeO{sub 2} for gate stack formation on germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Zhang, Wenfeng

    2014-11-07

    A systematic investigation was carried out on the material and electrical properties of metal oxide doped germanium dioxide (M-GeO{sub 2}) on Ge. We propose two criteria on the selection of desirable M-GeO{sub 2} for gate stack formation on Ge. First, metal oxides with larger cation radii show stronger ability in modifying GeO{sub 2} network, benefiting the thermal stability and water resistance in M-GeO{sub 2}/Ge stacks. Second, metal oxides with a positive Gibbs free energy for germanidation are required for good interface properties of M-GeO{sub 2}/Ge stacks in terms of preventing the Ge-M metallic bond formation. Aggressive equivalent oxide thickness scalingmore » to 0.5 nm is also demonstrated based on these understandings.« less

  7. Intrinsic stress evolution during amorphous oxide film growth on Al surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flötotto, D., E-mail: d.floetotto@is.mpg.de; Wang, Z. M.; Jeurgens, L. P. H.

    2014-03-03

    The intrinsic stress evolution during formation of ultrathin amorphous oxide films on Al(111) and Al(100) surfaces by thermal oxidation at room temperature was investigated in real-time by in-situ substrate curvature measurements and detailed atomic-scale microstructural analyses. During thickening of the oxide a considerable amount of growth stresses is generated in, remarkably even amorphous, ultrathin Al{sub 2}O{sub 3} films. The surface orientation-dependent stress evolutions during O adsorption on the bare Al surfaces and during subsequent oxide-film growth can be interpreted as a result of (i) adsorption-induced surface stress changes and (ii) competing processes of free volume generation and structural relaxation, respectively.

  8. Recent Advances in Ultrathin Two-Dimensional Nanomaterials.

    PubMed

    Tan, Chaoliang; Cao, Xiehong; Wu, Xue-Jun; He, Qiyuan; Yang, Jian; Zhang, Xiao; Chen, Junze; Zhao, Wei; Han, Shikui; Nam, Gwang-Hyeon; Sindoro, Melinda; Zhang, Hua

    2017-05-10

    Since the discovery of mechanically exfoliated graphene in 2004, research on ultrathin two-dimensional (2D) nanomaterials has grown exponentially in the fields of condensed matter physics, material science, chemistry, and nanotechnology. Highlighting their compelling physical, chemical, electronic, and optical properties, as well as their various potential applications, in this Review, we summarize the state-of-art progress on the ultrathin 2D nanomaterials with a particular emphasis on their recent advances. First, we introduce the unique advances on ultrathin 2D nanomaterials, followed by the description of their composition and crystal structures. The assortments of their synthetic methods are then summarized, including insights on their advantages and limitations, alongside some recommendations on suitable characterization techniques. We also discuss in detail the utilization of these ultrathin 2D nanomaterials for wide ranges of potential applications among the electronics/optoelectronics, electrocatalysis, batteries, supercapacitors, solar cells, photocatalysis, and sensing platforms. Finally, the challenges and outlooks in this promising field are featured on the basis of its current development.

  9. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  10. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations

    NASA Astrophysics Data System (ADS)

    Xu, Hao; Yang, Hong; Luo, Wei-Chun; Xu, Ye-Feng; Wang, Yan-Rong; Tang, Bo; Wang, Wen-Wu; Qi, Lu-Wei; Li, Jun-Feng; Yan, Jiang; Zhu, Hui-Long; Zhao, Chao; Chen, Da-Peng; Ye, Tian-Chun

    2016-08-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it/N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. Project supported by the National High Technology Research and Development Program of China (Grant No. SS2015AA010601), the National Natural Science Foundation of China (Grant Nos. 61176091 and 61306129), and the Opening Project of Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of MicroElectronics of Chinese Academy of Sciences.

  11. Redox regulation of neuronal voltage-gated calcium channels.

    PubMed

    Todorovic, Slobodan M; Jevtovic-Todorovic, Vesna

    2014-08-20

    Voltage-gated calcium channels are ubiquitously expressed in neurons and are key regulators of cellular excitability and synaptic transmitter release. There is accumulating evidence that multiple subtypes of voltage-gated calcium channels may be regulated by oxidation and reduction. However, the redox mechanisms involved in the regulation of channel function are not well understood. Several studies have established that both T-type and high-voltage-activated subtypes of voltage-gated calcium channel can be redox-regulated. This article reviews different mechanisms that can be involved in redox regulation of calcium channel function and their implication in neuronal function, particularly in pain pathways and thalamic oscillation. A current critical issue in the field is to decipher precise mechanisms of calcium channel modulation via redox reactions. In this review we discuss covalent post-translational modification via oxidation of cysteine molecules and chelation of trace metals, and reactions involving nitric oxide-related molecules and free radicals. Improved understanding of the roles of redox-based reactions in regulation of voltage-gated calcium channels may lead to improved understanding of novel redox mechanisms in physiological and pathological processes. Identification of redox mechanisms and sites on voltage-gated calcium channel may allow development of novel and specific ion channel therapies for unmet medical needs. Thus, it may be possible to regulate the redox state of these channels in treatment of pathological process such as epilepsy and neuropathic pain.

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO{sub 2}) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO{sub 2} layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO{sub 2} layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnOmore » layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.« less

  13. Fundamental limits of ultrathin metasurfaces

    PubMed Central

    Arbabi, Amir; Faraon, Andrei

    2017-01-01

    We present a set of universal relations which relate the local transmission, reflection, and polarization conversion coefficients of a general class of non-magnetic passive ultrathin metasurfaces. We show that these relations are a result of equal forward and backward scattering by single layer ultrathin metasurfaces, and they lead to confinement of the transmission, reflection, and polarization conversion coefficients to limited regions of the complex plane. Using these relations, we investigate the effect of the presence of a substrate, and show that the maximum polarization conversion efficiency for a transmissive metasurface decreases as the refractive index contrast between the substrate and cladding layer increases. Furthermore, we demonstrate that a single layer reflective metasurface can achieve full 2π phase shift coverage without altering the polarization if it is illuminated from the higher refractive index material. We also discuss two approaches for achieving asymmetric scattering from metasurfaces, and realizing metasurfaces which overcome the performance limitations of single layer ultrathin metasurfaces. PMID:28262739

  14. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    NASA Astrophysics Data System (ADS)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  15. Light-absorption enhancement design of ultrathin perovskite solar cells with conformal structure

    NASA Astrophysics Data System (ADS)

    Tan, Xinyu; Sun, Lei; Deng, Can; Tu, Yiteng; Shen, Guangming; Tan, Fengxue; Guan, Li; Yan, Wensheng

    2018-06-01

    We report a structural design of ultrathin perovskite solar cells based on a conformal structure at the rear surface for potential applications in both single-junction and tandem cells. The light transmittances of the front and the rear surfaces are calculated in the wavelength range of 300–800 nm via the finite difference time domain numerical simulation method. Compared with the reference cell, significant photocurrent density enhancement of 27.5% and 29.7% are achieved when the ratios of height to width of the fluorine doped tin oxide nanoblock are 2 and 3, respectively. For the case with a hole transport material layer, the enhancements of photocurrent density enhancements are 19.2% and 29.0%, respectively. When back Au is removed, the photocurrent density also has notable enhancements of 23.3% and 45.9%, respectively. The achieved results are beneficial for the development of efficient ultrathin single-junction and tandem perovskite solar cells.

  16. Biocompatible and totally disintegrable semiconducting polymer for ultrathin and ultralightweight transient electronics.

    PubMed

    Lei, Ting; Guan, Ming; Liu, Jia; Lin, Hung-Cheng; Pfattner, Raphael; Shaw, Leo; McGuire, Allister F; Huang, Tsung-Ching; Shao, Leilai; Cheng, Kwang-Ting; Tok, Jeffrey B-H; Bao, Zhenan

    2017-05-16

    Increasing performance demands and shorter use lifetimes of consumer electronics have resulted in the rapid growth of electronic waste. Currently, consumer electronics are typically made with nondecomposable, nonbiocompatible, and sometimes even toxic materials, leading to serious ecological challenges worldwide. Here, we report an example of totally disintegrable and biocompatible semiconducting polymers for thin-film transistors. The polymer consists of reversible imine bonds and building blocks that can be easily decomposed under mild acidic conditions. In addition, an ultrathin (800-nm) biodegradable cellulose substrate with high chemical and thermal stability is developed. Coupled with iron electrodes, we have successfully fabricated fully disintegrable and biocompatible polymer transistors. Furthermore, disintegrable and biocompatible pseudo-complementary metal-oxide-semiconductor (CMOS) flexible circuits are demonstrated. These flexible circuits are ultrathin (<1 μm) and ultralightweight (∼2 g/m 2 ) with low operating voltage (4 V), yielding potential applications of these disintegrable semiconducting polymers in low-cost, biocompatible, and ultralightweight transient electronics.

  17. Electrochemical Corrosion Properties of Commercial Ultra-Thin Copper Foils

    NASA Astrophysics Data System (ADS)

    Yen, Ming-Hsuan; Liu, Jen-Hsiang; Song, Jenn-Ming; Lin, Shih-Ching

    2017-08-01

    Ultra-thin electrodeposited Cu foils have been developed for substrate thinning for mobile devices. Considering the corrosion by residual etchants from the lithography process for high-density circuit wiring, this study investigates the microstructural features of ultra-thin electrodeposited Cu foils with a thickness of 3 μm and their electrochemical corrosion performance in CuCl2-based etching solution. X-ray diffraction and electron backscatter diffraction analyses verify that ultra-thin Cu foils exhibit a random texture and equi-axed grains. Polarization curves show that ultra-thin foils exhibit a higher corrosion potential and a lower corrosion current density compared with conventional (220)-oriented foils with fan-like distributed fine-elongated columnar grains. Chronoamperometric results also suggest that ultra-thin foils possess superior corrosion resistance. The passive layer, mainly composed of CuCl and Cu2O, forms and dissolves in sequence during polarization.

  18. Electronic structure evolution in doping of fullerene (C{sub 60}) by ultra-thin layer molybdenum trioxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Chenggong; Wang, Congcong; Kauppi, John

    2015-08-28

    Ultra-thin layer molybdenum oxide doping of fullerene has been investigated using ultraviolet photoemission spectroscopy (UPS) and X-ray photoemission spectroscopy (XPS). The highest occupied molecular orbital (HOMO) can be observed directly with UPS. It is observed that the Fermi level position in fullerene is modified by ultra-thin-layer molybdenum oxide doping, and the HOMO onset is shifted to less than 1.3 eV below the Fermi level. The XPS results indicate that charge transfer was observed from the C{sub 60} to MoO{sub x} and Mo{sup 6+} oxides is the basis as hole dopants.

  19. GaN metal-oxide-semiconductor field-effect transistors on AlGaN/GaN heterostructure with recessed gate

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Ao, Jin-Ping; Wang, Pangpang; Jiang, Ying; Li, Liuan; Kawaharada, Kazuya; Liu, Yang

    2015-04-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure with a recess gate were fabricated and characterized. The device showed good pinch-off characteristics and a maximum field-effect mobility of 145.2 cm2·V-1·s-1. The effects of etching gas of Cl2 and SiCl4 were investigated in the gate recess process. SiCl4-etched devices showed higher channel mobility and lower threshold voltage. Atomic force microscope measurement was done to investigate the etching profile with different etching protection mask. Compared with photoresist, SiO2-masked sample showed lower surface roughness and better profile with stepper sidewall and weaker trenching effect resulting in higher channel mobility in the MOSFET.

  20. Suppression of surface-originated gate lag by a dual-channel AlN/GaN high electron mobility transistor architecture

    NASA Astrophysics Data System (ADS)

    Deen, David A.; Storm, David F.; Scott Katzer, D.; Bass, R.; Meyer, David J.

    2016-08-01

    A dual-channel AlN/GaN high electron mobility transistor (HEMT) architecture is demonstrated that leverages ultra-thin epitaxial layers to suppress surface-related gate lag. Two high-density two-dimensional electron gas (2DEG) channels are utilized in an AlN/GaN/AlN/GaN heterostructure wherein the top 2DEG serves as a quasi-equipotential that screens potential fluctuations resulting from distributed surface and interface states. The bottom channel serves as the transistor's modulated channel. Dual-channel AlN/GaN heterostructures were grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. HEMTs fabricated with 300 nm long recessed gates demonstrated a gate lag ratio (GLR) of 0.88 with no degradation in drain current after bias stressed in subthreshold. These structures additionally achieved small signal metrics ft/fmax of 27/46 GHz. These performance results are contrasted with the non-recessed gate dual-channel HEMT with a GLR of 0.74 and 82 mA/mm current collapse with ft/fmax of 48/60 GHz.

  1. Tuning the metal-insulator crossover and magnetism in SrRuO₃ by ionic gating.

    PubMed

    Yi, Hee Taek; Gao, Bin; Xie, Wei; Cheong, Sang-Wook; Podzorov, Vitaly

    2014-10-13

    Reversible control of charge transport and magnetic properties without degradation is a key for device applications of transition metal oxides. Chemical doping during the growth of transition metal oxides can result in large changes in physical properties, but in most of the cases irreversibility is an inevitable constraint. Here we report a reversible control of charge transport, metal-insulator crossover and magnetism in field-effect devices based on ionically gated archetypal oxide system - SrRuO₃. In these thin-film devices, the metal-insulator crossover temperature and the onset of magnetoresistance can be continuously and reversibly tuned in the range 90-250 K and 70-100 K, respectively, by application of a small gate voltage. We infer that a reversible diffusion of oxygen ions in the oxide lattice dominates the response of these materials to the gate electric field. These findings provide critical insights into both the understanding of ionically gated oxides and the development of novel applications.

  2. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  3. Electrically tunable metasurface perfect absorbers for ultrathin mid-infrared optical modulators.

    PubMed

    Yao, Yu; Shankar, Raji; Kats, Mikhail A; Song, Yi; Kong, Jing; Loncar, Marko; Capasso, Federico

    2014-11-12

    Dynamically reconfigurable metasurfaces open up unprecedented opportunities in applications such as high capacity communications, dynamic beam shaping, hyperspectral imaging, and adaptive optics. The realization of high performance metasurface-based devices remains a great challenge due to very limited tuning ranges and modulation depths. Here we show that a widely tunable metasurface composed of optical antennas on graphene can be incorporated into a subwavelength-thick optical cavity to create an electrically tunable perfect absorber. By switching the absorber in and out of the critical coupling condition via the gate voltage applied on graphene, a modulation depth of up to 100% can be achieved. In particular, we demonstrated ultrathin (thickness < λ0/10) high speed (up to 20 GHz) optical modulators over a broad wavelength range (5-7 μm). The operating wavelength can be scaled from the near-infrared to the terahertz by simply tailoring the metasurface and cavity dimensions.

  4. Interface effects in ultra-thin films: Magnetic and chemical properties

    NASA Astrophysics Data System (ADS)

    Park, Sungkyun

    When the thickness of a magnetic layer is comparable to (or smaller than) the electron mean free path, the interface between magnetic and non-magnetic layers becomes very important factor to determine magnetic properties of the ultra-thin films. The quality of interface can enhance (or reduce) the desired properties. Several interesting physical phenomena were studied using these interface effects. The magnetic anisotropy of ultra-thin Co films is studied as function of non-magnetic underlayer thickness and non- magnetic overlayer materials using ex situ Brillouin light scattering (BLS). I observed that perpendicular magnetic anisotropy (PMA) increases with underlayer thickness and saturates after 5 ML. This saturation can be understood as a relaxation of the in-plane lattice parameter of Au(111) on top of Cu(111) to its bulk value. For the overlayer study, Cu, Al, and Au are used. An Au overlayer gives the largest PMA due to the largest in-plane lattice mismatch between Co and Au. An unusual effect was found by adding an additional layer on top of the Au overlayer. An additional Al capping layer on top of the Au overlayer reduces the PMA significantly. The possible explanation is that the misfit strain at the interface between the Al and the Au can be propagated through the Au layer to affect the magnetic properties of Co even though the in- plane lattice mismatch is less than 1%. Another interesting problem in interface interdiffusion and thermal stability in magnetic tunnel junction (MTJ) structures is studied using X-ray photoelectron spectroscopy (XPS). Since XPS is a very chemically sensitive technique, it allows us to monitor interface interdiffusion of the MTJ structures as-deposited and during post-deposition processing. For the plasma- oxidized samples, Fe only participates in the oxidation reduction process. In contrast to plasma-oxidized samples, there were no noticeable chemical shifts as- deposited and during post-deposition processing in air

  5. Modification of FN tunneling provoking gate-leakage current in ZTO (zinc-tin oxide) TFT by regulating the ZTO/SiO2 area ratio

    NASA Astrophysics Data System (ADS)

    Li, Jeng-Ting; Tsai, Ho-Lin; Lai, Wei-Yao; Hwang, Weng-Sing; Chen, In-Gann; Chen, Jen-Sue

    2018-04-01

    This study addresses the variation in gate-leakage current due to the Fowler-Nordheim (FN) tunneling of electrons through a SiO2 dielectric layer in zinc-tin oxide (ZTO) thin film transistors. It is shown that the gate-leakage current is not related to the absolute area of the ZTO active layer, but it is reduced by reducing the ZTO/SiO2 area ratio. The ZTO/SiO2 area ratio modulates the ZTO-SiO2 interface dipole strength as well as the ZTO-SiO2 conduction band offset and subsequently affects the FN tunneling current through the SiO2 layer, which provides a route that modifies the gate-leakage current.

  6. Bias stress instability of double-gate a-IGZO TFTs on polyimide substrate

    NASA Astrophysics Data System (ADS)

    Cho, Won-Ju; Ahn, Min-Ju

    2017-09-01

    In this study, flexible double-gate thin-film transistor (TFT)-based amorphous indium-galliumzinc- oxide (a-IGZO) was fabricated on a polyimide substrate. Double-gate operation with connected front and back gates was compared with a single-gate operation. As a result, the double-gate a- IGZO TFT exhibited enhanced electrical characteristics as well as improved long-term reliability. Under positive- and negative-bias temperature stress, the threshold voltage shift of the double-gate operation was much smaller than that of the single-gate operation.

  7. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  8. Polarity compensation in ultra-thin films of complex oxides: The case of a perovskite nickelate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Middey, S.; Rivero, P.; Meyers, D.

    2014-10-29

    In this study, we address the fundamental issue of growth of perovskite ultra-thin films under the condition of a strong polar mismatch at the heterointerface exemplified by the growth of a correlated metal LaNiO 3 on the band insulator SrTiO 3 along the pseudo cubic [111] direction. While in general the metallic LaNiO 3 film can effectively screen this polarity mismatch, we establish that in the ultra-thin limit, films are insulating in nature and require additional chemical and structural reconstruction to compensate for such mismatch. A combination of in-situ reflection high-energy electron diffraction recorded during the growth, X-ray diffraction, andmore » synchrotron based resonant X-ray spectroscopy reveal the formation of a chemical phase La 2Ni 2O 5 (Ni 2+) for a few unit-cell thick films. First-principles layer-resolved calculations of the potential energy across the nominal LaNiO 3/SrTiO 3 interface confirm that the oxygen vacancies can efficiently reduce the electric field at the interface.« less

  9. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.

    2001-06-11

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSGmore » oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. {copyright} 2001 American Institute of Physics.« less

  10. Terahertz carpet cloak based on ultrathin metasurface

    NASA Astrophysics Data System (ADS)

    Wei, Minggui; Yang, Quanlong; Zhang, Xueqian; Li, Yanfeng; Gu, Jianqiang; Han, Jiaguang; Zhang, Weili

    2018-01-01

    Ultrathin metasurfaces with local phase compensation deliver new schemes to cloaking devices. We demonstrate a remarkable large size carpet cloak realized by an ultrathin metasurface at terahertz frequencies. The metasurface cloak is constructed by periodically arranging 12 different elements. The reflected wave front is perfectly reconstructed by an ultrathin metasurface cloak, which perform well under both intensity-sensitive and phase-sensitive detectors. The invisibility is verified when the cloak is placed on a reflecting triangular surface (bump). The multi-step discrete phase design method would greatly simplify the design process and is probable to achieve large-dimension cloaks, for applications in radar and antenna systems as a thin and easy-to-fabricate solution for radio and terahertz frequencies.

  11. Redox Regulation of Neuronal Voltage-Gated Calcium Channels

    PubMed Central

    Jevtovic-Todorovic, Vesna

    2014-01-01

    Abstract Significance: Voltage-gated calcium channels are ubiquitously expressed in neurons and are key regulators of cellular excitability and synaptic transmitter release. There is accumulating evidence that multiple subtypes of voltage-gated calcium channels may be regulated by oxidation and reduction. However, the redox mechanisms involved in the regulation of channel function are not well understood. Recent Advances: Several studies have established that both T-type and high-voltage-activated subtypes of voltage-gated calcium channel can be redox-regulated. This article reviews different mechanisms that can be involved in redox regulation of calcium channel function and their implication in neuronal function, particularly in pain pathways and thalamic oscillation. Critical Issues: A current critical issue in the field is to decipher precise mechanisms of calcium channel modulation via redox reactions. In this review we discuss covalent post-translational modification via oxidation of cysteine molecules and chelation of trace metals, and reactions involving nitric oxide-related molecules and free radicals. Improved understanding of the roles of redox-based reactions in regulation of voltage-gated calcium channels may lead to improved understanding of novel redox mechanisms in physiological and pathological processes. Future Directions: Identification of redox mechanisms and sites on voltage-gated calcium channel may allow development of novel and specific ion channel therapies for unmet medical needs. Thus, it may be possible to regulate the redox state of these channels in treatment of pathological process such as epilepsy and neuropathic pain. Antioxid. Redox Signal. 21, 880–891. PMID:24161125

  12. ZnO-based multiple channel and multiple gate FinMOSFETs

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Huang, Hung-Lin; Tseng, Chun-Yen; Lee, Hsin-Ying

    2016-02-01

    In recent years, zinc oxide (ZnO)-based metal-oxide-semiconductor field-effect transistors (MOSFETs) have attracted much attention, because ZnO-based semiconductors possess several advantages, including large exciton binding energy, nontoxicity, biocompatibility, low material cost, and wide direct bandgap. Moreover, the ZnO-based MOSFET is one of most potential devices, due to the applications in microwave power amplifiers, logic circuits, large scale integrated circuits, and logic swing. In this study, to enhance the performances of the ZnO-based MOSFETs, the ZnObased multiple channel and multiple gate structured FinMOSFETs were fabricated using the simple laser interference photolithography method and the self-aligned photolithography method. The multiple channel structure possessed the additional sidewall depletion width control ability to improve the channel controllability, because the multiple channel sidewall portions were surrounded by the gate electrode. Furthermore, the multiple gate structure had a shorter distance between source and gate and a shorter gate length between two gates to enhance the gate operating performances. Besides, the shorter distance between source and gate could enhance the electron velocity in the channel fin structure of the multiple gate structure. In this work, ninety one channels and four gates were used in the FinMOSFETs. Consequently, the drain-source saturation current (IDSS) and maximum transconductance (gm) of the ZnO-based multiple channel and multiple gate structured FinFETs operated at a drain-source voltage (VDS) of 10 V and a gate-source voltage (VGS) of 0 V were respectively improved from 11.5 mA/mm to 13.7 mA/mm and from 4.1 mS/mm to 6.9 mS/mm in comparison with that of the conventional ZnO-based single channel and single gate MOSFETs.

  13. Tuning the metal-insulator crossover and magnetism in SrRuO 3 by ionic gating

    DOE PAGES

    Yi, Hee Taek; Gao, Bin; Xie, Wei; ...

    2014-10-13

    Reversible control of charge transport and magnetic properties without degradation is a key for device applications of transition metal oxides. Chemical doping during the growth of transition metal oxides can result in large changes in physical properties, but in most of the cases irreversibility is an inevitable constraint. We report a reversible control of charge transport, metal-insulator crossover and magnetism in field-effect devices based on ionically gated archetypal oxide system - SrRuO 3. In these thin-film devices, the metal-insulator crossover temperature and the onset of magnetoresistance can be continuously and reversibly tuned in the range 90–250 K and 70–100 K,more » respectively, by application of a small gate voltage. We infer that a reversible diffusion of oxygen ions in the oxide lattice dominates the response of these materials to the gate electric field. These findings provide critical insights into both the understanding of ionically gated oxides and the development of novel applications.« less

  14. The Relationship of the Silicon Surface Roughness and Gate Oxide Integrity in NH4OH/H2O2 Mixtures

    NASA Astrophysics Data System (ADS)

    Meuris, M.; Verhaverbeke, S.; Mertens, P. W.; Heyns, M. M.; Hellemans, L.; Bruynseraede, Y.; Philipossian, A.

    1992-11-01

    In this study some recent findings on the cleaning action of the NH4OH/H2O2 (SC1) step in a pre-gate oxidation cleaning (RCA cleaning) are given. An important parameter in this mixture is the NH4OH/H2O2 ratio. The Fe contamination on the silicon surface after this cleaning step is found to increase upon decreasing the NH4OH/H2O2 ratio. This can be attributed to the incorporation of Fe in the chemical oxide, grown by the hydrogen peroxide. The particle removal efficiency of the cleaning step is found to decrease upon decreasing the NH4OH/H2O2 ratio. On the other hand, using a lower NH4OH concentration results in a less severe silicon surface roughening. It is demonstrated in this study that the NH4OH/H2O2 ratio during the SC1 step of the cleaning is the determining parameter for the breakdown properties of a gate oxide. A (0.25/1/5) NH4OH/H2O2/H2O mixture at 75°C in our experimental conditions is suggested to be the best compromise between particle removal and surface roughness during the SC1 step.

  15. On-surface synthesis: a promising strategy toward the encapsulation of air unstable ultra-thin 2D materials.

    PubMed

    Li, Qiang; Zhao, Yinghe; Guo, Jiyuan; Zhou, Qionghua; Chen, Qian; Wang, Jinlan

    2018-02-22

    2D black phosphorus (BP) and transition metal chalcogenides (TMCs) have beneficial electronic, optical, and physical properties at the few-layer limit. However, irreversible degradation of exfoliated or chemical vapor deposition-grown ultrathin BP and TMCs like GaSe via oxidation under ambient conditions limits their applications. Herein, the on-surface growth of an oxidation-resistant 2D thin film of a metal coordination polymer is demonstrated by multiscale simulations. We show that the preparation of such heterostructures can be conducted in solution, in which pristine BP and GaSe present better stability than in an air environment. Our calculations reveal that the interaction between the polymer layer and 2D materials is dominated by van der Waals forces; thus, the electronic properties of pristine BP and GaSe are well preserved. Meanwhile, the isolation from oxygen and water can be achieved by monolayer polymers, due to the nature of their close-packed layers. Our facile strategy for enhancing the environmental stability of ultrathin materials is expected to accelerate efforts to implement 2D materials in electronic and optoelectronic applications.

  16. Graphene-based ultrathin microporous carbon with smaller sulfur molecules for excellent rate performance of lithium-sulfur cathode

    NASA Astrophysics Data System (ADS)

    Peng, Zhenhuan; Fang, Wenying; Zhao, Hongbin; Fang, Jianhui; Cheng, Hongwei; Doan, The Nam Long; Xu, Jiaqiang; Chen, Pu

    2015-05-01

    Ultrathin microporous carbon (UMPC) for lithium-sulfur (Li-S) cathode with uniform pore width of approximately 0.6 nm and dozens nm in thickness is synthesized with graphene oxide as template by glucose hydrothermal carbonization and surfactant-assisted assembling method. The UMPC supplies desirable S pregnancy space and the intimate contact between UMPC and S, therefore improving the conductivity of S@UMPC composite and dynamic performance. Smaller sulfur molecules limited in UMPC thoroughly prevent the formation of electrolyte-soluble polysulfides, hence excellent cycling performance with 900 mAh g-1 after 150 cycles is kept. Ultrathin three-dimensional carbon nanosheets are significant to fast electron transfer and Li+ diffusion contributing to excellent dynamic performance (710 mAh g-1 at 3 C).

  17. Enhancement mode GaN-based multiple-submicron channel array gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Wang, Chun-Chi

    2018-04-01

    To study the function of channel width in multiple-submicron channel array, we fabricated the enhancement mode GaN-based gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors (MOS-HEMTs) with a channel width of 450 nm and 195 nm, respectively. In view of the enhanced gate controllability in a narrower fin-channel structure, the transconductance was improved from 115 mS/mm to 151 mS/mm, the unit gain cutoff frequency was improved from 6.2 GHz to 6.8 GHz, and the maximum oscillation frequency was improved from 12.1 GHz to 13.1 GHz of the devices with a channel width of 195 nm, compared with the devices with a channel width of 450 nm.

  18. Gate length variation effect on performance of gate-first self-aligned In₀.₅₃Ga₀.₄₇As MOSFET.

    PubMed

    Mohd Razip Wee, Mohd F; Dehzangi, Arash; Bollaert, Sylvain; Wichmann, Nicolas; Majlis, Burhanuddin Y

    2013-01-01

    A multi-gate n-type In₀.₅₃Ga₀.₄₇As MOSFET is fabricated using gate-first self-aligned method and air-bridge technology. The devices with different gate lengths were fabricated with the Al2O3 oxide layer with the thickness of 8 nm. In this letter, impact of gate length variation on device parameter such as threshold voltage, high and low voltage transconductance, subthreshold swing and off current are investigated at room temperature. Scaling the gate length revealed good enhancement in all investigated parameters but the negative shift in threshold voltage was observed for shorter gate lengths. The high drain current of 1.13 A/mm and maximum extrinsic transconductance of 678 mS/mm with the field effect mobility of 364 cm(2)/Vs are achieved for the gate length and width of 0.2 µm and 30 µm, respectively. The source/drain overlap length for the device is approximately extracted about 51 nm with the leakage current in order of 10(-8) A. The results of RF measurement for cut-off and maximum oscillation frequency for devices with different gate lengths are compared.

  19. Gate Length Variation Effect on Performance of Gate-First Self-Aligned In0.53Ga0.47As MOSFET

    PubMed Central

    Mohd Razip Wee, Mohd F.; Dehzangi, Arash; Bollaert, Sylvain; Wichmann, Nicolas; Majlis, Burhanuddin Y.

    2013-01-01

    A multi-gate n-type In0.53Ga0.47As MOSFET is fabricated using gate-first self-aligned method and air-bridge technology. The devices with different gate lengths were fabricated with the Al2O3 oxide layer with the thickness of 8 nm. In this letter, impact of gate length variation on device parameter such as threshold voltage, high and low voltage transconductance, subthreshold swing and off current are investigated at room temperature. Scaling the gate length revealed good enhancement in all investigated parameters but the negative shift in threshold voltage was observed for shorter gate lengths. The high drain current of 1.13 A/mm and maximum extrinsic transconductance of 678 mS/mm with the field effect mobility of 364 cm2/Vs are achieved for the gate length and width of 0.2 µm and 30µm, respectively. The source/drain overlap length for the device is approximately extracted about 51 nm with the leakage current in order of 10−8 A. The results of RF measurement for cut-off and maximum oscillation frequency for devices with different gate lengths are compared. PMID:24367548

  20. Structural Engineering for High Sensitivity, Ultrathin Pressure Sensors Based on Wrinkled Graphene and Anodic Aluminum Oxide Membrane.

    PubMed

    Chen, Wenjun; Gui, Xuchun; Liang, Binghao; Yang, Rongliang; Zheng, Yongjia; Zhao, Chengchun; Li, Xinming; Zhu, Hai; Tang, Zikang

    2017-07-19

    Nature-motivated pressure sensors have been greatly important components integrated into flexible electronics and applied in artificial intelligence. Here, we report a high sensitivity, ultrathin, and transparent pressure sensor based on wrinkled graphene prepared by a facile liquid-phase shrink method. Two pieces of wrinkled graphene are face to face assembled into a pressure sensor, in which a porous anodic aluminum oxide (AAO) membrane with the thickness of only 200 nm was used to insulate the two layers of graphene. The pressure sensor exhibits ultrahigh operating sensitivity (6.92 kPa -1 ), resulting from the insulation in its inactive state and conduction under compression. Formation of current pathways is attributed to the contact of graphene wrinkles through the pores of AAO membrane. In addition, the pressure sensor is also an on/off and energy saving device, due to the complete isolation between the two graphene layers when the sensor is not subjected to any pressure. We believe that our high-performance pressure sensor is an ideal candidate for integration in flexible electronics, but also paves the way for other 2D materials to be involved in the fabrication of pressure sensors.

  1. Aerosol-assisted chemical vapor deposition of ultra-thin CuOx films as hole transport material for planar perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Zhang, Zhixin; Chen, Shuqun; Li, Pingping; Li, Hongyi; Wu, Junshu; Hu, Peng; Wang, Jinshu

    This paper reports on the fabrication of CuOx films to be used as hole transporting layer (HTL) in CH3NH3PbI3 perovskite solar cells (PSCs). Ultra-thin CuOx coatings were grown onto FTO substrates for the first time via aerosol-assisted chemical vapor deposition (AACVD) of copper acetylacetonate in methanol. After incorporating into the PSCs prepared at ambient air, a highest power conversion efficiency (PCE) of 8.26% with HTL and of 3.34% without HTL were achieved. Our work represents an important step in the development of low-cost CVD technique for fabricating ultra-thin metal oxide functional layers in thin film photovoltaics.

  2. Direct current performance and current collapse in AlGaN/GaN insulated gate high-electron mobility transistors on Si (1 1 1) substrate with very thin SiO2 gate dielectric

    NASA Astrophysics Data System (ADS)

    Lachab, M.; Sultana, M.; Fatima, H.; Adivarahan, V.; Fareed, Q.; Khan, M. A.

    2012-12-01

    This work reports on the dc performance of AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) grown on Si (1 1 1) substrate and the study of current dispersion in these devices using various widely adopted methods. The MOSHEMTs were fabricated using a very thin (4.2 nm) SiO2 film as the gate insulator and were subsequently passivated with about 30 nm thick Si3N4 layer. For devices with 2.5 µm long gates and a 4 µm drain-to-source spacing, the maximum saturation drain current density was 822 mA mm-1 at + 4 V gate bias and the peak external transconductance was ˜100 mS mm-1. Furthermore, the oxide layer successfully suppressed the drain and gate leakage currents with the subthreshold current and the gate diode current levels exceeding by more than three orders of magnitude the levels found in their Schottky gate counterparts. Capacitance-voltage and dynamic current-voltage measurements were carried out to assess the oxide quality as well as the devices’ surface properties after passivation. The efficacy of each of these characterization techniques to probe the presence of interface traps and oxide charge in the nitride-based transistors is also discussed.

  3. Simply modified indium tin oxides by ultrathin aluminum and sodium chloride composite interlayer for high performance inverted polymer solar cells

    NASA Astrophysics Data System (ADS)

    Zheng, Shuang; Wu, Zhenxuan; Zhang, Chuan; Liu, Huan; Yan, Minnan; Su, Xiaodan; Wang, Jin; Zhang, Hongmei; Ma, Dongge

    2017-07-01

    We report the fabrication of high performance inverted polymer solar cells with simply modified indium tin oxide (ITO) by an ultrathin aluminum (Al) and sodium chloride (NaCl) composite layer. The device efficiency and stability were both improved. The optimized device with poly(3-hexylthiophene) as the donor and [6,6]-phenyl-C61-butyric acid methylester as the acceptor under AM 1.5 (100 mw cm-2) radiation achieved a high power conversion efficiency of 3.88% with an open-circuit voltage of 0.60 V and a fill factor of 0.61, which is significantly higher than those of the inverted devices with only Al or NaCl as modification interlayer, respectively. Moreover, the stability is enhanced by about 70% more than that of the conventional device. The significant enhancement is attributed to the reduced work function of ITO electrode from 4.75 to 3.90 eV by modification as well as the improvement of the electrode interface.

  4. Green Fabrication of Ultrathin Co3O4 Nanosheets from Metal-Organic Framework for Robust High-Rate Supercapacitors.

    PubMed

    Xiao, Zhenyu; Fan, Lili; Xu, Ben; Zhang, Shanqing; Kang, Wenpei; Kang, Zixi; Lin, Huan; Liu, Xiuping; Zhang, Shiyu; Sun, Daofeng

    2017-12-06

    Two-dimensional cobalt oxide (Co 3 O 4 ) is a promising candidate for robust electrochemical capacitors with high performance. Herein, we use 2,3,5,6-tetramethyl-1,4-diisophthalate as a recyclable ligand to construct a Co-based metal-organic framework of UPC-9, and subsequently, we obtain ultrathin hierarchical Co 3 O 4 hexagonal nanosheets with a thickness of 3.5 nm through a hydrolysis and calcination process. A remarkable and excellent specific capacitance of 1121 F·g -1 at a current density of 1 A·g -1 and 873 F·g -1 at a current density of 25 A·g -1 were achieved for the as-prepared asymmetric supercapacitor, which can be attributed to the ultrathin 2D morphology and the rich macroporous and mesoporous structures of the ultrathin Co 3 O 4 nanosheets. This synthesis strategy is environmentally benign and economically viable due to the fact that the costly organic ligand molecules are recycled, reducing the materials cost as well as the environmental cost for the synthesis process.

  5. Optimizing ultrathin Ag films for high performance oxide-metal-oxide flexible transparent electrodes through surface energy modulation and template-stripping procedures

    PubMed Central

    Yang, Xi; Gao, Pingqi; Yang, Zhenhai; Zhu, Juye; Huang, Feng; Ye, Jichun

    2017-01-01

    Among new flexible transparent conductive electrode (TCE) candidates, ultrathin Ag film (UTAF) is attractive for its extremely low resistance and relatively high transparency. However, the performances of UTAF based TCEs critically depend on the threshold thickness for growth of continuous Ag films and the film morphologies. Here, we demonstrate that these two parameters could be strongly altered through the modulation of substrate surface energy. By minimizing the surface energy difference between the Ag film and substrate, a 9 nm UTAF with a sheet resistance down to 6.9 Ω sq−1 can be obtained using an electron-beam evaporation process. The resultant UTAF is completely continuous and exhibits smoother morphologies and smaller optical absorbances in comparison to the counterpart of granular-type Ag film at the same thickness without surface modulation. Template-stripping procedure is further developed to transfer the UTAFs to flexible polymer matrixes and construct Al2O3/Ag/MoOx (AAM) electrodes with excellent surface morphology as well as optical and electronic characteristics, including a root-mean-square roughness below 0.21 nm, a transparency up to 93.85% at 550 nm and a sheet resistance as low as 7.39 Ω sq−1. These AAM based electrodes also show superiority in mechanical robustness, thermal oxidation stability and shape memory property. PMID:28291229

  6. Optimizing ultrathin Ag films for high performance oxide-metal-oxide flexible transparent electrodes through surface energy modulation and template-stripping procedures

    NASA Astrophysics Data System (ADS)

    Yang, Xi; Gao, Pingqi; Yang, Zhenhai; Zhu, Juye; Huang, Feng; Ye, Jichun

    2017-03-01

    Among new flexible transparent conductive electrode (TCE) candidates, ultrathin Ag film (UTAF) is attractive for its extremely low resistance and relatively high transparency. However, the performances of UTAF based TCEs critically depend on the threshold thickness for growth of continuous Ag films and the film morphologies. Here, we demonstrate that these two parameters could be strongly altered through the modulation of substrate surface energy. By minimizing the surface energy difference between the Ag film and substrate, a 9 nm UTAF with a sheet resistance down to 6.9 Ω sq-1 can be obtained using an electron-beam evaporation process. The resultant UTAF is completely continuous and exhibits smoother morphologies and smaller optical absorbances in comparison to the counterpart of granular-type Ag film at the same thickness without surface modulation. Template-stripping procedure is further developed to transfer the UTAFs to flexible polymer matrixes and construct Al2O3/Ag/MoOx (AAM) electrodes with excellent surface morphology as well as optical and electronic characteristics, including a root-mean-square roughness below 0.21 nm, a transparency up to 93.85% at 550 nm and a sheet resistance as low as 7.39 Ω sq-1. These AAM based electrodes also show superiority in mechanical robustness, thermal oxidation stability and shape memory property.

  7. Fringing field effects in negative capacitance field-effect transistors with a ferroelectric gate insulator

    NASA Astrophysics Data System (ADS)

    Hattori, Junichi; Fukuda, Koichi; Ikegami, Tsutomu; Ota, Hiroyuki; Migita, Shinji; Asai, Hidehiro; Toriumi, Akira

    2018-04-01

    We study the effects of fringing electric fields on the behavior of negative-capacitance (NC) field-effect transistors (FETs) with a silicon-on-insulator body and a gate stack consisting of an oxide film, an internal metal film, a ferroelectric film, and a gate electrode using our own device simulator that can properly handle the complicated relationship between the polarization and the electric field in ferroelectric materials. The behaviors of such NC FETs and the corresponding metal-oxide-semiconductor (MOS) FETs are simulated and compared with each other to evaluate the effects of the NC of the ferroelectric film. Then, the fringing field effects are evaluated by comparing the NC effects in NC FETs with and without gate spacers. The fringing field between the gate stack, especially the internal metal film, and the source/drain region induces more charges at the interface of the film with the ferroelectric film. Accordingly, the function of the NC to modulate the gate voltage and the resulting function to improve the subthreshold swing are enhanced. We also investigate the relationships of these fringing field effects to the drain voltage and four design parameters of NC FETs, i.e., gate length, gate spacer permittivity, internal metal film thickness, and oxide film thickness.

  8. Ultra-thin plasma panel radiation detector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Friedman, Peter S.

    An ultra-thin radiation detector includes a radiation detector gas chamber having at least one ultra-thin chamber window and an ultra-thin first substrate contained within the gas chamber. The detector further includes a second substrate generally parallel to and coupled to the first substrate and defining a gas gap between the first substrate and the second substrate. The detector further includes a discharge gas between the substrates and contained within the gas chamber, where the discharge gas is free to circulate within the gas chamber and between the first and second substrates at a given gas pressure. The detector further includesmore » a first electrode coupled to one of the substrates and a second electrode electrically coupled to the first electrode. The detector further includes a first discharge event detector coupled to at least one of the electrodes for detecting a gas discharge counting event in the electrode.« less

  9. Direct synthesis of ultrathin SOI structure by extremely low-energy oxygen implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp; Yachida, Gosuke; Inoue, Kodai

    2016-06-15

    We performed extremely low-energy {sup 16}O{sup +} implantation at 10 keV (R{sub p} ∼ 25 nm) followed by annealing aiming at directly synthesizing an ultrathin Si layer separated by a buried SiO{sub 2} layer in Si(001) substrates, and then investigated feasible condition of recrystallization and stabilization of the superficial Si and the buried oxide layer by significantly low temperature annealing. The elemental compositions were analyzed by Rutherford backscattering (RBS) and secondary ion mass spectroscopy (SIMS). The crystallinity of the superficial Si layer was quantitatively confirmed by ananlyzing RBS-channeling spectra. Cross-sectional morphologies and atomic configurations were observed by transmission electron microscopemore » (TEM). As a result, we succeeded in directly synthesizing an ultrathin single-crystalline silicon layer with ≤20 nm thick separated by a thin buried stoichiometric SiO{sub 2} layer with ≤20 nm thick formed by extremely low-energy {sup 16}O{sup +} implantation followed by surprisingly low temperature annealing at 1050{sup ∘} C.« less

  10. System and Method for Fabricating Super Conducting Circuitry on Both Sides of an Ultra-Thin Layer

    NASA Technical Reports Server (NTRS)

    Brown, Ari D. (Inventor); Mikula, Vilem (Inventor)

    2017-01-01

    A method of fabricating circuitry in a wafer includes depositing a superconducting metal on a silicon on insulator wafer having a handle wafer, coating the wafer with a sacrificial layer and bonding the wafer to a thermally oxide silicon wafer with a first epoxy. The method includes flipping the wafer, thinning the flipped wafer by removing a handle wafer, etching a buried oxide layer, depositing a superconducting layer, bonding the wafer to a thermally oxidized silicon wafer having a handle wafer using an epoxy, flipping the wafer again, thinning the flipped wafer, etching a buried oxide layer from the wafer and etching the sacrificial layer from the wafer. The result is a wafer having superconductive circuitry on both sides of an ultra-thin silicon layer.

  11. Review on analog/radio frequency performance of advanced silicon MOSFETs

    NASA Astrophysics Data System (ADS)

    Passi, Vikram; Raskin, Jean-Pierre

    2017-12-01

    Aggressive gate-length downscaling of the metal-oxide-semiconductor field-effect transistor (MOSFET) has been the main stimulus for the growth of the integrated circuit industry. This downscaling, which has proved beneficial to digital circuits, is primarily the result of the need for improved circuit performance and cost reduction and has resulted in tremendous reduction of the carrier transit time across the channel, thereby resulting in very high cut-off frequencies. It is only in recent decades that complementary metal-oxide-semiconductor (CMOS) field-effect transistor (FET) has been considered as the radio frequency (RF) technology of choice. In this review, the status of the digital, analog and RF figures of merit (FoM) of silicon-based FETs is presented. State-of-the-art devices with very good performance showing low values of drain-induced barrier lowering, sub-threshold swing, high values of gate transconductance, Early voltage, cut-off frequencies, and low minimum noise figure, and good low-frequency noise characteristic values are reported. The dependence of these FoM on the device gate length is also shown, helping the readers to understand the trends and challenges faced by shorter CMOS nodes. Device performance boosters including silicon-on-insulator substrates, multiple-gate architectures, strain engineering, ultra-thin body and buried-oxide and also III-V and 2D materials are discussed, highlighting the transistor characteristics that are influenced by these boosters. A brief comparison of the two main contenders in continuing Moore’s law, ultra-thin body buried-oxide and fin field-effect transistors are also presented. The authors would like to mention that despite extensive research carried out in the semiconductor industry, silicon-based MOSFET will continue to be the driving force in the foreseeable future.

  12. Application of high-quality SiO2 grown by multipolar ECR source to Si/SiGe MISFET

    NASA Technical Reports Server (NTRS)

    Sung, K. T.; Li, W. Q.; Li, S. H.; Pang, S. W.; Bhattacharya, P. K.

    1993-01-01

    A 5 nm-thick SiO2 gate was grown on an Si(p+)/Si(0.8)Ge(0.2) modulation-doped heterostructure at 26 C with an oxygen plasma generated by a multipolar electron cyclotron resonance source. The ultrathin oxide has breakdown field above 12 MV/cm and fixed charge density about 3 x 10 exp 10/sq cm. Leakage current as low as 1/micro-A was obtained with the gate biased at 4 V. The MISFET with 0.25 x 25 sq m gate shows maximum drain current of 41.6 mA/mm and peak transconductance of 21 mS/mm.

  13. Ultrathin layered double hydroxide nanosheets with Ni(III) active species obtained by exfoliation for highly efficient ethanol electrooxidation.

    PubMed

    Xu, Liang; Wang, Zhe; Chen, Xu; Qu, Zongkai; Li, Feng; Yang, Wensheng

    2018-01-10

    The development of non-precious metal electrocatalysts for renewable energy conversion and storage is compelling but greatly challenging due to low activity of the existing catalysts. Herein, the ultrathin NiAl-layered double hydroxide nanosheets (NiAl-LDH-NSs) are prepared by simple liquid-exfoliation of bulk NiAl-LDHs and first used as ethanol electrooxidation catalysts. The ultrathin two-dimensional (2D) structure ensures that the LDH nanosheets expose a greater number of active sites. More importantly, much Ni(III) active species (NiOOH) in the ultrathin nanosheets are formed by the exfoliation process, which play an authentic catalytic role in the ethanol oxidation reaction (EOR). The presence of NiOOH remarkably improves the reactivity and electrical conductivity of LDH nanosheets. These synergistic effects lead to strikingly more than 30 times enhanced EOR activity of NiAl-LDH-NSs compared to bulk NiAl-LDHs. The obtained electrocatalytic activity is also much better than those of most Ni- and LDH-based EOR catalysts reported to date. In addition, the ultrathin NiAl-LDH-NS electrocatalyst also exhibits good long-term stability (maintain 81.8% of the original value after 10000 s). This study not only provides a highly competitive EOR catalyst, but also opens new avenues toward the design of highly efficient electrode materials that have various potential applications in supercapacitor, Ni-MH battery and other electrocatalytic systems.

  14. Ultrathin layered double hydroxide nanosheets with Ni(III) active species obtained by exfoliation for highly efficient ethanol electrooxidation

    PubMed Central

    Xu, Liang; Wang, Zhe; Chen, Xu; Qu, Zongkai; Li, Feng; Yang, Wensheng

    2018-01-01

    The development of non-precious metal electrocatalysts for renewable energy conversion and storage is compelling but greatly challenging due to low activity of the existing catalysts. Herein, the ultrathin NiAl-layered double hydroxide nanosheets (NiAl-LDH-NSs) are prepared by simple liquid-exfoliation of bulk NiAl-LDHs and first used as ethanol electrooxidation catalysts. The ultrathin two-dimensional (2D) structure ensures that the LDH nanosheets expose a greater number of active sites. More importantly, much Ni(III) active species (NiOOH) in the ultrathin nanosheets are formed by the exfoliation process, which play an authentic catalytic role in the ethanol oxidation reaction (EOR). The presence of NiOOH remarkably improves the reactivity and electrical conductivity of LDH nanosheets. These synergistic effects lead to strikingly more than 30 times enhanced EOR activity of NiAl-LDH-NSs compared to bulk NiAl-LDHs. The obtained electrocatalytic activity is also much better than those of most Ni- and LDH-based EOR catalysts reported to date. In addition, the ultrathin NiAl-LDH-NS electrocatalyst also exhibits good long-term stability (maintain 81.8% of the original value after 10000 s). This study not only provides a highly competitive EOR catalyst, but also opens new avenues toward the design of highly efficient electrode materials that have various potential applications in supercapacitor, Ni-MH battery and other electrocatalytic systems. PMID:29622818

  15. Suppression of surface-originated gate lag by a dual-channel AlN/GaN high electron mobility transistor architecture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Scott Katzer, D.

    A dual-channel AlN/GaN high electron mobility transistor (HEMT) architecture is demonstrated that leverages ultra-thin epitaxial layers to suppress surface-related gate lag. Two high-density two-dimensional electron gas (2DEG) channels are utilized in an AlN/GaN/AlN/GaN heterostructure wherein the top 2DEG serves as a quasi-equipotential that screens potential fluctuations resulting from distributed surface and interface states. The bottom channel serves as the transistor's modulated channel. Dual-channel AlN/GaN heterostructures were grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. HEMTs fabricated with 300 nm long recessed gates demonstrated a gate lag ratio (GLR) of 0.88 with no degradation in drain currentmore » after bias stressed in subthreshold. These structures additionally achieved small signal metrics f{sub t}/f{sub max} of 27/46 GHz. These performance results are contrasted with the non-recessed gate dual-channel HEMT with a GLR of 0.74 and 82 mA/mm current collapse with f{sub t}/f{sub max} of 48/60 GHz.« less

  16. Ion Sensitive Transparent-Gate Transistor for Visible Cell Sensing.

    PubMed

    Sakata, Toshiya; Nishimura, Kotaro; Miyazawa, Yuuya; Saito, Akiko; Abe, Hiroyuki; Kajisa, Taira

    2017-04-04

    In this study, we developed an ion-sensitive transparent-gate transistor (IS-TGT) for visible cell sensing. The gate sensing surface of the IS-TGT is transparent in a solution because a transparent amorphous oxide semiconductor composed of amorphous In-Ga-Zn-oxide (a-IGZO) with a thin SiO 2 film gate that includes an indium tin oxide (ITO) film as the source and drain electrodes is utilized. The pH response of the IS-TGT was found to be about 56 mV/pH, indicating approximately Nernstian response. Moreover, the potential signals of the IS-TGT for sodium and potassium ions, which are usually included in biological environments, were evaluated. The optical and electrical properties of the IS-TGT enable cell functions to be monitored simultaneously with microscopic observation and electrical measurement. A platform based on the IS-TGT can be used as a simple and cost-effective plate-cell-sensing system based on thin-film fabrication technology in the research field of life science.

  17. A method to monitor the quality of ultra-thin nitride for trench DRAM with a buried strap structure

    NASA Astrophysics Data System (ADS)

    Wu, Yung-Hsien; Wang, Chun-Yao; Chang, Ian; Kao, Chien-Kang; Kuo, Chia-Ming; Ku, Alex

    2007-02-01

    A new approach to monitor the quality of an ultra-thin nitride film has been proposed. The nitride quality is monitored by observing the oxide thickness for the nitride film after wet oxidation since the resistance to oxidation strongly depends on its quality. To obtain a stable oxide thickness without interference from extrinsic factors for process monitoring, monitor wafers without dilute HF solution clean are suggested because the native-oxide containing surface is less sensitive to oxygen and therefore forms the nitride film with stable quality. In addition, the correlation between variable retention time (VRT) performance of a real dynamic random access memory (DRAM) product and oxide thickness from different nitride process temperatures can be successfully explained and this correlation can also be used to establish the appropriate oxide thickness range for process monitoring.

  18. Biosensors Based on Ultrathin Film Composite Membranes

    DTIC Science & Technology

    1994-01-25

    composite membranes should have a number C •’ of potential advantages including fast response time, simplicity of construction, and applicability to a number...The support membrane for the ultrathin film composite was an Anopore ( Alltech Associates) microporous alumina filter, these membranes are 55 Pm thick...constant 02 concentration in this solution. Finally, one of the most important potential advantage of a sensor based on an ultrathin film composite

  19. Confined Transformation Derived Ultrathin Titanate Nanosheets/ Graphene Films for Excellent Na/K Ion Storage.

    PubMed

    Zeng, Cheng; Xie, Fangxi; Yang, Xianfeng; Jaroniec, Mietek; Zhang, Lei; Qiao, Shizhang

    2018-05-02

    Confined transformation of assembled two-dimensional MXene (titanium carbide) and reduced graphene oxide (rGO) nanosheets was employed to prepare the free-standing films of the integrated ultrathin sodium titanate (NTO)/potassium titanate (KTO) nanosheets sandwiched between graphene layers. The ultrathin Ti-based nanosheets reduce the diffusion distance while rGO layers enhance conductivity. Incorporation of graphene into the titanate films produced efficient binder-free anodes for ion storage. The resulting NTO/rGO electrode for sodium ion batteries exhibited an excellent rate performance and long cycling stability characterized by reversible capacity of 72 mA h g-1 at 5 A g-1 after 10000 cycles. Moreover, flexible KTO/rGO electrode for potassium ion batteries maintained a reversible capacity of 75 mA h g-1 after 700 cycles at 2 A g-1. These results demonstrate the superiority of the unique sandwich-type electrodes. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Restoring the magnetism of ultrathin LaMn O3 films by surface symmetry engineering

    NASA Astrophysics Data System (ADS)

    Peng, J. J.; Song, C.; Li, F.; Gu, Y. D.; Wang, G. Y.; Pan, F.

    2016-12-01

    The frustration of magnetization and conductivity properties of ultrathin manganite is detrimental to their device performance, preventing their scaling down process. Here we demonstrate that the magnetism of ultrathin LaMn O3 films can be restored by a SrTi O3 capping layer, which engineers the surface from a symmetry breaking induced out-of-plane orbital occupancy to the recovered in-plane orbital occupancy. The stabilized in-plane orbital occupancy would strengthen the intralayer double exchange and thus recovers the robust magnetism. This method is proved to be effective for films as thin as 2 unit cells, greatly shrinking the critical thickness of 6 unit cells for ferromagnetic LaMn O3 as demonstrated previously [Wang et al., Science 349, 716 (2015), 10.1126/science.aaa5198]. The achievement made in this work opens up new perspectives to an active control of surface states and thereby tailors the surface functional properties of transition metal oxides.

  1. Design of ultrathin Pt-Mo-Ni nanowire catalysts for ethanol electrooxidation.

    PubMed

    Mao, Junjie; Chen, Wenxing; He, Dongsheng; Wan, Jiawei; Pei, Jiajing; Dong, Juncai; Wang, Yu; An, Pengfei; Jin, Zhao; Xing, Wei; Tang, Haolin; Zhuang, Zhongbin; Liang, Xin; Huang, Yu; Zhou, Gang; Wang, Leyu; Wang, Dingsheng; Li, Yadong

    2017-08-01

    Developing cost-effective, active, and durable electrocatalysts is one of the most important issues for the commercialization of fuel cells. Ultrathin Pt-Mo-Ni nanowires (NWs) with a diameter of ~2.5 nm and lengths of up to several micrometers were synthesized via a H 2 -assisted solution route (HASR). This catalyst was designed on the basis of the following three points: (i) ultrathin NWs with high numbers of surface atoms can increase the atomic efficiency of Pt and thus decrease the catalyst cost; (ii) the incorporation of Ni can isolate Pt atoms on the surface and produce surface defects, leading to high catalytic activity (the unique structure and superior activity were confirmed by spherical aberration-corrected electron microscopy measurements and ethanol oxidation tests, respectively); and (iii) the incorporation of Mo can stabilize both Ni and Pt atoms, leading to high catalytic stability, which was confirmed by experiments and density functional theory calculations. Furthermore, the developed HASR strategy can be extended to synthesize a series of Pt-Mo-M (M = Fe, Co, Mn, Ru, etc.) NWs. These multimetallic NWs would open up new opportunities for practical fuel cell applications.

  2. Design of ultrathin Pt-Mo-Ni nanowire catalysts for ethanol electrooxidation

    PubMed Central

    Mao, Junjie; Chen, Wenxing; He, Dongsheng; Wan, Jiawei; Pei, Jiajing; Dong, Juncai; Wang, Yu; An, Pengfei; Jin, Zhao; Xing, Wei; Tang, Haolin; Zhuang, Zhongbin; Liang, Xin; Huang, Yu; Zhou, Gang; Wang, Leyu; Wang, Dingsheng; Li, Yadong

    2017-01-01

    Developing cost-effective, active, and durable electrocatalysts is one of the most important issues for the commercialization of fuel cells. Ultrathin Pt-Mo-Ni nanowires (NWs) with a diameter of ~2.5 nm and lengths of up to several micrometers were synthesized via a H2-assisted solution route (HASR). This catalyst was designed on the basis of the following three points: (i) ultrathin NWs with high numbers of surface atoms can increase the atomic efficiency of Pt and thus decrease the catalyst cost; (ii) the incorporation of Ni can isolate Pt atoms on the surface and produce surface defects, leading to high catalytic activity (the unique structure and superior activity were confirmed by spherical aberration–corrected electron microscopy measurements and ethanol oxidation tests, respectively); and (iii) the incorporation of Mo can stabilize both Ni and Pt atoms, leading to high catalytic stability, which was confirmed by experiments and density functional theory calculations. Furthermore, the developed HASR strategy can be extended to synthesize a series of Pt-Mo-M (M = Fe, Co, Mn, Ru, etc.) NWs. These multimetallic NWs would open up new opportunities for practical fuel cell applications. PMID:28875160

  3. Ultra-thin distributed Bragg reflectors via stacked single-crystal silicon nanomembranes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Minkyu; Seo, Jung-Hun; Lee, Jaeseong

    2015-05-04

    In this paper, we report ultra-thin distributed Bragg reflectors (DBRs) via stacked single-crystal silicon (Si) nanomembranes (NMs). Mesh hole-free single-crystal Si NMs were released from a Si-on-insulator substrate and transferred to quartz and Si substrates. Thermal oxidation was applied to the transferred Si NM to form high-quality SiO{sub 2} and thus a Si/SiO{sub 2} pair with uniform and precisely controlled thicknesses. The Si/SiO{sub 2} layers, as smooth as epitaxial grown layers, minimize scattering loss at the interface and in between the layers. As a result, a reflection of 99.8% at the wavelength range from 1350 nm to 1650 nm can be measuredmore » from a 2.5-pair DBR on a quartz substrate and 3-pair DBR on a Si substrate with thickness of 0.87 μm and 1.14 μm, respectively. The high reflection, ultra-thin DBRs developed here, which can be applied to almost any devices and materials, holds potential for application in high performance optoelectronic devices and photonics applications.« less

  4. Hierarchical ultrathin alumina membrane for the fabrication of unique nanodot arrays

    NASA Astrophysics Data System (ADS)

    Wang, Yuyang; Wang, Yi; Wang, Hailong; Wang, Xinnan; Cong, Ming; Xu, Weiqing; Xu, Shuping

    2016-01-01

    Ultrathin alumina membranes (UTAMs) as evaporation masks have been a powerful tool for the fabrication of high-density nanodot arrays and have received much attention in magnetic memory devices, photovoltaics, and nanoplasmonics. In this paper, we report the fabrication of a hierarchical ultrathin alumina membrane (HUTAM) with highly ordered submicro/nanoscale channels and its application as an evaporation mask for the realization of unique non-hexagonal nanodot arrays dependent on the geometrical features of the HUTAM. This is the first report of a UTAM with a hierarchical geometry, breaking the stereotype that only limited sets of nanopatterns can be realized using the UTAM method (with typical inter-pore distance of 100 nm). The fabrication of a HUTAM is discussed in detail. An improved, longer wet etching time than previously reported is found to effectively remove the barrier layer and widen the pores of a HUTAM. A growth sustainability issue brought about by pre-patterning is discussed. Spectral comparison was made to distinguish the UTAM nanodots and HUTAM nanodots. Our results can be an inspiration for more sophisticated applications of pre-patterned anodized aluminum oxide in photocatalysis, photovoltaics, and nanoplasmonics.

  5. Enhanced Hydrogen Transport over Palladium Ultrathin Films through Surface Nanostructure Engineering.

    PubMed

    Abate, Salvatore; Giorgianni, Gianfranco; Gentiluomo, Serena; Centi, Gabriele; Perathoner, Siglinda

    2015-11-01

    Palladium ultrathin films (around 2 μm) with different surface nanostructures are characterized by TEM, SEM, AFM, and temperature programmed reduction (TPR), and evaluated in terms of H2 permeability and H2-N2 separation. A change in the characteristics of Pd seeds by controlled oxidation-reduction treatments produces films with the same thickness, but different surface and bulk nanostructure. In particular, the films have finer and more homogeneous Pd grains, which results in lower surface roughness. Although all samples show high permeo-selectivity to H2 , the samples with finer grains exhibit enhanced permeance and lower activation energy for H2 transport. The analysis of the data suggests that grain boundaries between the Pd grains at the surface favor H2 transfer from surface to subsurface. Thus, the surface nanostructure plays a relevant role in enhancing the transport of H2 over the Pd ultrathin film, which is an important aspect to develop improved membranes that function at low temperatures and toward new integrated process architectures in H2 and syngas production with enhanced sustainability. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  7. Low-cost ultra-thin broadband terahertz beam-splitter.

    PubMed

    Ung, Benjamin S-Y; Fumeaux, Christophe; Lin, Hungyen; Fischer, Bernd M; Ng, Brian W-H; Abbott, Derek

    2012-02-27

    A low-cost terahertz beam-splitter is fabricated using ultra-thin LDPE plastic sheeting coated with a conducting silver layer. The beam splitting ratio is determined as a function of the thickness of the silver layer--thus any required splitting ratio can be printed on demand with a suitable rapid prototyping technology. The low-cost aspect is a consequence of the fact that ultra-thin LDPE sheeting is readily obtainable, known more commonly as domestic plastic wrap or cling wrap. The proposed beam-splitter has numerous advantages over float zone silicon wafers commonly used within the terahertz frequency range. These advantages include low-cost, ease of handling, ultra-thin thickness, and any required beam splitting ratio can be readily fabricated. Furthermore, as the beam-splitter is ultra-thin, it presents low loss and does not suffer from Fabry-Pérot effects. Measurements performed on manufactured prototypes with different splitting ratios demonstrate a good agreement with our theoretical model in both P and S polarizations, exhibiting nearly frequency-independent splitting ratios in the terahertz frequency range.

  8. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  9. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    PubMed

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  10. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics

    PubMed Central

    Hutchins, Daniel O.; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E.; Castner, David G.; Ma, Hong; Jen, Alex K.-Y.

    2013-01-01

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlOx (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10−8 A cm−2 and capacitance density of 0.62 µF cm−2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm2 V−1 s−1. PMID:24288423

  11. High performance p-type organic thin film transistors with an intrinsically photopatternable, ultrathin polymer dielectric layer☆

    PubMed Central

    Petritz, Andreas; Wolfberger, Archim; Fian, Alexander; Krenn, Joachim R.; Griesser, Thomas; Stadlober, Barbara

    2013-01-01

    A high-performing bottom-gate top-contact pentacene-based oTFT technology with an ultrathin (25–48 nm) and electrically dense photopatternable polymeric gate dielectric layer is reported. The photosensitive polymer poly((±)endo,exo-bicyclo[2.2.1]hept-5-ene-2,3-dicarboxylic acid, diphenylester) (PNDPE) is patterned directly by UV-exposure (λ = 254 nm) at a dose typical for conventionally used negative photoresists without the need for any additional photoinitiator. The polymer itself undergoes a photo-Fries rearrangement reaction under UV illumination, which is accompanied by a selective cross-linking of the macromolecules, leading to a change in solubility in organic solvents. This crosslinking reaction and the negative photoresist behavior are investigated by means of sol–gel analysis. The resulting transistors show a field-effect mobility up to 0.8 cm2 V−1 s−1 at an operation voltage as low as −4.5 V. The ultra-low subthreshold swing in the order of 0.1 V dec−1 as well as the completely hysteresis-free transistor characteristics are indicating a very low interface trap density. It can be shown that the device performance is completely stable upon UV-irradiation and development according to a very robust chemical rearrangement. The excellent interface properties, the high stability and the small thickness make the PNDPE gate dielectric a promising candidate for fast organic electronic circuits. PMID:24748853

  12. A pH sensor with a double-gate silicon nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Ahn, Jae-Hyuk; Kim, Jee-Yeon; Seol, Myeong-Lok; Baek, David J.; Guo, Zheng; Kim, Chang-Hoon; Choi, Sung-Jin; Choi, Yang-Kyu

    2013-02-01

    A pH sensor composed of a double-gate silicon nanowire field-effect transistor (DG Si-NW FET) is demonstrated. The proposed DG Si-NW FET allows the independent addressing of the gate voltage and hence improves the sensing capability through an application of asymmetric gate voltage between the two gates. One gate is a driving gate which controls the current flow, and the other is a supporting gate which amplifies the shift of the threshold voltage, which is a sensing metric, and which arises from changes in the pH. The pH signal is also amplified through modulation of the gate oxide thickness.

  13. Mechanical properties of monolayer graphene oxide.

    PubMed

    Suk, Ji Won; Piner, Richard D; An, Jinho; Ruoff, Rodney S

    2010-11-23

    Mechanical properties of ultrathin membranes consisting of one layer, two overlapped layers, and three overlapped layers of graphene oxide platelets were investigated by atomic force microscopy (AFM) imaging in contact mode. In order to evaluate both the elastic modulus and prestress of thin membranes, the AFM measurement was combined with the finite element method (FEM) in a new approach for evaluating the mechanics of ultrathin membranes. Monolayer graphene oxide was found to have a lower effective Young's modulus (207.6 ± 23.4 GPa when a thickness of 0.7 nm is used) as compared to the value reported for "pristine" graphene. The prestress (39.7-76.8 MPa) of the graphene oxide membranes obtained by solution-based deposition was found to be 1 order of magnitude lower than that obtained by others for mechanically cleaved graphene. The novel AFM imaging and FEM-based mapping methods presented here are of general utility for obtaining the elastic modulus and prestress of thin membranes.

  14. Gate- and Light-Tunable pn Heterojunction Microwire Arrays Fabricated via Evaporative Assembly.

    PubMed

    Park, Jae Hoon; Kim, Jong Su; Choi, Young Jin; Lee, Wi Hyoung; Lee, Dong Yun; Cho, Jeong Ho

    2017-02-01

    One-dimensional (1D) nano/microwires have attracted considerable attention as versatile building blocks for use in diverse electronic, optoelectronic, and magnetic device applications. The large-area assembly of nano/microwires at desired positions presents a significant challenge for developing high-density electronic devices. Here, we demonstrated the fabrication of cross-stacked pn heterojunction diode arrays by integrating well-aligned inorganic and organic microwires fabricated via evaporative assembly. We utilized solution-processed n-type inorganic indium-gallium-zinc-oxide (IGZO) microwires and p-type organic 6,13-bis(triisopropylsilylethynyl)pentacene (TIPS-PEN) microwires. The formation of organic TIPS-PEN semiconductor microwire and their electrical properties were optimized by controlling both the amounts of added insulating polymer and the widths of the microwires. The resulting cross-stacked IGZO/TIPS-PEN microwire pn heterojunction devices exhibited rectifying behavior with a forward-to-reverse bias current ratio exceeding 10 2 . The ultrathin nature of the underlying n-type IGZO microwires yielded gate tunability in the charge transport behaviors, ranging from insulating to rectifying. The rectifying behaviors of the heterojunction devices could be modulated by controlling the optical power of the irradiated light. The fabrication of semiconducting microwires through evaporative assembly provides a facile and reliable approach to patterning or positioning 1D microwires for the fabrication of future flexible large-area electronics.

  15. Designing 4H-SiC P-shielding trench gate MOSFET to optimize on-off electrical characteristics

    NASA Astrophysics Data System (ADS)

    Kyoung, Sinsu; Hong, Young-sung; Lee, Myung-hwan; Nam, Tae-jin

    2018-02-01

    In order to enhance specific on-resistance (Ron,sp), the trench gate structure was also introduced into 4H-SiC MOSFET as Si MOSFET. But the 4H-SiC trench gate has worse off-state characteristics than the Si trench gate due to the incomplete gate oxidation process (Šimonka et al., 2017). In order to overcome this problem, P-shielding trench gate MOSFET (TMOS) was proposed and researched in previous studies. But P-shielding has to be designed with minimum design rule in order to protect gate oxide effectively. P-shielding TMOS also has the drawback of on-state characteristics degradation corresponding to off state improvement for minimum design rule. Therefore optimized design is needed to satisfy both on and off characteristics. In this paper, the design parameters were analyzed and optimized so that the 4H-SiC P-shielding TMOS satisfies both on and off characteristics. Design limitations were proposed such that P-shielding is able to defend the gate oxide. The P-shielding layer should have the proper junction depth and concentration to defend the electric field to gate oxide during the off-state. However, overmuch P-shielding junction depth disturbs the on-state current flow, a problem which can be solved by increasing the trench depth. As trench depth increases, however, the breakdown voltage decreases. Therefore, trench depth should be designed with due consideration for on-off characteristics. For this, design conditions and modeling were proposed which allow P-shielding to operate without degradation of on-state characteristics. Based on this proposed model, the 1200 V 4H-SiC P-shielding trench gate MOSFET was designed and optimized.

  16. Ultrathin body GaSb-on-insulator p-channel metal-oxide-semiconductor field-effect transistors on Si fabricated by direct wafer bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    2015-02-16

    We have realized ultrathin body GaSb-on-insulator (GaSb-OI) on Si wafers by direct wafer bonding technology using atomic-layer deposition (ALD) Al{sub 2}O{sub 3} and have demonstrated GaSb-OI p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on Si. A 23-nm-thick GaSb-OI p-MOSFET exhibits the peak effective mobility of ∼76 cm{sup 2}/V s. We have found that the effective hole mobility of the thin-body GaSb-OI p-MOSFETs decreases with a decrease in the GaSb-OI thickness or with an increase in Al{sub 2}O{sub 3} ALD temperature. The InAs passivation of GaSb-OI MOS interfaces can enhance the peak effective mobility up to 159 cm{sup 2}/V s for GaSb-OI p-MOSFETs with themore » 20-nm-thick GaSb layer.« less

  17. Ultrathin zoom telescopic objective.

    PubMed

    Li, Lei; Wang, Di; Liu, Chao; Wang, Qiong-Hua

    2016-08-08

    We report an ultrathin zoom telescopic objective that can achieve continuous zoom change and has reduced compact volume. The objective consists of an annular folded lens and three electrowetting liquid lenses. The annular folded lens undertakes the main part of the focal power of the lens system. Due to a multiple-fold design, the optical path is folded in a lens with the thickness of ~1.98mm. The electrowetting liquid lenses constitute a zoom part. Based on the proposed objective, an ultrathin zoom telescopic camera is demonstrated. We analyze the properties of the proposed objective. The aperture of the proposed objective is ~15mm. The total length of the system is ~18mm with a tunable focal length ~48mm to ~65mm. Compared with the conventional zoom telescopic objective, the total length has been largely reduced.

  18. Individual Magnetic Molecules on Ultrathin Insulating Surfaces

    NASA Astrophysics Data System (ADS)

    El Hallak, Fadi; Warner, Ben; Hirjibehedin, Cyrus

    2012-02-01

    Single molecule magnets have attracted ample interest because of their exciting magnetic and quantum properties. Recent studies have demonstrated that some of these molecules can be evaporated on surfaces without losing their magnetic properties [M. Mannini et al., Nature 468, 417, (2010)]. This remarkable progress enhances the chances of real world applications for these molecules. We present STM imaging and spectroscopy data on iron phthalocyanine molecules deposited on Cu(100) and on a Cu2N ultrathin insulating surface. These molecules have been shown to display a large magnetic anisotropy on another thin insulating surface, oxidized Cu(110) [N. Tsukahara et al., Phys. Rev. Lett. 102, 167203 (2009)]. By using a combination of elastic and inelastic electron tunnelling spectroscopy, we investigate the binding of the molecules to the surface and the impact that the surface has on their electronic and magnetic properties.

  19. Visual colorimetric detection of tin(II) and nitrite using a molybdenum oxide nanomaterial-based three-input logic gate.

    PubMed

    Du, Jiayan; Zhao, Mengxin; Huang, Wei; Deng, Yuequan; He, Yi

    2018-05-09

    We report a molybdenum oxide (MoO 3 ) nanomaterial-based three-input logic gate that uses Sn 2+ , NO 2 - , and H + ions as inputs. Under acidic conditions, Sn 2+ is able to reduce MoO 3 nanosheets, generating oxygen-vacancy-rich MoO 3-x nanomaterials along with strong localized surface plasmon resonance (LSPR) and an intense blue solution as the output signal. When NO 2 - is introduced, the redox reaction between the MoO 3 nanosheets and Sn 2+ is strongly inhibited because the NO 2 - consumes both H + and Sn 2+ . The three-input logic gate was employed for the visual colorimetric detection of Sn 2+ and NO 2 - under different input states. The colorimetric assay's limit of detection for Sn 2+ and the lowest concentration of NO 2 - detectable by the assay were found to be 27.5 nM and 0.1 μM, respectively. The assay permits the visual detection of Sn 2+ and NO 2 - down to concentrations as low as 2 μM and 25 μM, respectively. The applicability of the logic-gate-based colorimetric assay was demonstrated by using it to detect Sn 2+ and NO 2 - in several water sources.

  20. Instability analysis of charges trapped in the oxide of metal-ultra thin oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Aziz, A.; Kassmi, K.; Maimouni, R.; Olivié, F.; Sarrabayrouse, G.; Martinez, A.

    2005-09-01

    In this paper, we present the theoretical and experimental results of the influence of a charge trapped in ultra-thin oxide of metal/ultra-thin oxide/semiconductor structures (MOS) on the I(Vg) current-voltage characteristics when the conduction is of the Fowler-Nordheim (FN) tunneling type. The charge, which is negative, is trapped near the cathode (metal/oxide interface) after constant current injection by the metal (Vg<0). Of particular interest is the influence on the Δ Vg(Vg) shift over the whole I(Vg) characteristic at high field (greater than the injection field (>12.5 MV/cm)). It is shown that the charge centroid varies linearly with respect to the voltage Vg. The behavior at low field (<12.5 MV/cm) is analyzed in référence A. Aziz, K. Kassmi, Ka. Kassmi, F. Olivié, Semicond. Sci. Technol. 19, 877 (2004) and considers that the trapped charge centroid is fixed. The results obtained make it possible to analyze the influence of the injected charge and the applied field on the centroid position of the trapped charge, and to highlight the charge instability in the ultra-thin oxide of MOS structures.

  1. Stress analysis of ultra-thin silicon chip-on-foil electronic assembly under bending

    NASA Astrophysics Data System (ADS)

    Wacker, Nicoleta; Richter, Harald; Hoang, Tu; Gazdzicki, Pawel; Schulze, Mathias; Angelopoulos, Evangelos A.; Hassan, Mahadi-Ul; Burghartz, Joachim N.

    2014-09-01

    In this paper we investigate the bending-induced uniaxial stress at the top of ultra-thin (thickness \\leqslant 20 μm) single-crystal silicon (Si) chips adhesively attached with the aid of an epoxy glue to soft polymeric substrate through combined theoretical and experimental methods. Stress is first determined analytically and numerically using dedicated models. The theoretical results are validated experimentally through piezoresistive measurements performed on complementary metal-oxide-semiconductor (CMOS) transistors built on specially designed chips, and through micro-Raman spectroscopy investigation. Stress analysis of strained ultra-thin chips with CMOS circuitry is crucial, not only for the accurate evaluation of the piezoresistive behavior of the built-in devices and circuits, but also for reliability and deformability analysis. The results reveal an uneven bending-induced stress distribution at the top of the Si-chip that decreases from the central area towards the chip's edges along the bending direction, and increases towards the other edges. Near these edges, stress can reach very high values, facilitating the emergence of cracks causing ultimate chip failure.

  2. Correlation between the microstructures of graphite oxides and their catalytic behaviors in air oxidation of benzyl alcohol.

    PubMed

    Geng, Longlong; Wu, Shujie; Zou, Yongcun; Jia, Mingjun; Zhang, Wenxiang; Yan, Wenfu; Liu, Gang

    2014-05-01

    A series of graphite oxide (GO) materials were obtained by thermal treatment of oxidized natural graphite powder at different temperatures (from 100 to 200 °C). The microstructure evolution (i.e., layer structure and surface functional groups) of the graphite oxide during the heating process is studied by various characterization means, including XRD, N2 adsorption, TG-DTA, in situ DRIFT, XPS, Raman, TEM and Boehm titration. The characterization results show that the structures of GO materials change gradually from multilayer sheets to a transparent ultrathin 2D structure of the carbon sheets. The concentration of surface COH and HOCO groups decrease significantly upon treating temperature increasing. Benzyl alcohol oxidation with air as oxidant source was carried out to detect the catalytic behaviors of different GO materials. The activities of GO materials decrease with the increase of treating temperatures. It shows that the structure properties, including ultrathin sheets and high specific surface area, are not crucial factors affecting the catalytic activity. The type and amount of surface oxygen-containing functional groups of GO materials tightly correlates with the catalytic performance. Carboxylic groups on the surface of GO should act as oxidative sites for benzyl alcohol and the reduced form could be reoxidized by molecular oxygen. Copyright © 2014 Elsevier Inc. All rights reserved.

  3. Skyrmion morphology in ultrathin magnetic films

    NASA Astrophysics Data System (ADS)

    Gross, I.; Akhtar, W.; Hrabec, A.; Sampaio, J.; Martínez, L. J.; Chouaieb, S.; Shields, B. J.; Maletinsky, P.; Thiaville, A.; Rohart, S.; Jacques, V.

    2018-02-01

    Nitrogen-vacancy magnetic microscopy is employed in the quenching mode as a noninvasive, high-resolution tool to investigate the morphology of isolated skyrmions in ultrathin magnetic films. The skyrmion size and shape are found to be strongly affected by local pinning effects and magnetic field history. Micromagnetic simulations including a static disorder, based on the physical model of grain-to-grain thickness variations, reproduce all experimental observations and reveal the key role of disorder and magnetic history in the stabilization of skyrmions in ultrathin magnetic films. This work opens the way to an in-depth understanding of skyrmion dynamics in real, disordered media.

  4. Ultrathin Quantum Dot Display Integrated with Wearable Electronics.

    PubMed

    Kim, Jaemin; Shim, Hyung Joon; Yang, Jiwoong; Choi, Moon Kee; Kim, Dong Chan; Kim, Junhee; Hyeon, Taeghwan; Kim, Dae-Hyeong

    2017-10-01

    An ultrathin skin-attachable display is a critical component for an information output port in next-generation wearable electronics. In this regard, quantum dot (QD) light-emitting diodes (QLEDs) offer unique and attractive characteristics for future displays, including high color purity with narrow bandwidths, high electroluminescence (EL) brightness at low operating voltages, and easy processability. Here, ultrathin QLED displays that utilize a passive matrix to address individual pixels are reported. The ultrathin thickness (≈5.5 µm) of the QLED display enables its conformal contact with the wearer's skin and prevents its failure under vigorous mechanical deformation. QDs with relatively thick shells are employed to improve EL characteristics (brightness up to 44 719 cd m -2 at 9 V, which is the record highest among wearable LEDs reported to date) by suppressing the nonradiative recombination. Various patterns, including letters, numbers, and symbols can be successfully visualized on the skin-mounted QLED display. Furthermore, the combination of the ultrathin QLED display with flexible driving circuits and wearable sensors results in a fully integrated QLED display that can directly show sensor data. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Ultrathin Optical Panel And A Method Of Making An Ultrathin Optical Panel.

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2005-02-15

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  6. Ultrathin Optical Panel And A Method Of Making An Ultrathin Optical Panel.

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2005-05-17

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  7. Ultrathin optical panel and a method of making an ultrathin optical panel

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2003-02-11

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  8. Ultrathin optical panel and a method of making an ultrathin optical panel

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2001-10-09

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  9. Ultrathin optical panel and a method of making an ultrathin optical panel

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2002-01-01

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated With a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  10. Effect of top gate bias on photocurrent and negative bias illumination stress instability in dual gate amorphous indium-gallium-zinc oxide thin-film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Eunji; Chowdhury, Md Delwar Hossain; Park, Min Sang

    We have studied the effect of top gate bias (V{sub TG}) on the generation of photocurrent and the decay of photocurrent for back channel etched inverted staggered dual gate structure amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film-transistors. Upon 5 min of exposure of 365 nm wavelength and 0.7 mW/cm{sup 2} intensity light with negative bottom gate bias, the maximum photocurrent increases from 3.29 to 322 pA with increasing the V{sub TG} from −15 to +15 V. By changing V{sub TG} from negative to positive, the Fermi level (E{sub F}) shifts toward conduction band edge (E{sub C}), which substantially controls the conversion of neutral vacancy to charged one (V{submore » O} → V{sub O}{sup +}/V{sub O}{sup 2+} + e{sup −}/2e{sup −}), peroxide (O{sub 2}{sup 2−}) formation or conversion of ionized interstitial (O{sub i}{sup 2−}) to neutral interstitial (O{sub i}), thus electron concentration at conduction band. With increasing the exposure time, more carriers are generated, and thus, maximum photocurrent increases until being saturated. After negative bias illumination stress, the transfer curve shows −2.7 V shift at V{sub TG} = −15 V, which gradually decreases to −0.42 V shift at V{sub TG} = +15 V. It clearly reveals that the position of electron quasi-Fermi level controls the formation of donor defects (V{sub O}{sup +}/V{sub O}{sup 2+}/O{sub 2}{sup 2−}/O{sub i}) and/or hole trapping in the a-IGZO /interfaces.« less

  11. Experimental study of three-dimensional fin-channel charge trapping flash memories with titanium nitride and polycrystalline silicon gates

    NASA Astrophysics Data System (ADS)

    Liu, Yongxun; Matsukawa, Takashi; Endo, Kazuhiko; O'uchi, Shinichi; Tsukada, Junichi; Yamauchi, Hiromi; Ishikawa, Yuki; Mizubayashi, Wataru; Morita, Yukinori; Migita, Shinji; Ota, Hiroyuki; Masahara, Meishoku

    2014-01-01

    Three-dimensional (3D) fin-channel charge trapping (CT) flash memories with different gate materials of physical-vapor-deposited (PVD) titanium nitride (TiN) and n+-polycrystalline silicon (poly-Si) have successfully been fabricated by using (100)-oriented silicon-on-insulator (SOI) wafers and orientation-dependent wet etching. Electrical characteristics of the fabricated flash memories including statistical threshold voltage (Vt) variability, endurance, and data retention have been comparatively investigated. It was experimentally found that a larger memory window and a deeper erase are obtained in PVD-TiN-gated metal-oxide-nitride-oxide-silicon (MONOS)-type flash memories than in poly-Si-gated poly-Si-oxide-nitride-oxide-silicon (SONOS)-type memories. The larger memory window and deeper erase of MONOS-type flash memories are contributed by the higher work function of the PVD-TiN metal gate than of the n+-poly-Si gate, which is effective for suppressing electron back tunneling during erase operation. It was also found that the initial Vt roll-off due to the short-channel effect (SCE) is directly related to the memory window roll-off when the gate length (Lg) is scaled down to 46 nm or less.

  12. Inverted bulk-heterojunction organic solar cells with the transfer-printed anodes and low-temperature-processed ultrathin buffer layers

    NASA Astrophysics Data System (ADS)

    Itoh, Eiji; Sakai, Shota; Fukuda, Katsutoshi

    2018-03-01

    We studied the effects of a hole buffer layer [molybdenum oxide (MoO3) and natural copper oxide layer] and a low-temperature-processed electron buffer layer on the performance of inverted bulk-heterojunction organic solar cells in a device consisting of indium-tin oxide (ITO)/poly(ethylene imine) (PEI)/titanium oxide nanosheet (TiO-NS)/poly(3-hexylthiopnehe) (P3HT):phenyl-C61-butyric acid methylester (PCBM)/oxide/anode (Ag or Cu). The insertion of ultrathin TiO-NS (˜1 nm) and oxide hole buffer layers improved the open circuit voltage V OC, fill factor, and rectification properties owing to the effective hole blocking and electron transport properties of ultrathin TiO-NS, and to the enhanced work function difference between TiO-NS and the oxide hole buffer layer. The insertion of the TiO-NS contributed to the reduction in the potential barrier at the ITO/PEI/TiO-NS/active layer interface for electrons, and the insertion of the oxide hole buffer layer contributed to the reduction in the potential barrier for holes. The marked increase in the capacitance under positive biasing in the capacitance-voltage characteristics revealed that the combination of TiO-NS and MoO3 buffer layers contributes to the selective transport of electrons and holes, and blocks counter carriers at the active layer/oxide interface. The natural oxide layer of the copper electrode also acts as a hole buffer layer owing to the increase in the work function of the Cu surface in the inverted cells. The performance of the cell with evaporated MoO3 and Cu layers that were transfer-printed to the active layer was almost comparable to that of the cell with MoO3 and Ag layers directly evaporated onto the active layer. We also demonstrated comparable device performance in the cell with all-printed MoO3 and low-temperature-processed silver nanoparticles as an anode.

  13. Ultrathin graphene oxide-based hollow fiber membranes with brush-like CO2-philic agent for highly efficient CO2 capture.

    PubMed

    Zhou, Fanglei; Tien, Huynh Ngoc; Xu, Weiwei L; Chen, Jung-Tsai; Liu, Qiuli; Hicks, Ethan; Fathizadeh, Mahdi; Li, Shiguang; Yu, Miao

    2017-12-13

    Among the current CO 2 capture technologies, membrane gas separation has many inherent advantages over other conventional techniques. However, fabricating gas separation membranes with both high CO 2 permeance and high CO 2 /N 2 selectivity, especially under wet conditions, is a challenge. In this study, sub-20-nm thick, layered graphene oxide (GO)-based hollow fiber membranes with grafted, brush-like CO 2 -philic agent alternating between GO layers are prepared by a facile coating process for highly efficient CO 2 /N 2 separation under wet conditions. Piperazine, as an effective CO 2 -philic agent, is introduced as a carrier-brush into the GO nanochannels with chemical bonding. The membrane exhibits excellent separation performance under simulated flue gas conditions with CO 2 permeance of 1,020 GPU and CO 2 /N 2 selectivity as high as 680, demonstrating its potential for CO 2 capture from flue gas. We expect this GO-based membrane structure combined with the facile coating process to facilitate the development of ultrathin GO-based membranes for CO 2 capture.

  14. Improvement in gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors using microwave irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jo, Kwang-Won; Cho, Won-Ju, E-mail: chowj@kw.ac.kr

    In this study, we evaluated the effects of microwave irradiation (MWI) post-deposition-annealing (PDA) treatment on the gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) and compared the results with a conventional thermal annealing PDA treatment. The MWI-PDA-treated a-IGZO TFTs exhibited enhanced electrical performance as well as improved long-term stability with increasing microwave power. The positive turn-on voltage shift (ΔV{sub ON}) as a function of stress time with positive bias and varying temperature was precisely modeled on a stretched-exponential equation, suggesting that charge trapping is a dominant mechanism in the instability of MWI-PDA-treated a-IGZO TFTs. The characteristicmore » trapping time and average effective barrier height for electron transport indicate that the MWI-PDA treatment effectively reduces the defects in a-IGZO TFTs, resulting in a superior resistance against gate bias stress.« less

  15. Radiation hardening of MOS devices by boron. [for stabilizing gate threshold potential of field effect device

    NASA Technical Reports Server (NTRS)

    Danchenko, V. (Inventor)

    1974-01-01

    A technique is described for radiation hardening of MOS devices and specifically for stabilizing the gate threshold potential at room temperature of a radiation subjected MOS field-effect device with a semiconductor substrate, an insulating layer of oxide on the substrate, and a gate electrode disposed on the insulating layer. The boron is introduced within a layer of the oxide of about 100 A-300 A thickness immediately adjacent the semiconductor-insulator interface. The concentration of boron in the oxide layer is preferably maintained on the order of 10 to the 18th power atoms/cu cm. The technique serves to reduce and substantially annihilate radiation induced positive gate charge accumulations.

  16. Bragg reflector based gate stack architecture for process integration of excimer laser annealing

    NASA Astrophysics Data System (ADS)

    Fortunato, G.; Mariucci, L.; Cuscunà, M.; Privitera, V.; La Magna, A.; Spinella, C.; Magrı, A.; Camalleri, M.; Salinas, D.; Simon, F.; Svensson, B.; Monakhov, E.

    2006-12-01

    An advanced gate stack structure, which incorporates a Bragg reflector, has been developed for the integration of excimer laser annealing into the power metal-oxide semiconductor (MOS) transistor fabrication process. This advanced gate structure effectively protects the gate stack from melting, thus solving the problem related to protrusion formation. By using this gate stack configuration, power MOS transistors were fabricated with improved electrical characteristics. The Bragg reflector based gate stack architecture can be applied to other device structures, such as scaled MOS transistors, thus extending the possibilities of process integration of excimer laser annealing.

  17. Effect of gate voltage polarity on the ionic liquid gating behavior of NdNiO 3/NdGaO 3 heterostructures

    DOE PAGES

    Dong, Yongqi; Xu, Haoran; Luo, Zhenlin; ...

    2017-05-16

    The effect of gate voltage polarity on the behavior of NdNiO 3 epitaxial thin films during ionic liquid gating is studied using in situ synchrotron X-ray techniques. We show that while negative biases have no discernible effect on the structure or composition of the films, large positive gate voltages result in the injection of a large concentration of oxygen vacancies (similar to 3%) and pronounced lattice expansion (0.17%) in addition to a 1000-fold increase in sheet resistance at room temperature. Despite the creation of large defect densities, the heterostructures exhibit a largely reversible switching behavior when sufficient time is providedmore » for the vacancies to migrate in and out of the thin film surface. The results confirm that electrostatic gating takes place at negative gate voltages for p-type complex oxides while positive voltages favor the electrochemical reduction of Ni 3+. Switching between positive and negative gate voltages therefore involves a combination of electronic and ionic doping processes that may be utilized in future electrochemical transistors.« less

  18. Nanogranular SiO2 proton gated silicon layer transistor mimicking biological synapses

    NASA Astrophysics Data System (ADS)

    Liu, M. J.; Huang, G. S.; Feng, P.; Guo, Q. L.; Shao, F.; Tian, Z. A.; Li, G. J.; Wan, Q.; Mei, Y. F.

    2016-06-01

    Silicon on insulator (SOI)-based transistors gated by nanogranular SiO2 proton conducting electrolytes were fabricated to mimic synapse behaviors. This SOI-based device has both top proton gate and bottom buried oxide gate. Electrical transfer properties of top proton gate show hysteresis curves different from those of bottom gate, and therefore, excitatory post-synaptic current and paired pulse facilitation (PPF) behavior of biological synapses are mimicked. Moreover, we noticed that PPF index can be effectively tuned by the spike interval applied on the top proton gate. Synaptic behaviors and functions, like short-term memory, and its properties are also experimentally demonstrated in our device. Such SOI-based electronic synapses are promising for building neuromorphic systems.

  19. Experimental Study of Floating-Gate-Type Metal-Oxide-Semiconductor Capacitors with Nanosize Triangular Cross-Sectional Tunnel Areas for Low Operating Voltage Flash Memory Application

    NASA Astrophysics Data System (ADS)

    Liu, Yongxun; Guo, Ruofeng; Kamei, Takahiro; Matsukawa, Takashi; Endo, Kazuhiko; O'uchi, Shinichi; Tsukada, Junichi; Yamauchi, Hiromi; Ishikawa, Yuki; Hayashida, Tetsuro; Sakamoto, Kunihiro; Ogura, Atsushi; Masahara, Meishoku

    2012-06-01

    The floating-gate (FG)-type metal-oxide-semiconductor (MOS) capacitors with planar (planar-MOS) and three-dimensional (3D) nanosize triangular cross-sectional tunnel areas (3D-MOS) have successfully been fabricated by introducing rapid thermal oxidation (RTO) and postdeposition annealing (PDA), and their electrical characteristics between the control gate (CG) and FG have been systematically compared. It was experimentally found in both planar- and 3D-MOS capacitors that the uniform and higher breakdown voltages are obtained by introducing RTO owing to the high-quality thermal oxide formation on the surface and etched edge regions of the n+ polycrystalline silicon (poly-Si) FG, and the leakage current is highly suppressed after PDA owing to the improved quality of the tetraethylorthosilicate (TEOS) silicon dioxide (SiO2) between CG and FG. Moreover, a lower breakdown voltage between CG and FG was obtained in the fabricated 3D-MOS capacitors as compared with that of planar-MOS capacitors thanks to the enhanced local electric field at the tips of triangular tunnel areas. The developed nanosize triangular cross-sectional tunnel area is useful for the fabrication of low operating voltage flash memories.

  20. Influence of gate recess on the electronic characteristics of β-Ga2O3 MOSFETs

    NASA Astrophysics Data System (ADS)

    Lv, Yuanjie; Mo, Jianghui; Song, Xubo; He, Zezhao; Wang, Yuangang; Tan, Xin; Zhou, Xingye; Gu, Guodong; Guo, Hongyu; Feng, Zhihong

    2018-05-01

    Gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with gate recess depths of 110 nm and 220 nm, respectively. The gate recess was formed by dry plasma etching with Cr metal as the mask. The fabricated devices with a 25-nm HfO2 gate dielectric both showed a low off-state drain current of about 1.8 × 10-10 A/mm. The effects of recess depth on the electronic characteristics of Ga2O3 MOSFETs were investigated. Upon increasing the recess depth from 110 nm to 220 nm, the saturated drain current decreased from 20.7 mA/mm to 2.6 mA/mm, while the threshold voltage moved increased to +3 V. Moreover, the breakdown voltage increased from 122 V to 190 V. This is mainly because the inverted-trapezoidal gate played the role of a gate-field plate, which suppressed the peak electric field close to the gate.

  1. Electrochemical Partial Reforming of Ethanol into Ethyl Acetate Using Ultrathin Co3O4 Nanosheets as a Highly Selective Anode Catalyst

    PubMed Central

    2016-01-01

    Electrochemical partial reforming of organics provides an alternative strategy to produce valuable organic compounds while generating H2 under mild conditions. In this work, highly selective electrochemical reforming of ethanol into ethyl acetate is successfully achieved by using ultrathin Co3O4 nanosheets with exposed (111) facets as an anode catalyst. Those nanosheets were synthesized by a one-pot, templateless hydrothermal method with the use of ammonia. NH3 was demonstrated critical to the overall formation of ultrathin Co3O4 nanosheets. With abundant active sites on Co3O4 (111), the as-synthesized ultrathin Co3O4 nanosheets exhibited enhanced electrocatalytic activities toward water and ethanol oxidations in alkaline media. More importantly, over the Co3O4 nanosheets, the electrooxidation from ethanol to ethyl acetate was so selective that no other oxidation products were yielded. With such a high selectivity, an electrolyzer cell using Co3O4 nanosheets as the anode electrocatalyst and Ni–Mo nanopowders as the cathode electrocatalyst has been successfully built for ethanol reforming. The electrolyzer cell was readily driven by a 1.5 V battery to achieve the effective production of both H2 and ethyl acetate. After the bulk electrolysis, about 95% of ethanol was electrochemically reformed into ethyl acetate. This work opens up new opportunities in designing a material system for building unique devices to generate both hydrogen and high-value organics at room temperature by utilizing electric energy from renewable sources. PMID:27610415

  2. Electrochemical Partial Reforming of Ethanol into Ethyl Acetate Using Ultrathin Co3O4 Nanosheets as a Highly Selective Anode Catalyst.

    PubMed

    Dai, Lei; Qin, Qing; Zhao, Xiaojing; Xu, Chaofa; Hu, Chengyi; Mo, Shiguang; Wang, Yu Olivia; Lin, Shuichao; Tang, Zichao; Zheng, Nanfeng

    2016-08-24

    Electrochemical partial reforming of organics provides an alternative strategy to produce valuable organic compounds while generating H2 under mild conditions. In this work, highly selective electrochemical reforming of ethanol into ethyl acetate is successfully achieved by using ultrathin Co3O4 nanosheets with exposed (111) facets as an anode catalyst. Those nanosheets were synthesized by a one-pot, templateless hydrothermal method with the use of ammonia. NH3 was demonstrated critical to the overall formation of ultrathin Co3O4 nanosheets. With abundant active sites on Co3O4 (111), the as-synthesized ultrathin Co3O4 nanosheets exhibited enhanced electrocatalytic activities toward water and ethanol oxidations in alkaline media. More importantly, over the Co3O4 nanosheets, the electrooxidation from ethanol to ethyl acetate was so selective that no other oxidation products were yielded. With such a high selectivity, an electrolyzer cell using Co3O4 nanosheets as the anode electrocatalyst and Ni-Mo nanopowders as the cathode electrocatalyst has been successfully built for ethanol reforming. The electrolyzer cell was readily driven by a 1.5 V battery to achieve the effective production of both H2 and ethyl acetate. After the bulk electrolysis, about 95% of ethanol was electrochemically reformed into ethyl acetate. This work opens up new opportunities in designing a material system for building unique devices to generate both hydrogen and high-value organics at room temperature by utilizing electric energy from renewable sources.

  3. Ultrathin planar hematite film for solar photoelectrochemical water splitting

    DOE PAGES

    Liu, Dong; Bierman, David M.; Lenert, Andrej; ...

    2015-10-08

    Hematite holds promise for photoelectrochemical (PEC) water splitting due to its stability, low-cost, abundance and appropriate bandgap. However, it suffers from a mismatch between the hole diffusion length and light penetration length. We have theoretically designed and characterized an ultrathin planar hematite/silver nanohole array/silver substrate photoanode. Due to the supported destructive interference and surface plasmon resonance, photons are efficiently absorbed in an ultrathin hematite film. In conclusion, compared with ultrathin hematite photoanodes with nanophotonic structures, this photoanode has comparable photon absorption but with intrinsically lower recombination losses due to its planar structure and promises to exceed the state-of-the-art photocurrent ofmore » hematite photoanodes.« less

  4. Leakage current conduction in metal gate junctionless nanowire transistors

    NASA Astrophysics Data System (ADS)

    Oproglidis, T. A.; Karatsori, T. A.; Barraud, S.; Ghibaudo, G.; Dimitriadis, C. A.

    2017-05-01

    In this paper, the experimental off-state drain leakage current behavior is systematically explored in n- and p-channel junctionless nanowire transistors with HfSiON/TiN/p+-polysilicon gate stack. The analysis of the drain leakage current is based on experimental data of the gate leakage current. It has been shown that the off-state drain leakage current in n-channel devices is negligible, whereas in p-channel devices it is significant and dramatically increases with drain voltage. The overall results indicate that the off-state drain leakage current in p-channel devices is mainly due to trap-assisted Fowler-Nordheim tunneling of electrons through the gate oxide of electrons from the metal gate to the silicon layer near the drain region.

  5. pH sensing characteristics and biosensing application of solution-gated reduced graphene oxide field-effect transistors.

    PubMed

    Sohn, Il-Yung; Kim, Duck-Jin; Jung, Jin-Heak; Yoon, Ok Ja; Thanh, Tien Nguyen; Quang, Trung Tran; Lee, Nae-Eung

    2013-07-15

    Solution-gated reduced graphene oxide field-effect transistors (R-GO FETs) were investigated for pH sensing and biochemical sensing applications. A channel of a networked R-GO film formed by self-assembly was incorporated as a sensing layer into a solution-gated FET structure for pH sensing and the detection of acetylcholine (Ach), which is a neurotransmitter in the nerve system, through enzymatic reactions. The fabricated R-GO FET was sensitive to protons (H(+)) with a pH sensitivity of 29 mV/pH in terms of the shift of the charge neutrality point (CNP), which is attributed to changes in the surface potential caused by the interaction of protons with OH surface functional groups present on the R-GO surface. The R-GO FET immobilized with acetylcholinesterase (AchE) was used to detect Ach in the concentration range of 0.1-10mM by sensing protons generated during the enzymatic reactions. The results indicate that R-GO FETs provide the capability to detect protons, demonstrating their applicability as a biosensing device for enzymatic reactions. Copyright © 2013 Elsevier B.V. All rights reserved.

  6. "Self-Peel-Off" Transfer Produces Ultrathin Polyvinylidene-Fluoride-Based Flexible Nanodevices.

    PubMed

    Tai, Yanlong; Lubineau, Gilles

    2017-04-01

    Here, a new strategy, self-peel-off transfer, for the preparation of ultrathin flexible nanodevices made from polyvinylidene-fluoride (PVDF) is reported. In this process, a functional pattern of nanoparticles is transferred via peeling from a temporary substrate to the final PVDF film. This peeling process takes advantage of the differences in the work of adhesion between the various layers (the PVDF layer, the nanoparticle-pattern layer and the substrate layer) and of the high stresses generated by the differential thermal expansion of the layers. The work of adhesion is mainly guided by the basic physical/chemical properties of these layers and is highly sensitive to variations in temperature and moisture in the environment. The peeling technique is tested on a variety of PVDF-based functional films using gold/palladium nanoparticles, carbon nanotubes, graphene oxide, and lithium iron phosphate. Several PVDF-based flexible nanodevices are prepared, including a single-sided wireless flexible humidity sensor in which PVDF is used as the substrate and a double-sided flexible capacitor in which PVDF is used as the ferroelectric layer and the carrier layer. Results show that the nanodevices perform with high repeatability and stability. Self-peel-off transfer is a viable preparation strategy for the design and fabrication of flexible, ultrathin, and light-weight nanodevices.

  7. Evolution of electronic states in n-type copper oxide superconductor via electric double layer gating

    NASA Astrophysics Data System (ADS)

    Jin, Kui; Hu, Wei; Zhu, Beiyi; Kim, Dohun; Yuan, Jie; Sun, Yujie; Xiang, Tao; Fuhrer, Michael S.; Takeuchi, Ichiro; Greene, Richard. L.

    2016-05-01

    The occurrence of electrons and holes in n-type copper oxides has been achieved by chemical doping, pressure, and/or deoxygenation. However, the observed electronic properties are blurred by the concomitant effects such as change of lattice structure, disorder, etc. Here, we report on successful tuning the electronic band structure of n-type Pr2-xCexCuO4 (x = 0.15) ultrathin films, via the electric double layer transistor technique. Abnormal transport properties, such as multiple sign reversals of Hall resistivity in normal and mixed states, have been revealed within an electrostatic field in range of -2 V to + 2 V, as well as varying the temperature and magnetic field. In the mixed state, the intrinsic anomalous Hall conductivity invokes the contribution of both electron and hole-bands as well as the energy dependent density of states near the Fermi level. The two-band model can also describe the normal state transport properties well, whereas the carrier concentrations of electrons and holes are always enhanced or depressed simultaneously in electric fields. This is in contrast to the scenario of Fermi surface reconstruction by antiferromagnetism, where an anti-correlation is commonly expected.

  8. A room temperature process for the fabrication of amorphous indium gallium zinc oxide thin-film transistors with co-sputtered Zr x Si1- x O2 Gate dielectric and improved electrical and hysteresis performance

    NASA Astrophysics Data System (ADS)

    Hung, Chien-Hsiung; Wang, Shui-Jinn; Liu, Pang-Yi; Wu, Chien-Hung; Wu, Nai-Sheng; Yan, Hao-Ping; Lin, Tseng-Hsing

    2017-04-01

    The use of co-sputtered zirconium silicon oxide (Zr x Si1- x O2) gate dielectrics to improve the gate controllability of amorphous indium gallium zinc oxide (α-IGZO) thin-film transistors (TFTs) through a room-temperature fabrication process is proposed and demonstrated. With the sputtering power of the SiO2 target in the range of 0-150 W and with that of the ZrO2 target kept at 100 W, a dielectric constant ranging from approximately 28.1 to 7.8 is obtained. The poly-structure formation immunity of the Zr x Si1- x O2 dielectrics, reduction of the interface trap density suppression, and gate leakage current are examined. Our experimental results reveal that the Zr0.85Si0.15O2 gate dielectric can lead to significantly improved TFT subthreshold swing performance (103 mV/dec) and field effect mobility (33.76 cm2 V-1 s-1).

  9. Fabrication and Characterization of Ultrathin-ring Electrodes for Pseudo-steady-state Amperometric Detection.

    PubMed

    Kitazumi, Yuki; Hamamoto, Katsumi; Noda, Tatsuo; Shirai, Osamu; Kano, Kenji

    2015-01-01

    The fabrication of ultrathin-ring electrodes with a diameter of 2 mm and a thickness of 100 nm is established. The ultrathin-ring electrodes provide a large density of pseudo-steady-state currents, and realize pseudo-steady-state amperometry under quiescent conditions without a Faraday cage. Under the limiting current conditions, the current response at the ultrathin-ring electrode can be well explained by the theory of the microband electrode response. Cyclic voltammograms at the ultrathin-ring electrode show sigmoidal characteristics with some hysteresis. Numerical simulation reveals that the hysteresis can be ascribed to the time-dependence of pseudo-steady-state current. The performance of amperometry with the ultrathin-ring electrode has been verified in its application to redox enzyme kinetic measurements.

  10. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO₂ Gate Dielectrics by CF₄ Plasma Treatment.

    PubMed

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-05-17

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.

  11. Fabrication of Inverted Bulk-Heterojunction Organic Solar Cell with Ultrathin Titanium Oxide Nanosheet as an Electron-Extracting Buffer Layer

    NASA Astrophysics Data System (ADS)

    Itoh, Eiji; Maruyama, Yasutake; Fukuda, Katsutoshi

    2012-02-01

    The contributions and deposition conditions of ultrathin titania nanosheet (TN) crystallites were studied in an inverted bulk-heterojunction (BHJ) cell in indium tin oxide (ITO)/titania nanosheet/poly(3-hexylthiophene) (P3HT):phenyl-C61-butyric acid methylester (PCBM) active layer/MoOx/Ag multilayered photovoltaic devices. Only one or two layers of poly(diallyldimethylammonium chloride) (PDDA) and TN multilayered film deposited by the layer-by-layer deposition technique effectively decreased the leakage current and increased both open circuit voltage (VOC) and fill factor (FF), and power conversion efficiency (η) was increased nearly twofold by the insertion of two TN layers. The deposition of additional TN layers caused the reduction in FF, and the abnormal S-shaped curves above VOC for the devices with three and four TN layers were ascribed to the interfacial potential barrier at the ITO/TN interface and the series resistance across the multilayers of TN and PDDA. The performance of the BHJ cell with TN was markedly improved, and the S-shaped curves were eliminated following the the insertion of anatase-phase titanium dioxide between the ITO and TN layers owing to the decrease in the interfacial potential barrier.

  12. Mechanically Assisted Self-Healing of Ultrathin Gold Nanowires.

    PubMed

    Wang, Binjun; Han, Ying; Xu, Shang; Qiu, Lu; Ding, Feng; Lou, Jun; Lu, Yang

    2018-04-17

    As the critical feature sizes of integrated circuits approaching sub-10 nm, ultrathin gold nanowires (diameter <10 nm) have emerged as one of the most promising candidates for next-generation interconnects in nanoelectronics. Also due to their ultrasmall dimensions, however, the structures and morphologies of ultrathin gold nanowires are more prone to be damaged during practical services, for example, Rayleigh instability can significantly alter their morphologies upon Joule heating, hindering their applications as interconnects. Here, it is shown that upon mechanical perturbations, predamaged, nonuniform ultrathin gold nanowires can quickly recover into uniform diameters and restore their smooth surfaces, via a simple mechanically assisted self-healing process. By examining the local self-healing process through in situ high-resolution transmission electron microscopy, the underlying mechanism is believed to be associated with surface atomic diffusion as evidenced by molecular dynamics simulations. In addition, mechanical manipulation can assist the atoms to overcome the diffusion barriers, as suggested by ab initio calculations, to activate more surface adatoms to diffuse and consequently speed up the self-healing process. This result can provide a facile method to repair ultrathin metallic nanowires directly in functional devices, and quickly restore their microstructures and morphologies by simple global mechanical perturbations. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Naturally formed ultrathin V2O5 heteroepitaxial layer on VO2/sapphire(001) film

    NASA Astrophysics Data System (ADS)

    Littlejohn, Aaron J.; Yang, Yunbo; Lu, Zonghuan; Shin, Eunsung; Pan, KuanChang; Subramanyam, Guru; Vasilyev, Vladimir; Leedy, Kevin; Quach, Tony; Lu, Toh-Ming; Wang, Gwo-Ching

    2017-10-01

    Vanadium dioxide (VO2) and vanadium pentoxide (V2O5) thin films change their properties in response to external stimuli such as photons, temperature, electric field and magnetic field and have applications in electronics, optical devices, and sensors. Due to the multiple valence states of V and non-stoichiometry in thin films, it is challenging to grow epitaxial, single-phase V-oxide on a substrate, or a heterostructure of two epitaxial V-oxides. We report the formation of a heterostructure consisting of a few nm thick ultrathin V2O5 epitaxial layer on pulsed laser deposited tens of nm thick epitaxial VO2 thin films grown on single crystal Al2O3(001) substrates without post annealing of the VO2 film. The simultaneous observation of the ultrathin epitaxial V2O5 layer and VO2 epitaxial film is only possible by our unique reflection high energy electron diffraction pole figure analysis. The out-of-plane and in-plane epitaxial relationships are V2O5[100]||VO2[010]||Al2O3[001] and V2O5[03 2 bar ]||VO2[100]||Al2O3[1 1 bar 0], respectively. The existence of the V2O5 layer on the surface of the VO2 film is also supported by X-ray photoelectron spectroscopy and Raman spectroscopy.

  14. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  15. Curie temperature of ultrathin ferromagnetic layer with Dzyaloshinskii-Moriya interaction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    You, Chun-Yeol

    2014-08-07

    We investigate the effect of the Dzyaloshinskii-Moriya interaction (DMI) on the Curie temperature of the ultrathin ferromagnetic layers. It has been known that the Curie temperature of the ferromagnet depends on spin wave excitation energies, and they are affected by DMI. Therefore, the ferromagnetic transition temperature of the ultrathin ferromagnetic layer must be sensitive on the DMI. We find that the Curie temperature depends on the DMI by using the double time Green's function method. Since the DMI is arisen by the inversion symmetry breaking structure, the DMI is always important in the inversion symmetry breaking ultrathin ferromagnetic layers.

  16. Electrostatically Gated Graphene-Zinc Oxide Nanowire Heterojunction.

    PubMed

    You, Xueqiu; Pak, James Jungho

    2015-03-01

    This paper presents an electrostatically gated graphene-ZnO nanowire (NW) heterojunction for the purpose of device applications for the first time. A sub-nanometer-thick energy barrier width was formed between a monatomic graphene layer and electrochemically grown ZnO NWs. Because of the narrow energy barrier, electrons can tunnel through the barrier when a voltage is applied across the junction. A near-ohmic current-voltage (I-V) curve was obtained from the graphene-electrochemically grown ZnO NW heterojunction. This near-ohmic contact changed to asymmetric I-V Schottky contact when the samples were exposed to an oxygen environment. It is believed that the adsorbed oxygen atoms or molecules on the ZnO NW surface capture free electrons of the ZnO NWs, thereby creating a depletion region in the ZnO NWs. Consequentially, the electron concentration in the ZnO NWs is dramatically reduced, and the energy barrier width of the graphene-ZnO NW heterojunction increases greatly. This increased energy barrier width reduces the electron tunneling probability, resulting in a typical Schottky contact. By adjusting the back-gate voltage to control the graphene-ZnO NW Schottky energy barrier height, a large modulation on the junction current (on/off ratio of 10(3)) was achieved.

  17. Gate protective device for SOS array

    NASA Technical Reports Server (NTRS)

    Meyer, J. E., Jr.; Scott, J. H.

    1972-01-01

    Protective gate device consisting of alternating heavily doped n(+) and p(+) diffusions eliminates breakdown voltages in silicon oxide on sapphire arrays caused by electrostatic discharge from person or equipment. Diffusions are easily produced during normal double epitaxial processing. Devices with nine layers had 27-volt breakdown.

  18. Extracellular ultrathin fibers sensitive to intracellular reactive oxygen species: Formation of intercellular membrane bridges

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Se-Hui; Park, Jin-Young; Joo, Jung-Hoon

    2011-07-15

    Membrane bridges are key cellular structures involved in intercellular communication; however, dynamics for their formation are not well understood. We demonstrated the formation and regulation of novel extracellular ultrathin fibers in NIH3T3 cells using confocal and atomic force microscopy. At adjacent regions of neighboring cells, phorbol 12-myristate 13-acetate (PMA) and glucose oxidase induced ultrathin fiber formation, which was prevented by Trolox, a reactive oxygen species (ROS) scavenger. The height of ROS-sensitive ultrathin fibers ranged from 2 to 4 nm. PMA-induced formation of ultrathin fibers was inhibited by cytochalasin D, but not by Taxol or colchicine, indicating that ultrathin fibers mainlymore » comprise microfilaments. PMA-induced ultrathin fibers underwent dynamic structural changes, resulting in formation of intercellular membrane bridges. Thus, these fibers are formed by a mechanism(s) involving ROS and involved in formation of intercellular membrane bridges. Furthermore, ultrastructural imaging of ultrathin fibers may contribute to understanding the diverse mechanisms of cell-to-cell communication and the intercellular transfer of biomolecules, including proteins and cell organelles.« less

  19. A manufacturable process integration approach for graphene devices

    NASA Astrophysics Data System (ADS)

    Vaziri, Sam; Lupina, Grzegorz; Paussa, Alan; Smith, Anderson D.; Henkel, Christoph; Lippert, Gunther; Dabrowski, Jarek; Mehr, Wolfgang; Östling, Mikael; Lemme, Max C.

    2013-06-01

    In this work, we propose an integration approach for double gate graphene field effect transistors. The approach includes a number of process steps that are key for future integration of graphene in microelectronics: bottom gates with ultra-thin (2 nm) high-quality thermally grown SiO2 dielectrics, shallow trench isolation between devices and atomic layer deposited Al2O3 top gate dielectrics. The complete process flow is demonstrated with fully functional GFET transistors and can be extended to wafer scale processing. We assess, through simulation, the effects of the quantum capacitance and band bending in the silicon substrate on the effective electric fields in the top and bottom gate oxide. The proposed process technology is suitable for other graphene-based devices such as graphene-based hot electron transistors and photodetectors.

  20. Facile synthesis of self-assembled ultrathin α-FeOOH nanorod/graphene oxide composites for supercapacitors.

    PubMed

    Wei, Yuxue; Ding, Ruimin; Zhang, Chenghua; Lv, Baoliang; Wang, Yi; Chen, Chengmeng; Wang, Xiaoping; Xu, Jian; Yang, Yong; Li, Yongwang

    2017-10-15

    A one-pot facile, impurity-free hydrothermal method to synthesize ultrathin α-FeOOH nanorods/graphene oxide (GO) composites is reported. It is directly synthesized from GO and iron acetate in water solution without inorganic or organic additives. XRD, Raman, FT-IR, XPS and TEM are used to characterize the samples. The nanorods in composites are single crystallite with an average diameter of 6nm and an average length of 75nm, which are significantly smaller than GO-free α-FeOOH nanorods. This can be attributed to the confinement effect and special electronic influence of GO. The influences of experimental conditions including reaction time and reactant concentration on the sizes of nanorods have been investigated. It reveals that the initial Fe 2+ concentration and reaction time play an important role in the synthetic process. Furthermore, a possible nucleation-growth mechanism is proposed. As electrode materials for supercapacitors, the α-FeOOH nanorods/GO composite with 20% iron loading has the largest specific capacitance (127Fg -1 at 10Ag -1 ), excellent rate capability (100Fg -1 at 20Ag -1 ) and good cyclic performance (85% capacitance retention after 2000 cycles), which is much better than GO-free α-FeOOH nanorods. This unique structure results in rapid electrolyte ions diffusion, fast electron transport and high charging-discharging rate. In virtue of the superior electrochemical performance, the α-FeOOH nanorods/GO composite material has a promising application in high-performance supercapacitors. Copyright © 2017 Elsevier Inc. All rights reserved.

  1. Negative differential resistance in nickel octabutoxy phthalocyanine and nickel octabutoxy phthalocyanine/graphene oxide ultrathin films

    NASA Astrophysics Data System (ADS)

    Sarkar, Arup; Suresh, K. A.

    2018-04-01

    We find negative differential resistance (NDR) at room temperature in ultrathin films of nickel (II) 1,4,8,11,15,18,22,25-octabutoxy-29H,31H-phthalocyanine [NiPc(OBu)8] deposited on highly ordered pyrolytic graphite (HOPG) substrate [NiPc(OBu)8/HOPG] and NiPc(OBu)8 on graphene oxide (GO) deposited on HOPG [NiPc(OBu)8/GO/HOPG]. For the NiPc(OBu)8/HOPG system, NiPc(OBu)8 was transferred four times onto HOPG by the Langmuir-Blodgett (LB) technique. We have prepared a stable Langmuir monolayer of amphiphilic GO at the air-water interface and transferred it onto HOPG by the LB technique. Further, the monolayer of NiPc(OBu)8 was transferred four times for good coverage on GO to obtain the NiPc(OBu)8/GO/HOPG system. The current-voltage characteristics were carried out using a current sensing atomic force microscope (CSAFM) with a platinum (Pt) tip that forms Pt/NiPc(OBu)8/HOPG and Pt/NiPc(OBu)8/GO/HOPG junctions. The CSAFM, UV-visible spectroscopy, and cyclic voltammetry studies show that the NDR effect occurs due to molecular resonant tunneling. In the Pt/NiPc(OBu)8/GO/HOPG junction, we find that due to the presence of GO, the features of NDR become more prominent. Also, GO causes a shift in NDR voltage towards a lower value in the negative bias direction. We attribute this behavior to the role of GO in injecting holes into the NiPc(OBu)8 film.

  2. Inducing electric polarization in ultrathin insulating layers

    NASA Astrophysics Data System (ADS)

    Martinez-Castro, Jose; Piantek, Marten; Persson, Mats; Serrate, David; Hirjibehedin, Cyrus F.

    Studies of ultrathin polar oxide films have attracted the interest of researchers for a long time due to their different properties compared to bulk materials. However they present several challenges such as the difficulty in the stabilization of the polar surfaces and the limited success in tailoring their properties. Moreover, recently developed Van der Waals materials have shown that the stacking of 2D-layers trigger new collective states thanks to the interaction between layers. Similarly, interface phenomena emerge in polar oxides, like induced ferroelectricity. This represents a promising way for the creation of new materials with customized properties that differ from those of the isolated layers. Here we present a new approach for the fabrication and study of atomically thin insulating films. We show that the properties of insulating polar layers of sodium chloride (NaCl) can be engineered when they are placed on top of a charge modulated template of copper nitride (Cu2N). STM studies carried out in ultra-high vacuum and at low temperatures over NaCl/Cu2N/Cu(001) show that we are able to build up and stabilize interfaces of polar surface at the limit of one atomic layer showing new properties not present before at the atomic scale.

  3. Static and low frequency noise characterization of ultra-thin body InAs MOSFETs

    NASA Astrophysics Data System (ADS)

    Karatsori, T. A.; Pastorek, M.; Theodorou, C. G.; Fadjie, A.; Wichmann, N.; Desplanque, L.; Wallart, X.; Bollaert, S.; Dimitriadis, C. A.; Ghibaudo, G.

    2018-05-01

    A complete static and low frequency noise characterization of ultra-thin body InAs MOSFETs is presented. Characterization techniques, such as the well-known Y-function method established for Si MOSFETs, are applied in order to extract the electrical parameters and study the behavior of these research grade devices. Additionally, the Lambert-W function parameter extraction methodology valid from weak to strong inversion is also used in order to verify its applicability in these experimental level devices. Moreover, a low-frequency noise characterization of the UTB InAs MOSFETs is presented, revealing carrier trapping/detrapping in slow oxide traps and remote Coulomb scattering as origin of 1/f noise, which allowed for the extraction of the oxide trap areal density. Finally, Lorentzian-like noise is also observed in the sub-micron area devices and attributed to both Random Telegraph Noise from oxide individual traps and g-r noise from the semiconductor interface.

  4. Stability of Polymer Ultrathin Films (<7 nm) Made by a Top-Down Approach.

    PubMed

    Bal, Jayanta Kumar; Beuvier, Thomas; Unni, Aparna Beena; Chavez Panduro, Elvia Anabela; Vignaud, Guillaume; Delorme, Nicolas; Chebil, Mohamed Souheib; Grohens, Yves; Gibaud, Alain

    2015-08-25

    In polymer physics, the dewetting of spin-coated polystyrene ultrathin films on silicon remains mysterious. By adopting a simple top-down method based on good solvent rinsing, we are able to prepare flat polystyrene films with a controlled thickness ranging from 1.3 to 7.0 nm. Their stability was scrutinized after a classical annealing procedure above the glass transition temperature. Films were found to be stable on oxide-free silicon irrespective of film thickness, while they were unstable (<2.9 nm) and metastable (>2.9 nm) on 2 nm oxide-covered silicon substrates. The Lifshitz-van der Waals intermolecular theory that predicts the domains of stability as a function of the film thickness and of the substrate nature is now fully reconciled with our experimental observations. We surmise that this reconciliation is due to the good solvent rinsing procedure that removes the residual stress and/or the density variation of the polystyrene films inhibiting thermodynamically the dewetting on oxide-free silicon.

  5. Characteristics of high-k gate dielectric formed by the oxidation of sputtered Hf/Zr/Hf thin films on the Si substrate

    NASA Astrophysics Data System (ADS)

    Kim, H. D.; Roh, Y.; Lee, J. E.; Kang, H.-B.; Yang, C.-W.; Lee, N.-E.

    2004-07-01

    We have investigated the effects of high temperature annealing on the physical and electrical properties of multilayered high-k gate oxide [HfSixOy/HfO2/intermixed-layer(IL)/ZrO2/intermixed-layer(IL)/HfO2] in metal-oxide-semiconductor device. The multilayered high-k films were formed after oxidizing the Hf/Zr/Hf films deposited directly on the Si substrate. The subsequent N2 annealing at high temperature (>= 700 °C) not only results in the polycrystallization of the multilayered high-k films, but also causes the diffusion of Zr. The latter transforms the HfSixOy/HfO2/IL/ZrO2/IL/HfO2 film into the Zr-doped HfO2 film, and improves electrical properties in general. However, the thin SiOx interfacial layer starts to form if annealing temperature increases over 700 °C, deteriorating the equivalent oxide thickness. .

  6. Loss/gain-induced ultrathin antireflection coatings

    PubMed Central

    Luo, Jie; Li, Sucheng; Hou, Bo; Lai, Yun

    2016-01-01

    Tradional antireflection coatings composed of dielectric layers usually require the thickness to be larger than quarter wavelength. Here, we demonstrate that materials with permittivity or permeability dominated by imaginary parts, i.e. lossy or gain media, can realize non-resonant antireflection coatings in deep sub-wavelength scale. Interestingly, while the reflected waves are eliminated as in traditional dielectric antireflection coatings, the transmitted waves can be enhanced or reduced, depending on whether gain or lossy media are applied, respectively. We provide a unified theory for the design of such ultrathin antireflection coatings, showing that under different polarizations and incident angles, different types of ultrathin coatings should be applied. Especially, under transverse magnetic polarization, the requirement shows a switch between gain and lossy media at Brewster angle. As a proof of principle, by using conductive films as a special type of lossy antireflection coatings, we experimentally demonstrate the suppression of Fabry-Pérot resonances in a broad frequency range for microwaves. This valuable functionality can be applied to remove undesired resonant effects, such as the frequency-dependent side lobes induced by resonances in dielectric coverings of antennas. Our work provides a guide for the design of ultrathin antireflection coatings as well as their applications in broadband reflectionless devices. PMID:27349750

  7. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    PubMed

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  8. Near-thermal limit gating in heavily doped III-V semiconductor nanowires using polymer electrolytes

    NASA Astrophysics Data System (ADS)

    Ullah, A. R.; Carrad, D. J.; Krogstrup, P.; Nygârd, J.; Micolich, A. P.

    2018-02-01

    Doping is a common route to reducing nanowire transistor on-resistance but it has limits. A high doping level gives significant loss in gate performance and ultimately complete gate failure. We show that electrolyte gating remains effective even when the Be doping in our GaAs nanowires is so high that traditional metal-oxide gates fail. In this regime we obtain a combination of subthreshold swing and contact resistance that surpasses the best existing p -type nanowire metal-oxide semiconductor field-effect transistors (MOSFETs). Our subthreshold swing of 75 mV/dec is within 25 % of the room-temperature thermal limit and comparable with n -InP and n -GaAs nanowire MOSFETs. Our results open a new path to extending the performance and application of nanowire transistors, and motivate further work on improved solid electrolytes for nanoscale device applications.

  9. Performance characteristics of a nanoscale double-gate reconfigurable array

    NASA Astrophysics Data System (ADS)

    Beckett, Paul

    2008-12-01

    The double gate transistor is a promising device applicable to deep sub-micron design due to its inherent resistance to short-channel effects and superior subthreshold performance. Using both TCAD and SPICE circuit simulation, it is shown that the characteristics of fully depleted dual-gate thin-body Schottky barrier silicon transistors will not only uncouple the conflicting requirements of high performance and low standby power in digital logic, but will also allow the development of a locally-connected reconfigurable computing mesh. The magnitude of the threshold shift effect will scale with device dimensions and will remain compatible with oxide reliability constraints. A field-programmable architecture based on the double gate transistor is described in which the operating point of the circuit is biased via one gate while the other gate is used to form the logic array, such that complex heterogeneous computing functions may be developed from this homogeneous, mesh-connected organization.

  10. Improved interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with HfTiON as gate dielectric and TaON as passivation interlayer

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Zhu, S. Y.; Huang, Y.; Lai, P. T.

    2013-08-01

    The interfacial and electrical properties of sputtered HfTiON on sulfur-passivated GaAs with or without TaON as interfacial passivation layer (IPL) are investigated. Experimental results show that the GaAs metal-oxide-semiconductor capacitor with HfTiON/TaON stacked gate dielectric annealed at 600 °C exhibits low interface-state density (1.0 × 1012 cm-2 eV-1), small gate leakage current (7.3 × 10-5 A cm-2 at Vg = Vfb + 1 V), small capacitance equivalent thickness (1.65 nm), and large equivalent dielectric constant (26.2). The involved mechanisms lie in the fact that the TaON IPL can effectively block the diffusions of Hf, Ti, and O towards GaAs surface and suppress the formation of interfacial As-As bonds, Ga-/As-oxides, thus unpinning the Femi level at the TaON/GaAs interface and improving the interface quality and electrical properties of the device.

  11. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  12. CMOS Active-Pixel Image Sensor With Simple Floating Gates

    NASA Technical Reports Server (NTRS)

    Fossum, Eric R.; Nakamura, Junichi; Kemeny, Sabrina E.

    1996-01-01

    Experimental complementary metal-oxide/semiconductor (CMOS) active-pixel image sensor integrated circuit features simple floating-gate structure, with metal-oxide/semiconductor field-effect transistor (MOSFET) as active circuit element in each pixel. Provides flexibility of readout modes, no kTC noise, and relatively simple structure suitable for high-density arrays. Features desirable for "smart sensor" applications.

  13. Omnidirectional, broadband light absorption using large-area, ultrathin lossy metallic film coatings

    NASA Astrophysics Data System (ADS)

    Li, Zhongyang; Palacios, Edgar; Butun, Serkan; Kocer, Hasan; Aydin, Koray

    2015-10-01

    Resonant absorbers based on nanostructured materials are promising for variety of applications including optical filters, thermophotovoltaics, thermal emitters, and hot-electron collection. One of the significant challenges for such micro/nanoscale featured medium or surface, however, is costly lithographic processes for structural patterning which restricted from industrial production of complex designs. Here, we demonstrate lithography-free, broadband, polarization-independent optical absorbers based on a three-layer ultrathin film composed of subwavelength chromium (Cr) and oxide film coatings. We have measured almost perfect absorption as high as 99.5% across the entire visible regime and beyond (400-800 nm). In addition to near-ideal absorption, our absorbers exhibit omnidirectional independence for incidence angle over ±60 degrees. Broadband absorbers introduced in this study perform better than nanostructured plasmonic absorber counterparts in terms of bandwidth, polarization and angle independence. Improvements of such “blackbody” samples based on uniform thin-film coatings is attributed to extremely low quality factor of asymmetric highly-lossy Fabry-Perot cavities. Such broadband absorber designs are ultrathin compared to carbon nanotube based black materials, and does not require lithographic processes. This demonstration redirects the broadband super absorber design to extreme simplicity, higher performance and cost effective manufacturing convenience for practical industrial production.

  14. NOL specular spin-valve heads using an ultrathin CoFe free layer

    NASA Astrophysics Data System (ADS)

    Fukuzawa, Hideaki; Koi, Katsuhiko; Tomita, Hiroshi; Fuke, Hiromi Niu; Kamiguchi, Yuzo; Iwasaki, Hitoshi; Sahashi, Masashi

    2001-10-01

    This paper reports the film and head performance of specular spin valves with nano-oxide layer (NOL-SPSV). A large MR ratio of 17% was obtained by using an ultrathin CoFe free layer with a high conductance Cu layer, which decreases the sense current field of a free layer and brings good soft magnetic characteristics. Prototype heads with a read track width of 0.47-0.61 μm were fabricated by using NOL-SPSV films with an MR ratio of 14-15%, Hua˜400 Oe, and Hc˜5 Oe. High output signal voltage of 8-11 mV/μm was realized in the NOL-SPSV heads.

  15. 49 CFR 234.255 - Gate arm and gate mechanism.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 49 Transportation 4 2010-10-01 2010-10-01 false Gate arm and gate mechanism. 234.255 Section 234... Maintenance, Inspection, and Testing Inspections and Tests § 234.255 Gate arm and gate mechanism. (a) Each gate arm and gate mechanism shall be inspected at least once each month. (b) Gate arm movement shall be...

  16. 49 CFR 234.255 - Gate arm and gate mechanism.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 4 2011-10-01 2011-10-01 false Gate arm and gate mechanism. 234.255 Section 234... Maintenance, Inspection, and Testing Inspections and Tests § 234.255 Gate arm and gate mechanism. (a) Each gate arm and gate mechanism shall be inspected at least once each month. (b) Gate arm movement shall be...

  17. Ultrathin Bi2WO6 nanosheet decorated with Pt nanoparticles for efficient formaldehyde removal at room temperature

    NASA Astrophysics Data System (ADS)

    Sun, Dong; Le, Yao; Jiang, Chuanjia; Cheng, Bei

    2018-05-01

    Two-dimensional (2D) ultrathin bismuth tungstate (Bi2WO6) nanosheets (BWO-NS) with a thickness of approximately 4.0 nm were synthesized by a one-step hydrothermal method, and decorated with platinum (Pt) nanoparticles (NPs) via an impregnation/borohydride-reduction approach. The as-prepared ultrathin Pt-BWO-NS exhibited superior catalytic activity for removing gaseous formaldehyde (HCHO) at ambient temperature, in comparison with bulk counterpart with Bi2WO6 sheet thickness of tens of nanometers. The ultrathin structure endowed the Pt-BWO-NS sample with larger specific surface area, which can provide abundant surface active sites for HCHO adsorption and facilitate the homogeneous dispersion of Pt NPs. X-ray photoelectron spectroscopy and hydrogen temperature-programmed reduction analyses revealed the interaction between the Bi2WO6 support and Pt species, which is crucial for activating surface oxygen atoms to participate in the catalytic HCHO oxidation process. By conducting in situ diffuse reflectance infrared Fourier transform spectroscopy under different atmospheres, i.e., gaseous HCHO in nitrogen or oxygen (O2), the reaction mechanism and the role of O2 were elucidated, with dioxymethylene, formate and linearly adsorbed carbon monoxide identified as the main reaction intermediates. This study may provide new enlightenment on fabricating novel 2D nanomaterials for efficient indoor air purification and potentially other environmental applications.

  18. A high-mobility electronic system at an electrolyte-gated oxide surface

    DOE PAGES

    Gallagher, Patrick; Lee, Menyoung; Petach, Trevor A.; ...

    2015-03-12

    Electrolyte gating is a powerful technique for accumulating large carrier densities at a surface. Yet this approach suffers from significant sources of disorder: electrochemical reactions can damage or alter the sample, and the ions of the electrolyte and various dissolved contaminants sit Angstroms from the electron system. Accordingly, electrolyte gating is well suited to studies of superconductivity and other phenomena robust to disorder, but of limited use when reactions or disorder must be avoided. Here we demonstrate that these limitations can be overcome by protecting the sample with a chemically inert, atomically smooth sheet of hexagonal boron nitride. We illustratemore » our technique with electrolyte-gated strontium titanate, whose mobility when protected with boron nitride improves more than 10-fold while achieving carrier densities nearing 10 14 cm –2. In conclusion, our technique is portable to other materials, and should enable future studies where high carrier density modulation is required but electrochemical reactions and surface disorder must be minimized.« less

  19. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  20. 49 CFR 234.255 - Gate arm and gate mechanism.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 49 Transportation 4 2013-10-01 2013-10-01 false Gate arm and gate mechanism. 234.255 Section 234....255 Gate arm and gate mechanism. (a) Each gate arm and gate mechanism shall be inspected at least once each month. (b) Gate arm movement shall be observed for proper operation at least once each month. (c...

  1. 49 CFR 234.255 - Gate arm and gate mechanism.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 49 Transportation 4 2014-10-01 2014-10-01 false Gate arm and gate mechanism. 234.255 Section 234....255 Gate arm and gate mechanism. (a) Each gate arm and gate mechanism shall be inspected at least once each month. (b) Gate arm movement shall be observed for proper operation at least once each month. (c...

  2. 49 CFR 234.255 - Gate arm and gate mechanism.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 49 Transportation 4 2012-10-01 2012-10-01 false Gate arm and gate mechanism. 234.255 Section 234....255 Gate arm and gate mechanism. (a) Each gate arm and gate mechanism shall be inspected at least once each month. (b) Gate arm movement shall be observed for proper operation at least once each month. (c...

  3. Highly flexible sub-1 nm tungsten oxide nanobelts as efficient desulfurization catalysts.

    PubMed

    He, Jie; Liu, Huiling; Xu, Biao; Wang, Xun

    2015-03-01

    Ultrathin tungsten oxide nanobelts are successfully synthesized via a facile solvothermal method. Sub-1 nm thickness and hydrophobic surface property endow the nanobelts with flexibility, viscosity, gelation, and good catalytic performance in oxidative desulfurization. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Growth of an Ultrathin Zirconia Film on Pt3Zr Examined by High-Resolution X-ray Photoelectron Spectroscopy, Temperature-Programmed Desorption, Scanning Tunneling Microscopy, and Density Functional Theory.

    PubMed

    Li, Hao; Choi, Joong-Il Jake; Mayr-Schmölzer, Wernfried; Weilach, Christian; Rameshan, Christoph; Mittendorfer, Florian; Redinger, Josef; Schmid, Michael; Rupprechter, Günther

    2015-02-05

    Ultrathin (∼3 Å) zirconium oxide films were grown on a single-crystalline Pt 3 Zr(0001) substrate by oxidation in 1 × 10 -7 mbar of O 2 at 673 K, followed by annealing at temperatures up to 1023 K. The ZrO 2 films are intended to serve as model supports for reforming catalysts and fuel cell anodes. The atomic and electronic structure and composition of the ZrO 2 films were determined by synchrotron-based high-resolution X-ray photoelectron spectroscopy (HR-XPS) (including depth profiling), low-energy electron diffraction (LEED), scanning tunneling microscopy (STM), and density functional theory (DFT) calculations. Oxidation mainly leads to ultrathin trilayer (O-Zr-O) films on the alloy; only a small area fraction (10-15%) is covered by ZrO 2 clusters (thickness ∼0.5-10 nm). The amount of clusters decreases with increasing annealing temperature. Temperature-programmed desorption (TPD) of CO was utilized to confirm complete coverage of the Pt 3 Zr substrate by ZrO 2 , that is, formation of a closed oxide overlayer. Experiments and DFT calculations show that the core level shifts of Zr in the trilayer ZrO 2 films are between those of metallic Zr and thick (bulklike) ZrO 2 . Therefore, the assignment of such XPS core level shifts to substoichiometric ZrO x is not necessarily correct, because these XPS signals may equally well arise from ultrathin ZrO 2 films or metal/ZrO 2 interfaces. Furthermore, our results indicate that the common approach of calculating core level shifts by DFT including final-state effects should be taken with care for thicker insulating films, clusters, and bulk insulators.

  5. Random Telegraph Signal-Like Fluctuation Created by Fowler-Nordheim Stress in Gate Induced Drain Leakage Current of the Saddle Type Dynamic Random Access Memory Cell Transistor

    NASA Astrophysics Data System (ADS)

    Kim, Heesang; Oh, Byoungchan; Kim, Kyungdo; Cha, Seon-Yong; Jeong, Jae-Goan; Hong, Sung-Joo; Lee, Jong-Ho; Park, Byung-Gook; Shin, Hyungcheol

    2010-09-01

    We generated traps inside gate oxide in gate-drain overlap region of recess channel type dynamic random access memory (DRAM) cell transistor through Fowler-Nordheim (FN) stress, and observed gate induced drain leakage (GIDL) current both in time domain and in frequency domain. It was found that the trap inside gate oxide could generate random telegraph signal (RTS)-like fluctuation in GIDL current. The characteristics of that fluctuation were similar to those of RTS-like fluctuation in GIDL current observed in the non-stressed device. This result shows the possibility that the trap causing variable retention time (VRT) in DRAM data retention time can be located inside gate oxide like channel RTS of metal-oxide-semiconductor field-effect transistors (MOSFETs).

  6. Ultra-thin plasma radiation detector

    DOEpatents

    Friedman, Peter S.

    2017-01-24

    A position-sensitive ionizing-radiation counting detector includes a radiation detector gas chamber having at least one ultra-thin chamber window and an ultra-thin first substrate contained within the gas chamber. The detector further includes a second substrate generally parallel to and coupled to the first substrate and defining a gas gap between the first substrate and the second substrate. The detector further includes a discharge gas between the substrates and contained within the gas chamber, where the discharge gas is free to circulate within the gas chamber and between the first and second substrates at a given gas pressure. The detector further includes a first electrode coupled to one of the substrates and a second electrode electrically coupled to the first electrode. The detector further includes a first discharge event detector coupled to at least one of the electrodes for detecting a gas discharge counting event in the electrode.

  7. CMOS integration of high-k/metal gate transistors in diffusion and gate replacement (D&GR) scheme for dynamic random access memory peripheral circuits

    NASA Astrophysics Data System (ADS)

    Dentoni Litta, Eugenio; Ritzenthaler, Romain; Schram, Tom; Spessot, Alessio; O’Sullivan, Barry; Machkaoutsan, Vladimir; Fazan, Pierre; Ji, Yunhyuck; Mannaert, Geert; Lorant, Christophe; Sebaai, Farid; Thiam, Arame; Ercken, Monique; Demuynck, Steven; Horiguchi, Naoto

    2018-04-01

    Integration of high-k/metal gate stacks in peripheral transistors is a major candidate to ensure continued scaling of dynamic random access memory (DRAM) technology. In this paper, the CMOS integration of diffusion and gate replacement (D&GR) high-k/metal gate stacks is investigated, evaluating four different approaches for the critical patterning step of removing the N-type field effect transistor (NFET) effective work function (eWF) shifter stack from the P-type field effect transistor (PFET) area. The effect of plasma exposure during the patterning step is investigated in detail and found to have a strong impact on threshold voltage tunability. A CMOS integration scheme based on an experimental wet-compatible photoresist is developed and the fulfillment of the main device metrics [equivalent oxide thickness (EOT), eWF, gate leakage current density, on/off currents, short channel control] is demonstrated.

  8. Theoretical Methods of Domain Structures in Ultrathin Ferroelectric Films: A Review

    PubMed Central

    Liu, Jianyi; Chen, Weijin; Wang, Biao; Zheng, Yue

    2014-01-01

    This review covers methods and recent developments of the theoretical study of domain structures in ultrathin ferroelectric films. The review begins with an introduction to some basic concepts and theories (e.g., polarization and its modern theory, ferroelectric phase transition, domain formation, and finite size effects, etc.) that are relevant to the study of domain structures in ultrathin ferroelectric films. Basic techniques and recent progress of a variety of important approaches for domain structure simulation, including first-principles calculation, molecular dynamics, Monte Carlo simulation, effective Hamiltonian approach and phase field modeling, as well as multiscale simulation are then elaborated. For each approach, its important features and relative merits over other approaches for modeling domain structures in ultrathin ferroelectric films are discussed. Finally, we review recent theoretical studies on some important issues of domain structures in ultrathin ferroelectric films, with an emphasis on the effects of interfacial electrostatics, boundary conditions and external loads. PMID:28788198

  9. Surface structure of coherently strained ceria ultrathin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yezhou; Stone, Kevin H.; Guan, Zixuan

    2016-11-14

    Cerium oxide, or ceria, is an important material for solid oxide fuel cells and water splitting devices. Although the ceria surface is active in catalytic and electrochemical reactions, how its catalytic properties are affected by the surface structure under operating conditions is far from understood. We investigate the structure of the coherently strained CeO 2 ultrathin films on yttria-stabilized zirconia (001) single crystals by specular synchrotron x-ray diffraction (XRD) under oxidizing conditions as a first step to study the surface structure in situ. An excellent agreement between the experiment data and the model is achieved by using a “stacks andmore » islands” model that has a two-component roughness. One component is due to the tiny clusters of nanometer scale in lateral dimensions on each terrace, while the other component is due to slightly different CeO 2 thickness that span over hundreds of nanometers on neighboring terraces. We attribute the nonuniform thickness to step depairing during the thin film deposition that is supported by the surface morphology results on the microscopic level. Importantly, our model also shows that the polarity of the ceria surface is removed by a half monolayer surface coverage of oxygen. In conclusion, the successful resolution of the ceria surface structure using in situ specular synchrotron XRD paves the way to study the structural evolution of ceria as a fuel cell electrode under catalytically relevant temperatures and gas pressures.« less

  10. Degradation of Gate Oxide Integrity by Formation of Tiny Holes by Metal Contamination of Raw Wafer

    NASA Astrophysics Data System (ADS)

    Chen, Po-Ying

    2008-12-01

    Heavy metal atoms (such as Cu) spontaneously undergo a dissolution reaction when they come into contact with silicon. Most investigations in this extensively studied area begin with a clean, bare wafer and focus on metal contamination during the IC manufacturing stage. In this work, the effect of Fe and Cu contamination on raw wafers was elucidated. When two batches of raw wafers are scheduled, one uncontaminated and one with various degrees of contamination ranging from 0.1 to 10 ppb undergo the typical steps of the 90 nm LOGIC complementary metal-oxide-semiconductor (CMOS) semiconductor manufacturing process. The main contribution of this work is the discovery of a previously unidentified cause of gate oxide leakage: the formation of tiny holes by metal contamination during the wafer manufacturing stage. Because tiny holes are formed, a spontaneous reaction can occur even with at very low metal concentration (0.2 ppb), revealing that the wafer manufacturing stage is more vulnerable to metal contamination than the IC manufacturing stage and therefore requires stricter contamination control.

  11. Multifunctional Logic Gate Controlled by Temperature

    NASA Technical Reports Server (NTRS)

    Stoica, Adrian; Zebulum, Ricardo

    2005-01-01

    A complementary metal oxide/semiconductor (CMOS) electronic circuit has been designed to function as a NAND gate at a temperature between 0 and 80 deg C and as a NOR gate at temperatures from 120 to 200 C. In the intermediate temperature range of 80 to 120 C, this circuit is expected to perform a function intermediate between NAND and NOR with degraded noise margin. The process of designing the circuit and the planned fabrication and testing of the circuit are parts of demonstration of polymorphic electronics a technological discipline that emphasizes designing the same circuit to perform different analog and/or digital functions under different conditions. In this case, the different conditions are different temperatures.

  12. Method for laser welding ultra-thin metal foils

    DOEpatents

    Pernicka, J.C.; Benson, D.K.; Tracy, C.E.

    1996-03-26

    A method for simultaneously cutting and welding ultra-thin foils having a thickness of less than 0.002 inches wherein two ultra-thin films are stacked and clamped together. A pulsed laser such as of the Neodymium: YAG type is provided and the beam of the laser is directed onto the stacked films to cut a channel through the films. The laser is moved relative to the stacked foils to cut the stacked foils at successive locations and to form a plurality of connected weld beads to form a continuous weld. 5 figs.

  13. Method for laser welding ultra-thin metal foils

    DOEpatents

    Pernicka, John C.; Benson, David K.; Tracy, C. Edwin

    1996-01-01

    A method for simultaneously cutting and welding ultra-thin foils having a thickness of less than 0.002 inches wherein two ultra-thin films are stacked and clamped together. A pulsed laser such as of the Neodymium: YAG type is provided and the beam of the laser is directed onto the stacked films to cut a channel through the films. The laser is moved relative to the stacked foils to cut the stacked foils at successive locations and to form a plurality of connected weld beads to form a continuous weld.

  14. Dependence of electrical and time stress in organic field effect transistor with low temperature forming gas treated Al2O3 gate dielectrics.

    PubMed

    Lee, Sunwoo; Chung, Keum Jee; Park, In-Sung; Ahn, Jinho

    2009-12-01

    We report the characteristics of the organic field effect transistor (OFET) after electrical and time stress. Aluminum oxide (Al2O3) was used as a gate dielectric layer. The surface of the gate oxide layer was treated with hydrogen (H2) and nitrogen (N2) mixed gas to minimize the dangling bond at the interface layer of gate oxide. According to the two stress parameters of electrical and time stress, threshold voltage shift was observed. In particular, the mobility and subthreshold swing of OFET were significantly decreased due to hole carrier localization and degradation of the channel layer between gate oxide and pentacene by electrical stress. Electrical stress is a more critical factor in the degradation of mobility than time stress caused by H2O and O2 in the air.

  15. On the persistence of polar domains in ultrathin ferroelectric capacitors.

    PubMed

    Zubko, Pavlo; Lu, Haidong; Bark, Chung-Wung; Martí, Xavi; Santiso, José; Eom, Chang-Beom; Catalan, Gustau; Gruverman, Alexei

    2017-07-19

    The instability of ferroelectric ordering in ultra-thin films is one of the most important fundamental issues pertaining realization of a number of electronic devices with enhanced functionality, such as ferroelectric and multiferroic tunnel junctions or ferroelectric field effect transistors. In this paper, we investigate the polarization state of archetypal ultrathin (several nanometres) ferroelectric heterostructures: epitaxial single-crystalline BaTiO 3 films sandwiched between the most habitual perovskite electrodes, SrRuO 3 , on top of the most used perovskite substrate, SrTiO 3 . We use a combination of piezoresponse force microscopy, dielectric measurements and structural characterization to provide conclusive evidence for the ferroelectric nature of the relaxed polarization state in ultrathin BaTiO 3 capacitors. We show that even the high screening efficiency of SrRuO 3 electrodes is still insufficient to stabilize polarization in SrRuO 3 /BaTiO 3 /SrRuO 3 heterostructures at room temperature. We identify the key role of domain wall motion in determining the macroscopic electrical properties of ultrathin capacitors and discuss their dielectric response in the light of the recent interest in negative capacitance behaviour.

  16. Enhancing the pH sensitivity by laterally synergic modulation in dual-gate electric-double-layer transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Ning; Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201; Hui Liu, Yang

    2015-02-16

    The sensitivity of a standard ion-sensitive field-effect transistor is limited to be 59.2 mV/pH (Nernst limit) at room temperature. Here, a concept based on laterally synergic electric-double-layer (EDL) modulation is proposed in order to overcome the Nernst limit. Indium-zinc-oxide EDL transistors with two laterally coupled gates are fabricated, and the synergic modulation behaviors of the two asymmetric gates are investigated. A high sensitivity of ∼168 mV/pH is realized in the dual-gate operation mode. Laterally synergic modulation in oxide-based EDL transistors is interesting for high-performance bio-chemical sensors.

  17. Strain-induced oxygen vacancies in ultrathin epitaxial CaMnO3 films

    NASA Astrophysics Data System (ADS)

    Chandrasena, Ravini; Yang, Weibing; Lei, Qingyu; Delgado-Jaime, Mario; de Groot, Frank; Arenholz, Elke; Kobayashi, Keisuke; Aschauer, Ulrich; Spaldin, Nicola; Xi, Xiaoxing; Gray, Alexander

    Dynamic control of strain-induced ionic defects in transition-metal oxides is considered to be an exciting new avenue towards creating materials with novel electronic, magnetic and structural properties. Here we use atomic layer-by-layer laser molecular beam epitaxy to synthesize high-quality ultrathin single-crystalline CaMnO3 films with systematically varying coherent tensile strain. We then utilize a combination of high-resolution soft x-ray absorption spectroscopy and bulk-sensitive hard x-ray photoemission spectroscopy in conjunction with first-principles theory and core-hole multiplet calculations to establish a direct link between the coherent in-plane strain and the oxygen-vacancy content. We show that the oxygen vacancies are highly mobile, which necessitates an in-situ-grown capping layer in order to preserve the original strain-induced oxygen-vacancy content. Our findings open the door for designing and controlling new ionically active properties in strongly-correlated transition-metal oxides.

  18. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  19. Electrically Tunable Energy Bandgap in Dual-Gated Ultra-Thin Black Phosphorus Field Effect Transistors

    NASA Astrophysics Data System (ADS)

    Yan, Shi-Li; Xie, Zhi-Jian; Chen, Jian-Hao; Taniguchi, Takashi; Watanabe, Kenji

    2017-03-01

    The energy bandgap is an intrinsic character of semiconductors, which largely determines their properties. The ability to continuously and reversibly tune the bandgap of a single device during real time operation is of great importance not only to device physics but also to technological applications. Here we demonstrate a widely tunable bandgap of few-layer black phosphorus (BP) by the application of vertical electric field in dual-gated BP field-effect transistors. A total bandgap reduction of 124 meV is observed when the electrical displacement field is increased from 0.10V/nm to 0.83V/nm. Our results suggest appealing potential for few-layer BP as a tunable bandgap material in infrared optoelectronics, thermoelectric power generation and thermal imaging.

  20. Direct peroral cholangioscopy using an ultrathin endoscope: making technique easier.

    PubMed

    Sola-Vera, Javier; Uceda, Francisco; Cuesta, Rubén; Vázquez, Narcís

    2014-01-01

    Cholangioscopy is a useful tool for the study and treatment of biliary pathology. Ultrathin upper endoscopes allow direct peroral cholangioscopy (DPC) but have some drawbacks. The aim of the study was to evaluate the success rate of DPC with an ultrathin endoscope using a balloon catheter to reach the biliary confluence. Prospective observational study. An ultrathin endoscope (Olympus XP180N, outer diameter 5.5 mm, working channel 2 mm) was used. To access the biliary tree, free-hand technique was used. To reach the biliary confluence an intraductal balloon catheter (Olympus B5-2Q diameter 1.9 mm) and a 0.025 inch guide wire was used. In all cases sphincterotomy and/or sphincteroplasty was performed. The success rate was defined as the percentage of cases in which the biliary confluence could be reached with the ultrathin endoscope. Fifteen patients (8 men/7 women) were included. Mean age was 77.7 + or - 10.8 years (range 45-91). The indications for cholangioscopy were suspected bile duct stones (n = 9), electrohydraulic lithotripsy for the treatment of difficult choledocholithiasis (n = 5) and evaluation of biliary stricture (n = 1). Access to the bile duct was achieved in 14/15 cases (93.3%). Biliary confluence was reached in 13/15 cases (86.7%). One complication was observed in one patient (oxigen desaturation). DPC with an ultrathin endoscope can be done with the free-hand technique. Intraductal balloon-guided DPC allows full examination of the common bile duct in most cases.

  1. Surface-protected LiCoO2 with ultrathin solid oxide electrolyte film for high-voltage lithium ion batteries and lithium polymer batteries

    NASA Astrophysics Data System (ADS)

    Yang, Qi; Huang, Jie; Li, Yejing; Wang, Yi; Qiu, Jiliang; Zhang, Jienan; Yu, Huigen; Yu, Xiqian; Li, Hong; Chen, Liquan

    2018-06-01

    Surface modification of LiCoO2 with the ultrathin film of solid state electrolyte of Li1.4Al0.4Ti1.6(PO4)3 (LATP) has been realized by a new and facile solution-based method. The coated LiCoO2 reveals enhanced structural and electrochemical stability at high voltage (4.5 V vs Li+/Li) in half-cell with liquid electrolyte. Transmission electron microscopy (TEM) images show that a dense LATP coating layer is covered on the surface of LiCoO2 uniformly with thickness of less than 20 nm. The LATP coating layer is proven to be able to prevent the direct contact between the cathode and the electrolyte effectively and thus to suppress the side reactions of liquid electrolyte with LiCoO2 surface at high charging voltage. As a result, dissolution of Co3+ has been largely suppressed over prolonged cycling as indicated by the X-ray photoelectron spectroscopy (XPS) measurements. Due to this surface passivating feature, the electrochemical performance of 0.5 wt% LATP modified LiCoO2 has also been evaluated in an all solid lithium battery with poly(ethylene oxide)-based polymer electrolyte. The cell exhibits 93% discharge capacity retention of the initial discharge capacity after 50 cycles at the charging cut-off voltage of 4.2 V, suggesting that the LATP coating layer is effective to suppress the oxidation of PEO at high voltage.

  2. Enhanced Performance of Gate-First p-Channel Metal-Insulator-Semiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method

    NASA Astrophysics Data System (ADS)

    Kitano, Naomu; Horie, Shinya; Arimura, Hiroaki; Kawahara, Takaaki; Sakashita, Shinsuke; Nishida, Yukio; Yugami, Jiro; Minami, Takashi; Kosuda, Motomu; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2007-12-01

    We demonstrated the use of an in situ metal/high-k fabrication method for improving the performance of metal-insulator-semiconductor field-effect transistors (MISFETs). Gate-first pMISFETs with polycrystalline silicon (poly-Si)/TiN/HfSiON stacks were fabricated by techniques based on low-damage physical vapor deposition, in which high-quality HfSiON dielectrics were formed by the interface reaction between an ultrathin metal-Hf layer (0.5 nm thick) and a SiO2 underlayer, and TiN electrodes were continuously deposited on the gate dielectrics without exposure to air. Gate-first pMISFETs with high carrier mobility and a low threshold voltage (Vth) were realized by reducing the carbon impurity in the gate stacks and improving the Vth stability against thermal treatment. As a result, we obtained superior current drivability (Ion = 350 μA/μm at Ioff = 200 pA/μm), which corresponds to a 13% improvement over that of conventional chemical vapor deposition-based metal/high-k devices.

  3. Study on effective MOSFET channel length extracted from gate capacitance

    NASA Astrophysics Data System (ADS)

    Tsuji, Katsuhiro; Terada, Kazuo; Fujisaka, Hisato

    2018-01-01

    The effective channel length (L GCM) of metal-oxide-semiconductor field-effect transistors (MOSFETs) is extracted from the gate capacitances of actual-size MOSFETs, which are measured by charge-injection-induced-error-free charge-based capacitance measurement (CIEF CBCM). To accurately evaluate the capacitances between the gate and the channel of test MOSFETs, the parasitic capacitances are removed by using test MOSFETs having various channel sizes and a source/drain reference device. A strong linear relationship between the gate-channel capacitance and the design channel length is obtained, from which L GCM is extracted. It is found that L GCM is slightly less than the effective channel length (L CRM) extracted from the measured MOSFET drain current. The reason for this is discussed, and it is found that the capacitance between the gate electrode and the source and drain regions affects this extraction.

  4. Polycrystalline diamond RF MOSFET with MoO3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ren, Zeyang; Zhang, Jinfeng; Zhang, Jincheng; Zhang, Chunfu; Chen, Dazheng; Quan, Rudai; Yang, Jiayin; Lin, Zhiyu; Hao, Yue

    2017-12-01

    We report the radio frequency characteristics of the diamond metal-oxide-semiconductor field effect transistor with MoO3 gate dielectric for the first time. The device with 2-μm gate length was fabricated on high quality polycrystalline diamond. The maximum drain current of 150 mA/mm at VGS = -5 V and the maximum transconductance of 27 mS/mm were achieved. The extrinsic cutoff frequency of 1.2 GHz and the maximum oscillation frequency of 1.9 GHz have been measured. The moderate frequency characteristics are attributed to the moderate transconductance limited by the series resistance along the channel. We expect that the frequency characteristics of the device can be improved by increasing the magnitude of gm, or fundamentally decreasing the gate-controlled channel resistance and series resistance along the channel, and down-scaling the gate length.

  5. Development of III-V p-MOSFETs with high-kappa gate stack for future CMOS applications

    NASA Astrophysics Data System (ADS)

    Nagaiah, Padmaja

    As the semiconductor industry approaches the limits of traditional silicon CMOS scaling, non-silicon materials and new device architectures are gradually being introduced to improve Si integrated circuit performance and continue transistor scaling. Recently, the replacement of SiO2 with a high-k material (HfO2) as gate dielectric has essentially removed one of the biggest advantages of Si as channel material. As a result, alternate high mobility materials are being considered to replace Si in the channel to achieve higher drive currents and switching speeds. III-V materials in particular have become of great interest as channel materials, owing to their superior electron transport properties. However, there are several critical challenges that need to be addressed before III-V based CMOS can replace Si CMOS technology. Some of these challenges include development of a high quality, thermally stable gate dielectric/III-V interface, and improvement in III-V p-channel hole mobility to complement the n-channel mobility, low source/drain resistance and integration onto Si substrate. In this thesis, we would be addressing the first two issues i.e. the development high performance III-V p-channels and obtaining high quality III-V/high-k interface. We start with using the device architecture of the already established InGaAs n-channels as a baseline to understand the effect of remote scattering from the high-k oxide and oxide/semiconductor interface on channel transport properties such as electron mobility and channel electron concentration. Temperature dependent Hall electron mobility measurements were performed to separate various scattering induced mobility limiting factors. Dependence of channel mobility on proximity of the channel to the oxide interface, oxide thickness, annealing conditions are discussed. The results from this work will be used in the design of the p-channel MOSFETs. Following this, InxGa1-xAs (x>0.53) is chosen as channel material for developing p

  6. Understanding the Structure of High-K Gate Oxides - Oral Presentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-25

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  7. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  8. Slow DNA Transport through Nanopores in Hafnium Oxide Membranes

    PubMed Central

    Bell, David C.; Cohen-Karni, Tzahi; Rosenstein, Jacob K.; Wanunu, Meni

    2016-01-01

    We present a study of double- and single-stranded DNA transport through nanopores fabricated in ultrathin (2–7 nm thick) free-standing hafnium oxide (HfO2) membranes. The high chemical stability of ultrathin HfO2 enables long-lived experiments with <2 nm diameter pores that last several hours, in which we observe >50 000 DNA translocations with no detectable pore expansion. Mean DNA velocities are slower than velocities through comparable silicon nitride pores, providing evidence that HfO2 nanopores have favorable physicochemical interactions with nucleic acids that can be leveraged to slow down DNA in a nanopore. PMID:24083444

  9. One-pot synthesis of reduced graphene oxide@boron nitride nanosheet hybrids with enhanced oxidation-resistant properties

    NASA Astrophysics Data System (ADS)

    Sun, Guoxun; Bi, Jianqiang; Wang, Weili; Zhang, Jingde

    2017-12-01

    Reduced graphene oxide@boron nitride nanosheet (RGO@BNNS) hybrids were prepared for the first time using template-assisted autoclave pyrolysis technique at the temperature as low as 600 °C. The developed method can be scaled into gram-scale synthesis of the material. The BNNSs combine with RGO through van der Waals interplanar interaction without damaging the structures of RGO. Such ultrathin BNNSs on the surface of RGO can serve as high-performance oxidation-resistant coatings in oxidizing atmospheres at high temperatures. The RGO@BNNS hybrids can sustain up to 800 °C over a relatively long period of time.

  10. Enhanced biosensing resolution with foundry fabricated individually addressable dual-gated ISFETs.

    PubMed

    Duarte-Guevara, Carlos; Lai, Fei-Lung; Cheng, Chun-Wen; Reddy, Bobby; Salm, Eric; Swaminathan, Vikhram; Tsui, Ying-Kit; Tuan, Hsiao Chin; Kalnitsky, Alex; Liu, Yi-Shao; Bashir, Rashid

    2014-08-19

    The adaptation of semiconductor technologies for biological applications may lead to a new era of inexpensive, sensitive, and portable diagnostics. At the core of these developing technologies is the ion-sensitive field-effect transistor (ISFET), a biochemical to electrical transducer with seamless integration to electronic systems. We present a novel structure for a true dual-gated ISFET that is fabricated with a silicon-on-insulator (SOI) complementary metal-oxide-semiconductor process by Taiwan Semiconductor Manufacturing Company (TSMC). In contrast to conventional SOI ISFETs, each transistor has an individually addressable back-gate and a gate oxide that is directly exposed to the solution. The elimination of the commonly used floating gate architecture reduces the chance of electrostatic discharge and increases the potential achievable transistor density. We show that when operated in a "dual-gate" mode, the transistor response can exhibit sensitivities to pH changes beyond the Nernst limit. This enhancement in sensitivity was shown to increase the sensor's signal-to-noise ratio, allowing the device to resolve smaller pH changes. An improved resolution can be used to enhance small signals and increase the sensor accuracy when monitoring small pH dynamics in biological reactions. As a proof of concept, we demonstrate that the amplified sensitivity and improved resolution result in a shorter detection time and a larger output signal of a loop-mediated isothermal DNA amplification reaction (LAMP) targeting a pathogenic bacteria gene, showing benefits of the new structure for biosensing applications.

  11. Molecular dynamics simulation on adsorption of pyrene-polyethylene onto ultrathin single-walled carbon nanotube

    NASA Astrophysics Data System (ADS)

    Cai, Lu; Lv, Wenzhen; Zhu, Hong; Xu, Qun

    2016-07-01

    The mechanism of the adsorption of pyrene-polyethylene (Py-PE) onto ultrathin single-walled carbon nanotube (SWNT) was studied by using all-atom molecular dynamics (MD) simulations. We found that solvent polarity and pyrene group are two critical factors in the Py-PE decoration on ultrathin SWNT. Combined MD simulations with free energy calculations, our results indicate that larger solvent polarity can decrease the contribution of conformation entropy, but contributes little to the interaction energy, moreover, larger SWNT diameter can decrease the contribution of conformation entropy but lead to the increasing of the interaction energy. In polar organic solvent (N, N-Dimethylacetamide), the pyrene group plays a key role in the adsorption of Py-PE onto ultrathin SWNT, not only facilitates the spontaneous adsorption of Py-PE onto ultrathin SWNT, but also helps to form compact structure between themselves in the final adsorption states. While in aqueous solution, pyrene group no longer works as an anchor, but still affects a lot to the final adsorption conformation. Our present work provides detailed theoretical clue to understand the noncovalent interaction between aromatic segment appended polymer and ultrathin SWNT, and helps to explore the potential application of ultrathin SWNT in the fields of hybrid material, biomedical and electronic materials.

  12. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  13. Interface Trap Profiles in 4H- and 6H-SiC MOS Capacitors with Nitrogen- and Phosphorus-Doped Gate Oxides

    NASA Astrophysics Data System (ADS)

    Jiao, C.; Ahyi, A. C.; Dhar, S.; Morisette, D.; Myers-Ward, R.

    2017-04-01

    We report results on the interface trap density ( D it) of 4H- and 6H-SiC metal-oxide-semiconductor (MOS) capacitors with different interface chemistries. In addition to pure dry oxidation, we studied interfaces formed by annealing thermal oxides in NO or POCl3. The D it profiles, determined by the C- ψ s method, show that, although the as-oxidized 4H-SiC/SiO2 interface has a much higher D it profile than 6H-SiC/SiO2, after postoxidation annealing (POA), both polytypes maintain comparable D it near the conduction band edge for the gate oxides incorporated with nitrogen or phosphorus. Unlike most conventional C- V- or G- ω-based methods, the C- ψ s method is not limited by the maximum probe frequency, therefore taking into account the "fast traps" detected in previous work on 4H-SiC. The results indicate that such fast traps exist near the band edge of 6H-SiC also. For both polytypes, we show that the total interface trap density ( N it) integrated from the C- ψ s method is several times that obtained from the high-low method. The results suggest that the detected fast traps have a detrimental effect on electron transport in metal-oxide-semiconductor field-effect transistor (MOSFET) channels.

  14. Crystalline ZrTiO{sub 4} gated p-metal–oxide–semiconductor field effect transistors with sub-nm equivalent oxide thickness featuring good electrical characteristics and reliability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Chao-Yi; Hsieh, Ching-Heng; Lee, Ching-Wei

    2015-02-02

    ZrTiO{sub 4} crystallized in orthorhombic (o-) phase was stacked with an amorphous Yb{sub 2}O{sub 3} interfacial layer as the gate dielectric for Si-based p-MOSFETs. With thermal annealing after gate electrode, the gate stack with equivalent oxide thickness (EOT) of 0.82 nm achieves high dielectric quality by showing a low interface trap density (D{sub it}) of 2.75 × 10{sup 11 }cm{sup −2}eV{sup −1} near the midgap and low oxide traps. Crystallization of ZrTiO{sub 4} and post metal annealing are also proven to introduce very limited amount of metal induced gap states or interfacial dipole. The p-MOSFETs exhibit good sub-threshold swing of 75 mV/dec which is ascribedmore » to the low D{sub it} value and small EOT. Owing to the Y{sub 2}O{sub 3} interfacial layer and smooth interface with Si substrate that, respectively, suppress phonon and surface roughness scattering, the p-MOSFETs also display high hole mobility of 49 cm{sup 2}/V-s at 1 MV/cm. In addition, I{sub on}/I{sub off} ratio larger than 10{sup 6} is also observed. From the reliability evaluation by negative bias temperature instability test, after stressing with an electric field of −10 MV/cm at 85 °C for 1000 s, satisfactory threshold voltage shift of 12 mV and sub-threshold swing degradation of 3% were obtained. With these promising characteristics, the Yb{sub 2}O{sub 3}/o-ZrTiO{sub 4} gate stack holds the great potential for next-generation electronics.« less

  15. Room Temperature Ferroelectricity in Ultrathin SnTe Films

    NASA Astrophysics Data System (ADS)

    Chang, Kai; Liu, Junwei; Lin, Haicheng; Zhao, Kun; Zhong, Yong; Ji, Shuai-Hua; He, Ke; Wang, Lili; Ma, Xucun; Fu, Liang; Chen, Xi; Xue, Qi-Kun

    2015-03-01

    The ultrathin SnTe films with several unit cell thickness grown on graphitized SiC(0001) surface have been studied by the scanning tunneling microscopy and spectroscopy (STM/S). The domain structures, local lattice distortion and the electronic band bending at film edges induced by the in-plane spontaneous polarization along < 110 > have been revealed at atomic scale. The experiments at variant temperature show that the Curie temperature Tc of the one unit cell thick (two atomic layers) SnTe film is as high as 280K, much higher than that of the bulk counterpart (~100K) and the 2-4 unit cell thick films even indicate robust ferroelectricity at room temperature. This Tc enhancement is attributed to the stress-free interface, larger electronic band gap and greatly reduced Sn vacancy concentration in the ultrathin films. The lateral domain size varies from several tens to several hundreds of nanometers, and the spontaneous polarization direction could be modified by STM tip. Those properties of ultrathin SnTe films show the potential application on ferroelectric devices. The work was financially supported by Ministry of Science and Technology of China, National Science Foundation and Ministry of Education of China.

  16. Three-input gate logic circuits on chemically assembled single-electron transistors with organic and inorganic hybrid passivation layers

    PubMed Central

    Majima, Yutaka; Hackenberger, Guillaume; Azuma, Yasuo; Kano, Shinya; Matsuzaki, Kosuke; Susaki, Tomofumi; Sakamoto, Masanori; Teranishi, Toshiharu

    2017-01-01

    Abstract Single-electron transistors (SETs) are sub-10-nm scale electronic devices based on conductive Coulomb islands sandwiched between double-barrier tunneling barriers. Chemically assembled SETs with alkanethiol-protected Au nanoparticles show highly stable Coulomb diamonds and two-input logic operations. The combination of bottom-up and top-down processes used to form the passivation layer is vital for realizing multi-gate chemically assembled SET circuits, as this combination enables us to connect conventional complementary metal oxide semiconductor (CMOS) technologies via planar processes. Here, three-input gate exclusive-OR (XOR) logic operations are demonstrated in passivated chemically assembled SETs. The passivation layer is a hybrid bilayer of self-assembled monolayers (SAMs) and pulsed laser deposited (PLD) aluminum oxide (AlOx), and top-gate electrodes were prepared on the hybrid passivation layers. Top and two-side-gated SETs showed clear Coulomb oscillation and diamonds for each of the three available gates, and three-input gate XOR logic operation was clearly demonstrated. These results show the potential of chemically assembled SETs to work as logic devices with multi-gate inputs using organic and inorganic hybrid passivation layers. PMID:28634499

  17. Three-input gate logic circuits on chemically assembled single-electron transistors with organic and inorganic hybrid passivation layers.

    PubMed

    Majima, Yutaka; Hackenberger, Guillaume; Azuma, Yasuo; Kano, Shinya; Matsuzaki, Kosuke; Susaki, Tomofumi; Sakamoto, Masanori; Teranishi, Toshiharu

    2017-01-01

    Single-electron transistors (SETs) are sub-10-nm scale electronic devices based on conductive Coulomb islands sandwiched between double-barrier tunneling barriers. Chemically assembled SETs with alkanethiol-protected Au nanoparticles show highly stable Coulomb diamonds and two-input logic operations. The combination of bottom-up and top-down processes used to form the passivation layer is vital for realizing multi-gate chemically assembled SET circuits, as this combination enables us to connect conventional complementary metal oxide semiconductor (CMOS) technologies via planar processes. Here, three-input gate exclusive-OR (XOR) logic operations are demonstrated in passivated chemically assembled SETs. The passivation layer is a hybrid bilayer of self-assembled monolayers (SAMs) and pulsed laser deposited (PLD) aluminum oxide (AlO[Formula: see text]), and top-gate electrodes were prepared on the hybrid passivation layers. Top and two-side-gated SETs showed clear Coulomb oscillation and diamonds for each of the three available gates, and three-input gate XOR logic operation was clearly demonstrated. These results show the potential of chemically assembled SETs to work as logic devices with multi-gate inputs using organic and inorganic hybrid passivation layers.

  18. Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr Oxide/PrON/Ge Gate Stack Structure

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Sakashita, Mitsuo; Takeuchi, Wakana; Kondo, Hiroki; Nakatsuka, Osamu; Zaima, Shigeaki

    2011-04-01

    In this study, we investigated the valence state and chemical bonding state of Pr in a Pr oxide/PrON/Ge structure. We clarified the relationship between the valence state of Pr and the Pr oxide/Ge interfacial reaction using Pr oxide/Ge and Pr oxide/PrON/Ge samples. We found the formation of three Pr oxide phases in Pr oxide films; hexagonal Pr2O3 (h-Pr2O3) (Pr3+), cubic Pr2O3 (c-Pr2O3) (Pr3+), and c-PrO2 (Pr4+). We also investigated the effect of a nitride interlayer on the interfacial reaction in Pr oxide/Ge gate stacks. In a sample with a nitride interlayer (Pr oxide/PrON/Ge), metallic Pr-Pr bonds are also formed in the c-Pr2O3 film. After annealing in H2 ambient, the diffusion of Ge into Pr oxide is not observed in this sample. Pr-Pr bonds probably prevent the interfacial reaction and Ge oxide formation, considering that the oxygen chemical potential of this film is lower than that of a GeO2/Ge system. On the other hand, the rapid thermal oxidation (RTO) treatment terminates the O vacancies and defects in c-Pr2O3. As a result, c-PrO2 with tetravalent Pr is formed in the Pr oxide/PrON/Ge sample with RTO. In this sample, the leakage current density is effectively decreased in comparison with the sample without RTO. Hydrogen termination works effectively in Pr oxide/PrON/Ge samples with and without RTO, and we can achieve an interface state density of as low as 4 ×1011 eV-1·cm-2.

  19. White organic light-emitting diodes based on doped and ultrathin Rubrene layer

    NASA Astrophysics Data System (ADS)

    Li, Yi; Jiang, Yadong; Wen, Wen; Yu, Junsheng

    2010-10-01

    Based on a yellow fluorescent dye of 5, 6, 11, 12-tetraphenylnaphthacene (Rubrene), WOLEDs were fabricated, with doping structure and ultrathin layer structure utilized in the devices. By doping Rubrene into blue-emitting N,N'-bis-(1- naphthyl)-N,N'-biphenyl-1,1'-biphenyl-4,4'-diamine (NPB), the device with a structure of indium-tin-oxide (ITO)/NPB (40 nm)/NPB:Rubrene (0.25 wt%, 7 nm)/2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline (BCP) (30 nm)/Mg:Ag exhibited a warm white light with Commissions Internationale De L'Eclairage (CIE) coordinates of (0.38, 0.41) at 12 V. The electroluminescent spectrum of the OLED consisted of blue and yellow fluorescent emissions, the intensity of blue emission increased gradually relative to the orange emission with increasing voltage. This is mainly due to the recombination zone shifted towards the anode side as the transmission rate of electrons grows faster than that of holes under higher bias voltage. A maximum luminance of 7300 cd/m2 and a maximum power efficiency of 0.57 lm/W were achieved. Comparatively, by utilizing ultrathin dopant layer, the device with a structure of ITO/NPB (40 nm)/Rubrene (0.3 nm)/NPB (7 nm)/BCP (30 nm)/Mg:Ag achieved a low turn-on voltage of 3 V and a more stable white light. The peaks of EL spectra located at 430 and 560 nm corresponding to the CIE coordinates of (0.32, 0.32) under bias voltage ranging from 5 to 15 V. A maximum luminance of 5630 cd/m2 and a maximum power efficiency of 0.6 lm/W were achieved. The balanced spectra were attributed to the stable confining of charge carriers and exciton by the thin emitting layers. Hence, with simple device structure and fabricating process, the device with ultrathin layer achieved low turn-on voltage, stable white light emitting and higher power efficiency.

  20. Archetypal structure of ultrathin alumina films: Grazing-incidence x-ray diffraction on Ni(111)

    NASA Astrophysics Data System (ADS)

    Prévot, G.; Le Moal, S.; Bernard, R.; Croset, B.; Lazzari, R.; Schmaus, D.

    2012-05-01

    We have studied by grazing-incidence x-ray diffraction the atomic structure of an ultrathin alumina film grown on Ni(111). We show that, since there is neither registry between the film and the substrate nor induced Ni relaxations, this system appears to be a prototypical freestanding oxide layer. We have been able to unambiguously determine the three-dimensional structure of the film, which consists of a substrate/Al16/O24/Al24/O28 stacking within a (18.23 × 10.53 Å) R0° unit cell. From the different Al coordinations (3/4/5) in the layer and from the precise determination of the Al-O interatomic distances, we conclude that the film structure presents some similarities with the η phase of bulk alumina, which also has a high surface/bulk ratio. The precise comparison between these two structures allows us to explain that the perfect 3 ratio between the two sides of the mesh of the film is governed by the stacking of the two central planes, combining oxygen close-packed atoms below Al atoms in tetrahedral or pyramidal positions. Moreover, Al atoms at the interface plane of the ultrathin film adopt a quasitrihedral configuration, which confirms that, in the alumina η phase, Al atoms with such a coordination are located near the surface of the nanocrystals. The atomic structure is also very close to the one first proposed by Kresse [G. Kresse, M. Schmid, E. Napetschnig, M. Shishkin, L. Köhler, and P. Varga, ScienceSCIEAS0036-807510.1126/science.1107783 308, 1440 (2005)] for alumina films on NiAl(110). This strongly suggests that this atomic model, within small variations, can be extended to ultrathin alumina film on numerous other metal substrates and may be quasi-intrinsic to a freestanding layer rather than governed by the interactions between the film and the substrate.

  1. High-Performance Ultrathin Active Chiral Metamaterials.

    PubMed

    Wu, Zilong; Chen, Xiaodong; Wang, Mingsong; Dong, Jianwen; Zheng, Yuebing

    2018-05-22

    Ultrathin active chiral metamaterials with dynamically tunable and responsive optical chirality enable new optical sensors, modulators, and switches. Herein, we develop ultrathin active chiral metamaterials of highly tunable chiroptical responses by inducing tunable near-field coupling in the metamaterials and exploit the metamaterials as ultrasensitive sensors to detect trace amounts of solvent impurities. To demonstrate the active chiral metamaterials mediated by tunable near-field coupling, we design moiré chiral metamaterials (MCMs) as model metamaterials, which consist of two layers of identical Au nanohole arrays stacked upon one another in moiré patterns with a dielectric spacer layer between the Au layers. Our simulations, analytical fittings, and experiments reveal that spacer-dependent near-field coupling exists in the MCMs, which significantly enhances the spectral shift and line shape change of the circular dichroism (CD) spectra of the MCMs. Furthermore, we use a silk fibroin thin film as the spacer layer in the MCM. With the solvent-controllable swelling of the silk fibroin thin films, we demonstrate actively tunable near-field coupling and chiroptical responses of the silk-MCMs. Impressively, we have achieved the spectral shift over a wavelength range that is more than one full width at half-maximum and the sign inversion of the CD spectra in a single ultrathin (1/5 of wavelength in thickness) MCM. Finally, we apply the silk-MCMs as ultrasensitive sensors to detect trace amounts of solvent impurities down to 200 ppm, corresponding to an ultrahigh sensitivity of >10 5 nm/refractive index unit (RIU) and a figure of merit of 10 5 /RIU.

  2. Investigation and statistical modeling of InAs-based double gate tunnel FETs for RF performance enhancement

    NASA Astrophysics Data System (ADS)

    Poorvasha, S.; Lakshmi, B.

    2018-05-01

    In this paper, RF performance analysis of InAs-based double gate (DG) tunnel field effect transistors (TFETs) is investigated in both qualitative and quantitative fashion. This investigation is carried out by varying the geometrical and doping parameters of TFETs to extract various RF parameters, unity gain cut-off frequency (f t), maximum oscillation frequency (f max), intrinsic gain and admittance (Y) parameters. An asymmetric gate oxide is introduced in the gate-drain overlap and compared with that of DG TFETs. Higher ON-current (I ON) of about 0.2 mA and less leakage current (I OFF) of 29 fA is achieved for DG TFET with gate-drain overlap. Due to increase in transconductance (g m), higher f t and intrinsic gain is attained for DG TFET with gate-drain overlap. Higher f max of 985 GHz is obtained for drain doping of 5 × 1017 cm‑3 because of the reduced gate-drain capacitance (C gd) with DG TFET with gate-drain overlap. In terms of Y-parameters, gate oxide thickness variation offers better performance due to the reduced values of C gd. A second order numerical polynomial model is generated for all the RF responses as a function of geometrical and doping parameters. The simulation results are compared with this numerical model where the predicted values match with the simulated values. Project supported by the Department of Science and Technology, Government of India under SERB Scheme (No. SERB/F/2660).

  3. Direct protein detection with a nano-interdigitated array gate MOSFET.

    PubMed

    Tang, Xiaohui; Jonas, Alain M; Nysten, Bernard; Demoustier-Champagne, Sophie; Blondeau, Franoise; Prévot, Pierre-Paul; Pampin, Rémi; Godfroid, Edmond; Iñiguez, Benjamin; Colinge, Jean-Pierre; Raskin, Jean-Pierre; Flandre, Denis; Bayot, Vincent

    2009-08-15

    A new protein sensor is demonstrated by replacing the gate of a metal oxide semiconductor field effect transistor (MOSFET) with a nano-interdigitated array (nIDA). The sensor is able to detect the binding reaction of a typical antibody Ixodes ricinus immunosuppressor (anti-Iris) protein at a concentration lower than 1 ng/ml. The sensor exhibits a high selectivity and reproducible specific detection. We provide a simple model that describes the behavior of the sensor and explains the origin of its high sensitivity. The simulated and experimental results indicate that the drain current of nIDA-gate MOSFET sensor is significantly increased with the successive binding of the thiol layer, Iris and anti-Iris protein layers. It is found that the sensor detection limit can be improved by well optimizing the geometrical parameters of nIDA-gate MOSFET. This nanobiosensor, with real-time and label-free capabilities, can easily be used for the detection of other proteins, DNA, virus and cancer markers. Moreover, an on-chip associated electronics nearby the sensor can be integrated since its fabrication is compatible with complementary metal oxide semiconductor (CMOS) technology.

  4. Volumetric measurement of human red blood cells by MOSFET-based microfluidic gate.

    PubMed

    Guo, Jinhong; Ai, Ye; Cheng, Yuanbing; Li, Chang Ming; Kang, Yuejun; Wang, Zhiming

    2015-08-01

    In this paper, we present a MOSFET-based (metal oxide semiconductor field-effect transistor) microfluidic gate to characterize the translocation of red blood cells (RBCs) through a gate. In the microfluidic system, the bias voltage modulated by the particles or biological cells is connected to the gate of MOSFET. The particles or cells can be detected by monitoring the MOSFET drain current instead of DC/AC-gating method across the electronic gate. Polystyrene particles with various standard sizes are utilized to calibrate the proposed device. Furthermore, RBCs from both adults and newborn blood sample are used to characterize the performance of the device in distinguishing the two types of RBCs. As compared to conventional DC/AC current modulation method, the proposed device demonstrates a higher sensitivity and is capable of being a promising platform for bioassay analysis. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    NASA Astrophysics Data System (ADS)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  6. Light-induced negative differential resistance in gate-controlled graphene-silicon photodiode

    NASA Astrophysics Data System (ADS)

    Liu, Wei; Guo, Hongwei; Li, Wei; Wan, Xia; Bodepudi, Srikrishna Chanakya; Shehzad, Khurram; Xu, Yang

    2018-05-01

    In this letter, we investigated light-induced negative differential resistance (L-NDR) effects in a hybrid photodiode formed by a graphene-silicon (GS) junction and a neighboring graphene-oxide-Si (GOS) capacitor. We observed two distinct L-NDR effects originating from the gate-dependent surface recombination and the potential-well-induced confinement of photo-carriers in the GOS region. We verified this by studying the gate-controlled GS diode, which can distinguish the photocurrent from the GS region with that from the GOS region (gate). A large peak-to-valley ratio of up to 12.1 has been obtained for the L-NDR due to gate-dependent surface recombination. Such strong L-NDR effect provides an opportunity to further engineer the optoelectronic properties of GS junctions along with exploring its potential applications in photodetectors, photo-memories, and position sensitive devices.

  7. Performance regeneration of InGaZnO transistors with ultra-thin channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Binglei; Li, He; Zhang, Xijian, E-mail: zhangxijian@sdu.edu.cn, E-mail: songam@sdu.edu.cn

    2015-03-02

    Thin-film transistors (TFTs) based on ultra-thin amorphous indium gallium zinc oxide (a-IGZO) semiconductors down to 4 nm were studied motivated by the increasing cost of indium. At and below 5 nm, it was found that the field-effect mobility was severely degraded, the threshold voltage increased, and the output characteristics became abnormal showing no saturated current. By encapsulating a layer of polymethyl methacrylate on the IGZO TFTs, the performance of the 5-nm-thick device was effectively recovered. The devices also showed much higher on/off ratios, improved hysteresis, and normal output characteristic curves as compared with devices not encapsulated. The stability of the encapsulated devicesmore » was also studied over a four month period.« less

  8. Dual-Gated Active Metasurface at 1550 nm with Wide (>300°) Phase Tunability.

    PubMed

    Kafaie Shirmanesh, Ghazaleh; Sokhoyan, Ruzan; Pala, Ragip A; Atwater, Harry A

    2018-05-09

    Active metasurfaces composed of electrically reconfigurable nanoscale subwavelength antenna arrays can enable real-time control of scattered light amplitude and phase. Achievement of widely tunable phase and amplitude in chip-based active metasurfaces operating at or near 1550 nm wavelength has considerable potential for active beam steering, dynamic hologram rendition, and realization of flat optics with reconfigurable focal lengths. Previously, electrically tunable conducting oxide-based reflectarray metasurfaces have demonstrated dynamic phase control of reflected light with a maximum phase shift of 184° ( Nano Lett. 2016 , 16 , 5319 ). Here, we introduce a dual-gated reflectarray metasurface architecture that enables much wider (>300°) phase tunability. We explore light-matter interactions with dual-gated metasurface elements that incorporate two independent voltage-controlled MOS field effect channels connected in series to form a single metasurface element that enables wider phase tunability. Using indium tin oxide (ITO) as the active metasurface material and a composite hafnia/alumina gate dielectric, we demonstrate a prototype dual-gated metasurface with a continuous phase shift from 0 to 303° and a relative reflectance modulation of 89% under applied voltage bias of 6.5 V.

  9. Fabrication of Large-area Free-standing Ultrathin Polymer Films

    PubMed Central

    Stadermann, Michael; Baxamusa, Salmaan H.; Aracne-Ruddle, Chantel; Chea, Maverick; Li, Shuaili; Youngblood, Kelly; Suratwala, Tayyab

    2015-01-01

    This procedure describes a method for the fabrication of large-area and ultrathin free-standing polymer films. Typically, ultrathin films are prepared using either sacrificial layers, which may damage the film or affect its mechanical properties, or they are made on freshly cleaved mica, a substrate that is difficult to scale. Further, the size of ultrathin film is typically limited to a few square millimeters. In this method, we modify a surface with a polyelectrolyte that alters the strength of adhesion between polymer and deposition substrate. The polyelectrolyte can be shown to remain on the wafer using spectroscopy, and a treated wafer can be used to produce multiple films, indicating that at best minimal amounts of the polyelectrolyte are added to the film. The process has thus far been shown to be limited in scalability only by the size of the coating equipment, and is expected to be readily scalable to industrial processes. In this study, the protocol for making the solutions, preparing the deposition surface, and producing the films is described. PMID:26066738

  10. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  11. Dynamics of metal-induced crystallization of ultrathin Ge films by rapid thermal annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Yuanxun; Huang, Shujuan; Shrestha, Santosh

    2015-12-07

    Though Ge crystallization has been widely studied, few works investigate metal-induced crystallization of ultrathin Ge films. For 2 nm Ge films in oxide matrix, crystallization becomes challenging due to easy oxidation and low mobility of Ge atoms. Introducing metal atoms may alleviate these problems, but the functions and the behaviours of metal atoms need to be clarified. This paper investigates the crystallization dynamics of a multilayer structure 1.9 nm Ge/0.5 nm Al/1.5 nm Al{sub 2}O{sub 3} under rapid thermal annealing (RTA). The functions of metal atoms, like effective anti-oxidation, downshifting Raman peaks, and incapability to decrease crystallization temperature, are found and explained. The metalmore » behaviours, such as inter-diffusion and defect generation, are supported with direct evidences, Al-Ge nanobicrystals, and Al cluster in Ge atoms. With these understandings, a two-step RTA process achieves high-quality 2 nm nanocrystal Ge films with Raman peak at 298 cm{sup −1} of FWHM 10.3 cm{sup −1} and atomic smooth interfaces.« less

  12. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  13. Ultrathin zoom lens system based on liquid lenses

    NASA Astrophysics Data System (ADS)

    Li, Lei; Liu, Chao; Wang, Qiong-Hua

    2015-07-01

    In this paper, we propose an ultrathin zoom lens system based on liquid lenses. The proposed system consists of an annular folded lens and three electrowetting liquid lenses. The annular folded lens has several concentric surfaces. The annular folded lens is used to get the main power and correct aberrations. The three liquid lenses are used to change the focal length and correct aberration. An analysis of the proposed system is presented along with the design, fabrication, and testing of a prototype. All the elements in the proposed system are very thin, so the system is an ultrathin zoom lens system, which has potential application as lightweight, thin, high-quality imagers for aerospace, consumer, and military applications.

  14. Physicochemically functional ultrathin films by interfacial polymerization

    DOEpatents

    Lonsdale, Harold K.; Babcock, Walter C.; Friensen, Dwayne T.; Smith, Kelly L.; Johnson, Bruce M.; Wamser, Carl C.

    1990-01-01

    Interfacially-polymerized ultrathin films containing physicochemically functional groups are disclosed, both with and without supports. Various applications are disclsoed, including membrane electrodes, selective membranes and sorbents, biocompatible materials, targeted drug delivery, and narrow band optical absorbers.

  15. VLSI Implementation of Fault Tolerance Multiplier based on Reversible Logic Gate

    NASA Astrophysics Data System (ADS)

    Ahmad, Nabihah; Hakimi Mokhtar, Ahmad; Othman, Nurmiza binti; Fhong Soon, Chin; Rahman, Ab Al Hadi Ab

    2017-08-01

    Multiplier is one of the essential component in the digital world such as in digital signal processing, microprocessor, quantum computing and widely used in arithmetic unit. Due to the complexity of the multiplier, tendency of errors are very high. This paper aimed to design a 2×2 bit Fault Tolerance Multiplier based on Reversible logic gate with low power consumption and high performance. This design have been implemented using 90nm Complemetary Metal Oxide Semiconductor (CMOS) technology in Synopsys Electronic Design Automation (EDA) Tools. Implementation of the multiplier architecture is by using the reversible logic gates. The fault tolerance multiplier used the combination of three reversible logic gate which are Double Feynman gate (F2G), New Fault Tolerance (NFT) gate and Islam Gate (IG) with the area of 160μm x 420.3μm (67.25 mm2). This design achieved a low power consumption of 122.85μW and propagation delay of 16.99ns. The fault tolerance multiplier proposed achieved a low power consumption and high performance which suitable for application of modern computing as it has a fault tolerance capabilities.

  16. A thermalization energy analysis of the threshold voltage shift in amorphous indium gallium zinc oxide thin film transistors under positive gate bias stress

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Niang, K. M.; Flewitt, A. J., E-mail: ajf@eng.cam.ac.uk; Barquinha, P. M. C.

    Thin film transistors (TFTs) employing an amorphous indium gallium zinc oxide (a-IGZO) channel layer exhibit a positive shift in the threshold voltage under the application of positive gate bias stress (PBS). The time and temperature dependence of the threshold voltage shift was measured and analysed using the thermalization energy concept. The peak energy barrier to defect conversion is extracted to be 0.75 eV and the attempt-to-escape frequency is extracted to be 10{sup 7} s{sup −1}. These values are in remarkable agreement with measurements in a-IGZO TFTs under negative gate bias illumination stress (NBIS) reported recently (Flewitt and Powell, J. Appl. Phys.more » 115, 134501 (2014)). This suggests that the same physical process is responsible for both PBS and NBIS, and supports the oxygen vacancy defect migration model that the authors have previously proposed.« less

  17. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Inumiya, S.; Matsuki, T.; Aoyama, T.; Nara, Y.; Ishibashi, S.; Ohdaira, T.; Suzuki, R.; Miyazaki, S.; Yamada, K.

    2007-09-01

    Vacancy-fluorine complexes in metal-oxide semiconductors (MOS) with high-k gate dielectrics were studied using a positron annihilation technique. F+ ions were implanted into Si substrates before the deposition of gate dielectrics (HfSiON). The shift of threshold voltage (Vth) in MOS capacitors and an increase in Fermi level position below the HfSiON/Si interface were observed after F+ implantation. Doppler broadening spectra of the annihilation radiation and positron lifetimes were measured before and after HfSiON fabrication processes. From a comparison between Doppler broadening spectra and those obtained by first-principles calculation, the major defect species in Si substrates after annealing treatment (1050 °C, 5 s) was identified as vacancy-fluorine complexes (V3F2). The origin of the Vth shift in the MOS capacitors was attributed to V3F2 located in channel regions.

  18. Generalized Self-Doping Engineering towards Ultrathin and Large-Sized Two-Dimensional Homologous Perovskites.

    PubMed

    Chen, Junnian; Wang, Yaguang; Gan, Lin; He, Yunbin; Li, Huiqiao; Zhai, Tianyou

    2017-11-20

    Two-dimensional (2D) homologous perovskites are arousing intense interest in photovoltaics and light-emitting fields, attributing to significantly improved stability and increasing optoelectronic performance. However, investigations on 2D homologous perovskites with ultrathin thickness and large lateral dimension have been seldom reported, being mainly hindered by challenges in synthesis. A generalized self-doping directed synthesis of ultrathin 2D homologous (BA) 2 (MA) n-1 Pb n Br 3n+1 (1Ultrathin (BA) 2 (MA) n-1 Pb n Br 3n+1 perovskites are formed via an intercalation-merging mechanism, with thickness shrinking down to 4.2 nm and the lateral dimension to 57 μm. The ultrathin 2D homologous (BA) 2 (MA) n-1 Pb n Br 3n+1 perovskites are potential materials for photodetectors with promising photoresponse and stability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. An Atomic-Scale X-ray View of Functional Oxide Films

    NASA Astrophysics Data System (ADS)

    Tung, I.-Cheng

    Complex oxides are a class of materials that exhibit a wide variety of physical functionalities, such as ferroelectricity, colossal magnetoresistance, mulitferroicity and superconductivity, with outstanding potential for meeting many of our technological demands. The primary objective of this dissertation is to understand the structural and electronic behavior of complex oxide ultrathin films subjected to confinement, lattice misfit and broken symmetry at the interface. In complex oxide ultrathin films, heteroepitaxial synthesis has evolved into a reliable strategy to engineer orbital-lattice interactions in correlated materials and led to new and entirely unexpected phenomena at their interfaces. I experimentally demonstrated that the bulk crystal symmetry directs the atomic and orbital responses adopted by coherently strained ultrathin films of RNiO3 (R = La, Nd) with detailed X-ray scattering, polarization-dependent X-ray absorption spectroscopy (XAS) and supported by a mathematical point group symmetry analysis, found that strain-stabilized phases maintain a ``memory'' of their bulk state. This topic, however, touched only upon the properties of such films. A fundamental challenge in this research area occurs before this and centers around the understanding of how to create high-quality films with arbitrary configurations. A longstanding challenge in the oxide thin film community has been the growth of An+1BnO3 n+1 Ruddlesden-Popper (RP) compounds. To understand this problem, we have utilized a newly constructed oxide MBE with in situ synchrotron X-ray scattering capability to study the initial growth of such layered oxides and track the dynamic evolution. X-ray results are supported by theoretical calculations that demonstrated the layered oxide films dynamically rearrange during growth, leading to structures that are highly unexpected, and suggest a general approach that may be essential for the construction of metastable RP phases with performing the first

  20. Multifunctional Logic Gate Controlled by Supply Voltage

    NASA Technical Reports Server (NTRS)

    Stoica, Adrian; Zebulum, Ricardo

    2005-01-01

    A complementary metal oxide/semiconductor (CMOS) electronic circuit functions as a NAND gate at a power-supply potential (V(sub dd)) of 3.3 V and as NOR gate for V(sub dd) = 1.8 V. In the intermediate V(sub dd) range of 1.8 to 3.3 V, this circuit performs a function intermediate between NAND and NOR with degraded noise margin. Like the circuit of the immediately preceding article, this circuit serves as a demonstration of the evolutionary approach to design of polymorphic electronics -- a technological discipline that emphasizes evolution of the design of a circuit to perform different analog and/or digital functions under different conditions. In this instance, the different conditions are different values of V(sub dd).

  1. Ultrathin forward-imaging short multimode fiber probe for full-field optical coherence microscopy

    NASA Astrophysics Data System (ADS)

    Sato, Manabu; Saito, Daisuke; Shouji, Kou; Kurotani, Reiko; Abe, Hiroyuki; Nishidate, Izumi

    2016-12-01

    To extend the applications of optical coherence tomography (OCT) to the fields of physiology and clinical medicine, less invasive, robust, and reliable optical probes are required. Thus, we demonstrate an ultrathin forward-imaging short multimode fiber (SMMF) optical coherence microscopy (OCM) probe with a 50 μm core diameter, 125 μm total diameter, and 5.12 mm length. Imaging conditions and magnification were analyzed, and they correspond closely to the measured results. The dispersion of the SMMF was investigated, and the modal dispersion coefficient was found to be 2.3% of the material dispersion coefficient. The axial resolution was minimized at 2.15 μm using a 0.885-mm-thick dispersion compensator. The lateral resolution was evaluated to be 4.38 μm using a test pattern. The contrast of the OCM images was 5.7 times higher than that of the signal images owing to the coherence gate. The depth of focus and diameter of the field of view were measured to be 60 μm and 40-50 μm, respectively. OCM images of the dried fins of small fish (Medaka) were measured and internal structures could be recognized.

  2. Quantum Corrections to the 'Atomistic' MOSFET Simulations

    NASA Technical Reports Server (NTRS)

    Asenov, Asen; Slavcheva, G.; Kaya, S.; Balasubramaniam, R.

    2000-01-01

    We have introduced in a simple and efficient manner quantum mechanical corrections in our 3D 'atomistic' MOSFET simulator using the density gradient formalism. We have studied in comparison with classical simulations the effect of the quantum mechanical corrections on the simulation of random dopant induced threshold voltage fluctuations, the effect of the single charge trapping on interface states and the effect of the oxide thickness fluctuations in decanano MOSFETs with ultrathin gate oxides. The introduction of quantum corrections enhances the threshold voltage fluctuations but does not affect significantly the amplitude of the random telegraph noise associated with single carrier trapping. The importance of the quantum corrections for proper simulation of oxide thickness fluctuation effects has also been demonstrated.

  3. Electron transport in ultra-thin films and ballistic electron emission microscopy

    NASA Astrophysics Data System (ADS)

    Claveau, Y.; Di Matteo, S.; de Andres, P. L.; Flores, F.

    2017-03-01

    We have developed a calculation scheme for the elastic electron current in ultra-thin epitaxial heterostructures. Our model uses a Keldysh’s non-equilibrium Green’s function formalism and a layer-by-layer construction of the epitaxial film. Such an approach is appropriate to describe the current in a ballistic electron emission microscope (BEEM) where the metal base layer is ultra-thin and generalizes a previous one based on a decimation technique appropriated for thick slabs. This formalism allows a full quantum mechanical description of the transmission across the epitaxial heterostructure interface, including multiple scattering via the Dyson equation, which is deemed a crucial ingredient to describe interfaces of ultra-thin layers properly in the future. We introduce a theoretical formulation needed for ultra-thin layers and we compare with results obtained for thick Au(1 1 1) metal layers. An interesting effect takes place for a width of about ten layers: a BEEM current can propagate via the center of the reciprocal space (\\overlineΓ ) along the Au(1 1 1) direction. We associate this current to a coherent interference finite-width effect that cannot be found using a decimation technique. Finally, we have tested the validity of the handy semiclassical formalism to describe the BEEM current.

  4. Polarity-driven oxygen vacancy formation in ultrathin LaNiO 3 films on SrTiO 3

    DOE PAGES

    Tung, I-Cheng; Luo, Guangfu; Lee, June Hyuk; ...

    2017-10-18

    Oxide heterostructures offer a pathway to control emergent phases in complex oxides, but their creation often leads to boundaries that have a polar discontinuity. In order to fabricate atomic-scale arrangements of dissimilar materials, we need a clear understanding of the pathways by which materials resolve polarity issues. By examining the real-time lattice structure in-situ during growth for the case of polar LaNiO 3 synthesized on non-polar SrTiO 3 (001), we demonstrate how films in ultra-thin limit form as LaNiO 2.5 and then evolve into LaNiO 3 as the thickness increases. Theory explains how the polar energetics drives the formation ofmore » oxygen vacancies and the stability of these phases with thickness and structure.« less

  5. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    NASA Astrophysics Data System (ADS)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  6. Polyelectrolyte/Graphene Oxide Barrier Film for Flexible OLED.

    PubMed

    Yang, Seung-Yeol; Park, Jongwhan; Kim, Yong-Seog

    2015-10-01

    Ultra-thin flexible nano-composite barrier layer consists of graphene oxide and polyelectrolyte was prepared using the layer-by-layer processing method. Microstructures of the barrier layer was optimized via modifying coating conditions and inducing chemical reactions. Although the barrier layer consists of hydrophilic polyelectrolyte was not effective in blocking the water vapor permeation, the chemical reduction of graphene oxide as well as conversion of polyelectrolyte to hydrophobic nature were very effective in reducing the permeation.

  7. Subatomic deformation driven by vertical piezoelectricity from CdS ultrathin films

    PubMed Central

    Wang, Xuewen; He, Xuexia; Zhu, Hongfei; Sun, Linfeng; Fu, Wei; Wang, Xingli; Hoong, Lai Chee; Wang, Hong; Zeng, Qingsheng; Zhao, Wu; Wei, Jun; Jin, Zhong; Shen, Zexiang; Liu, Jie; Zhang, Ting; Liu, Zheng

    2016-01-01

    Driven by the development of high-performance piezoelectric materials, actuators become an important tool for positioning objects with high accuracy down to nanometer scale, and have been used for a wide variety of equipment, such as atomic force microscopy and scanning tunneling microscopy. However, positioning at the subatomic scale is still a great challenge. Ultrathin piezoelectric materials may pave the way to positioning an object with extreme precision. Using ultrathin CdS thin films, we demonstrate vertical piezoelectricity in atomic scale (three to five space lattices). With an in situ scanning Kelvin force microscopy and single and dual ac resonance tracking piezoelectric force microscopy, the vertical piezoelectric coefficient (d33) up to 33 pm·V−1 was determined for the CdS ultrathin films. These findings shed light on the design of next-generation sensors and microelectromechanical devices. PMID:27419234

  8. Subatomic deformation driven by vertical piezoelectricity from CdS ultrathin films.

    PubMed

    Wang, Xuewen; He, Xuexia; Zhu, Hongfei; Sun, Linfeng; Fu, Wei; Wang, Xingli; Hoong, Lai Chee; Wang, Hong; Zeng, Qingsheng; Zhao, Wu; Wei, Jun; Jin, Zhong; Shen, Zexiang; Liu, Jie; Zhang, Ting; Liu, Zheng

    2016-07-01

    Driven by the development of high-performance piezoelectric materials, actuators become an important tool for positioning objects with high accuracy down to nanometer scale, and have been used for a wide variety of equipment, such as atomic force microscopy and scanning tunneling microscopy. However, positioning at the subatomic scale is still a great challenge. Ultrathin piezoelectric materials may pave the way to positioning an object with extreme precision. Using ultrathin CdS thin films, we demonstrate vertical piezoelectricity in atomic scale (three to five space lattices). With an in situ scanning Kelvin force microscopy and single and dual ac resonance tracking piezoelectric force microscopy, the vertical piezoelectric coefficient (d 33) up to 33 pm·V(-1) was determined for the CdS ultrathin films. These findings shed light on the design of next-generation sensors and microelectromechanical devices.

  9. Physicochemically functional ultrathin films by interfacial polymerization

    DOEpatents

    Lonsdale, H.K.; Babcock, W.C.; Friensen, D.T.; Smith, K.L.; Johnson, B.M.; Wamser, C.C.

    1990-08-14

    Interfacially-polymerized ultrathin films containing physicochemically functional groups are disclosed, both with and without supports. Various applications are disclosed, including membrane electrodes, selective membranes and sorbents, biocompatible materials, targeted drug delivery, and narrow band optical absorbers. 3 figs.

  10. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    NASA Astrophysics Data System (ADS)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  11. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  12. Role of F357 as an Oxygen Gate in the Oxidative Half-Reaction of Choline Oxidase.

    PubMed

    Salvi, Francesca; Rodriguez, Isela; Hamelberg, Donald; Gadda, Giovanni

    2016-03-15

    Choline oxidase from Arthrobacter globiformis catalyzes the oxidation of choline to glycine betaine by using oxygen as an electron acceptor. A partially rate limiting isomerization of the reduced wild-type enzyme during the reaction with oxygen was previously detected using solvent viscosity effects. In this study, we hypothesized that the side chains of M62 and F357, located at the entrance to the active site of choline oxidase, may be related to the slow isomerization detected. We engineered a double-variant enzyme M62A/F357A. The kinetic characterization of the double-variant enzyme showed a lack of the isomerization detected in wild-type choline oxidase, and a lack of saturation with an oxygen concentration as high as 1 mM, while most other kinetic parameters were similar to those of wild-type choline oxidase. The kinetic characterization of the single-variant enzymes established that only the side chain of F357 plays a role in the isomerization of choline oxidase in the oxidative half-reaction. Molecular dynamics studies suggest that the slow isomerization related to F357 is possibly due to the participation of the phenyl ring in a newly proposed gating mechanism for a narrow tunnel, assumed to regulate the access of oxygen to the reduced cofactor.

  13. Ultra-thin passivating film induced by vinylene carbonate on highly oriented pyrolytic graphite negative electrode in lithium-ion cell

    NASA Astrophysics Data System (ADS)

    Matsuoka, O.; Hiwara, A.; Omi, T.; Toriida, M.; Hayashi, T.; Tanaka, C.; Saito, Y.; Ishida, T.; Tan, H.; Ono, S. S.; Yamamoto, S.

    We investigated the influence of vinylene carbonate, as an additive molecule, on the decomposition phenomena of electrolyte solution [ethylene carbonate (EC)—ethyl methyl carbonate (EMC) (1:2 by volume) containing 1 M LiPF 6] on a highly oriented pyrolytic graphite (HOPG) negative electrode by using cyclic voltammetry (CV) and atomic force microscopy (AFM). Vinylene carbonate deactivated reactive sites (e.g. radicals and oxides at the defects and the edge of carbon layer) on the cleaved surface of the HOPG negative electrode, and prevented further decomposition of the other solvents there. Further, vinylene carbonate induced an ultra-thin film (less than 1.0 nm in thickness) on the terrace of the basal plane of the HOPG negative electrode, and this film suppressed the decomposition of electrolyte solution on the terraces of the basal plane. We consider that this ultra-thin passivating film is composed of a reduction product of vinylene carbonate (VC), and might have a polymer structure. These induced effects might explain how VC improves the life performance of lithium-ion cells.

  14. A novel optical gating method for laser gated imaging

    NASA Astrophysics Data System (ADS)

    Ginat, Ran; Schneider, Ron; Zohar, Eyal; Nesher, Ofer

    2013-06-01

    For the past 15 years, Elbit Systems is developing time-resolved active laser-gated imaging (LGI) systems for various applications. Traditional LGI systems are based on high sensitive gated sensors, synchronized to pulsed laser sources. Elbit propriety multi-pulse per frame method, which is being implemented in LGI systems, improves significantly the imaging quality. A significant characteristic of the LGI is its ability to penetrate a disturbing media, such as rain, haze and some fog types. Current LGI systems are based on image intensifier (II) sensors, limiting the system in spectral response, image quality, reliability and cost. A novel propriety optical gating module was developed in Elbit, untying the dependency of LGI system on II. The optical gating module is not bounded to the radiance wavelength and positioned between the system optics and the sensor. This optical gating method supports the use of conventional solid state sensors. By selecting the appropriate solid state sensor, the new LGI systems can operate at any desired wavelength. In this paper we present the new gating method characteristics, performance and its advantages over the II gating method. The use of the gated imaging systems is described in a variety of applications, including results from latest field experiments.

  15. Electrolyte-Sensing Transistor Decals Enabled by Ultrathin Microbial Nanocellulose

    PubMed Central

    Yuen, Jonathan D.; Walper, Scott A.; Melde, Brian J.; Daniele, Michael A.; Stenger, David A.

    2017-01-01

    We report an ultra-thin electronic decal that can simultaneously collect, transmit and interrogate a bio-fluid. The described technology effectively integrates a thin-film organic electrochemical transistor (sensing component) with an ultrathin microbial nanocellulose wicking membrane (sample handling component). As far as we are aware, OECTs have not been integrated in thin, permeable membrane substrates for epidermal electronics. The design of the biocompatible decal allows for the physical isolation of the electronics from the human body while enabling efficient bio-fluid delivery to the transistor via vertical wicking. High currents and ON-OFF ratios were achieved, with sensitivity as low as 1 mg·L−1. PMID:28102316

  16. Electrolyte-Sensing Transistor Decals Enabled by Ultrathin Microbial Nanocellulose

    NASA Astrophysics Data System (ADS)

    Yuen, Jonathan D.; Walper, Scott A.; Melde, Brian J.; Daniele, Michael A.; Stenger, David A.

    2017-01-01

    We report an ultra-thin electronic decal that can simultaneously collect, transmit and interrogate a bio-fluid. The described technology effectively integrates a thin-film organic electrochemical transistor (sensing component) with an ultrathin microbial nanocellulose wicking membrane (sample handling component). As far as we are aware, OECTs have not been integrated in thin, permeable membrane substrates for epidermal electronics. The design of the biocompatible decal allows for the physical isolation of the electronics from the human body while enabling efficient bio-fluid delivery to the transistor via vertical wicking. High currents and ON-OFF ratios were achieved, with sensitivity as low as 1 mg·L-1.

  17. Zero temperature coefficient of resistance of the electrical-breakdown path in ultrathin hafnia

    NASA Astrophysics Data System (ADS)

    Zhang, H. Z.; Ang, D. S.

    2017-09-01

    The recent widespread attention on the use of the non-volatile resistance switching property of a microscopic oxide region after electrical breakdown for memory applications has prompted basic interest in the conduction properties of the breakdown region. Here, we report an interesting crossover from a negative to a positive temperature dependence of the resistance of a breakdown region in ultrathin hafnia as the applied voltage is increased. As a consequence, a near-zero temperature coefficient of resistance is obtained at the crossover voltage. The behavior may be modeled by (1) a tunneling-limited transport involving two farthest-spaced defects along the conduction path at low voltage and (2) a subsequent transition to a scattering-limited transport after the barrier is overcome by a larger applied voltage.

  18. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    PubMed

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  19. Coexistence of Topological Edge State and Superconductivity in Bismuth Ultrathin Film.

    PubMed

    Sun, Hao-Hua; Wang, Mei-Xiao; Zhu, Fengfeng; Wang, Guan-Yong; Ma, Hai-Yang; Xu, Zhu-An; Liao, Qing; Lu, Yunhao; Gao, Chun-Lei; Li, Yao-Yi; Liu, Canhua; Qian, Dong; Guan, Dandan; Jia, Jin-Feng

    2017-05-10

    Ultrathin freestanding bismuth film is theoretically predicted to be one kind of two-dimensional topological insulators. Experimentally, the topological nature of bismuth strongly depends on the situations of the Bi films. Film thickness and interaction with the substrate often change the topological properties of Bi films. Using angle-resolved photoemission spectroscopy, scanning tunneling microscopy or spectroscopy and first-principle calculation, the properties of Bi(111) ultrathin film grown on the NbSe 2 superconducting substrate have been studied. We find the band structures of the ultrathin film is quasi-freestanding, and one-dimensional edge state exists on Bi(111) film as thin as three bilayers. Superconductivity is also detected on different layers of the film and the pairing potential exhibits an exponential decay with the layer thicknesses. Thus, the topological edge state can coexist with superconductivity, which makes the system a promising platform for exploring Majorana Fermions.

  20. Improved interface properties of Ge metal-oxide-semiconductor capacitor with TaTiO gate dielectric by using in situ TaON passivation interlayer

    NASA Astrophysics Data System (ADS)

    Ji, F.; Xu, J. P.; Liu, J. G.; Li, C. X.; Lai, P. T.

    2011-05-01

    TaON is in situ formed as a passivating interlayer in Ge metal-oxide-semiconductor (MOS) capacitors with high-k TaTiO gate dielectric fabricated simply by alternate sputtering of Ta and Ti. Also, postdeposition annealing is performed in wet N2 to suppress the growth of unstable GeOx at the Ge surface. As a result, excellent electrical properties of the Ge MOS devices are demonstrated, such as high equivalent dielectric constant (22.1), low interface-state density (7.3×1011 cm-2 eV), small gate leakage current (8.6×10-4 A cm-2 at Vg-Vfb=1 V), and high device reliability. Transmission electron microscopy and x-ray photoelectron spectroscopy support that all these should be attributed to the fact that the nitrogen barrier in the TaON interlayer can effectively block the interdiffusions of Ge and Ta, and the wet-N2 anneal can significantly suppress the growth of unstable low-k GeOx.

  1. Liquid-Solid Dual-Gate Organic Transistors with Tunable Threshold Voltage for Cell Sensing.

    PubMed

    Zhang, Yu; Li, Jun; Li, Rui; Sbircea, Dan-Tiberiu; Giovannitti, Alexander; Xu, Junling; Xu, Huihua; Zhou, Guodong; Bian, Liming; McCulloch, Iain; Zhao, Ni

    2017-11-08

    Liquid electrolyte-gated organic field effect transistors and organic electrochemical transistors have recently emerged as powerful technology platforms for sensing and simulation of living cells and organisms. For such applications, the transistors are operated at a gate voltage around or below 0.3 V because prolonged application of a higher voltage bias can lead to membrane rupturing and cell death. This constraint often prevents the operation of the transistors at their maximum transconductance or most sensitive regime. Here, we exploit a solid-liquid dual-gate organic transistor structure, where the threshold voltage of the liquid-gated conduction channel is controlled by an additional gate that is separated from the channel by a metal-oxide gate dielectric. With this design, the threshold voltage of the "sensing channel" can be linearly tuned in a voltage window exceeding 0.4 V. We have demonstrated that the dual-gate structure enables a much better sensor response to the detachment of human mesenchymal stem cells. In general, the capability of tuning the optimal sensing bias will not only improve the device performance but also broaden the material selection for cell-based organic bioelectronics.

  2. Magnetotransport Properties in High-Quality Ultrathin Two-Dimensional Superconducting Mo2C Crystals.

    PubMed

    Wang, Libin; Xu, Chuan; Liu, Zhibo; Chen, Long; Ma, Xiuliang; Cheng, Hui-Ming; Ren, Wencai; Kang, Ning

    2016-04-26

    Ultrathin transition metal carbides are a class of developing two-dimensional (2D) materials with superconductivity and show great potentials for electrical energy storage and other applications. Here, we report low-temperature magnetotransport measurements on high-quality ultrathin 2D superconducting α-Mo2C crystals synthesized by a chemical vapor deposition method. The magnetoresistance curves exhibit reproducible oscillations at low magnetic fields for temperature far below the superconducting transition temperature of the crystals. We interpret the oscillatory magnetoresistance as a consequence of screening currents circling around the boundary of triangle-shaped terraces found on the surface of ultrathin Mo2C crystals. As the sample thickness decreases, the Mo2C crystals exhibit negative magnetoresistance deep in the superconducting transition regime, which reveals strong phase fluctuations of the superconducting order parameters associated with the superconductor-insulator transition. Our results demonstrate that the ultrathin superconducting Mo2C crystals provide an interesting system for studying rich transport phenomena in a 2D crystalline superconductor with enhanced quantum fluctuations.

  3. A broadband terahertz ultrathin multi-focus lens

    PubMed Central

    He, Jingwen; Ye, Jiasheng; Wang, Xinke; Kan, Qiang; Zhang, Yan

    2016-01-01

    Ultrathin transmission metasurface devices are designed on the basis of the Yang-Gu amplitude-phase retrieval algorithm for focusing the terahertz (THz) radiation into four or nine spots with focal spacing of 2 or 3 mm at a frequency of 0.8 THz. The focal properties are experimentally investigated in detail, and the results agree well with the theoretical expectations. The designed THz multi-focus lens (TMFL) demonstrates a good focusing function over a broad frequency range from 0.3 to 1.1 THz. As a transmission-type device based on metasurface, the diffraction efficiency of the TMFL can be as high as 33.92% at the designed frequency. The imaging function of the TMFL is also demonstrated experimentally and clear images are obtained. The proposed method produces an ultrathin, low-cost, and broadband multi-focus lens for THz-band application PMID:27346430

  4. Insulator to metal transition in WO 3 induced by electrolyte gating

    DOE PAGES

    Leng, X.; Pereiro, J.; Strle, J.; ...

    2017-07-03

    Tungsten oxide and its associated bronzes (compounds of tungsten oxide and an alkali metal) are well known for their interesting optical and electrical characteristics. We have modified the transport properties of thin WO 3 films by electrolyte gating using both ionic liquids and polymer electrolytes. We are able to tune the resistivity of the gated film by more than five orders of magnitude, and a clear insulator-to-metal transition is observed. To clarify the doping mechanism, we have performed a series of incisive operando experiments, ruling out both a purely electronic effect (charge accumulation near the interface) and oxygen-related mechanisms. Wemore » propose instead that hydrogen intercalation is responsible for doping WO 3 into a highly conductive ground state and provide evidence that it can be described as a dense polaronic gas.« less

  5. Electrofluidic gating of a chemically reactive surface.

    PubMed

    Jiang, Zhijun; Stein, Derek

    2010-06-01

    We consider the influence of an electric field applied normal to the electric double layer at a chemically reactive surface. Our goal is to elucidate how surface chemistry affects the potential for field-effect control over micro- and nanofluidic systems, which we call electrofluidic gating. The charging of a metal-oxide-electrolyte (MOE) capacitor is first modeled analytically. We apply the Poisson-Boltzmann description of the double layer and impose chemical equilibrium between the ionizable surface groups and the solution at the solid-liquid interface. The chemically reactive surface is predicted to behave as a buffer, regulating the charge in the double layer by either protonating or deprotonating in response to the applied field. We present the dependence of the charge density and the electrochemical potential of the double layer on the applied field, the density, and the dissociation constants of ionizable surface groups and the ionic strength and the pH of the electrolyte. We simulate the responses of SiO(2) and Al(2)O(3), two widely used oxide insulators with different surface chemistries. We also consider the limits to electrofluidic gating imposed by the nonlinear behavior of the double layer and the dielectric strength of oxide materials, which were measured for SiO(2) and Al(2)O(3) films in MOE configurations. Our results clarify the response of chemically reactive surfaces to applied fields, which is crucial to understanding electrofluidic effects in real devices.

  6. Exploratory development and services for preparing and examining ultrathin polished sections of lunar rocks and particulates, part 1

    NASA Technical Reports Server (NTRS)

    Beauchamp, R. H.; Williford, J. F.; Gafford, E. L.

    1972-01-01

    Development of improved procedures is reported for three classes of lunar materials: dense rocks, breccias, and particulates. High quality ultrathin sections of these materials are obtained. Lists of equipment and supplies, procedures, photomicrographic documentation, and training are provided. Advantages of ultrathin polished sections for conventional and unconventional optical microscopy methods are described. Recommendations are provided for use of ultrathin sections in lunar rock studies, for further refinement of ultrathinning procedures, and for additional training efforts to establish a capability at the Manned Space Center. For Part 2, See N72-50754.

  7. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  8. Impact of La{sub 2}O{sub 3} interfacial layers on InGaAs metal-oxide-semiconductor interface properties in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks deposited by atomic-layer-deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.-Y., E-mail: cychang@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    We examine the electrical properties of atomic layer deposition (ALD) La{sub 2}O{sub 3}/InGaAs and Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs metal-oxide-semiconductor (MOS) capacitors. It is found that the thick ALD La{sub 2}O{sub 3}/InGaAs interface provides low interface state density (D{sub it}) with the minimum value of ∼3 × 10{sup 11} cm{sup −2} eV{sup −1}, which is attributable to the excellent La{sub 2}O{sub 3} passivation effect for InGaAs surfaces. It is observed, on the other hand, that there are a large amount of slow traps and border traps in La{sub 2}O{sub 3}. In order to simultaneously satisfy low D{sub it} and small hysteresis, the effectivenessmore » of Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks with ultrathin La{sub 2}O{sub 3} interfacial layers is in addition evaluated. The reduction of the La{sub 2}O{sub 3} thickness to 0.4 nm in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks leads to the decrease in hysteresis. On the other hand, D{sub it} of the Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs interfaces becomes higher than that of the La{sub 2}O{sub 3}/InGaAs ones, attributable to the diffusion of Al{sub 2}O{sub 3} through La{sub 2}O{sub 3} into InGaAs and resulting modification of the La{sub 2}O{sub 3}/InGaAs interface structure. As a result of the effective passivation effect of La{sub 2}O{sub 3} on InGaAs, however, the Al{sub 2}O{sub 3}/10 cycle (0.4 nm) La{sub 2}O{sub 3}/InGaAs gate stacks can realize still lower D{sub it} with maintaining small hysteresis and low leakage current than the conventional Al{sub 2}O{sub 3}/InGaAs MOS interfaces.« less

  9. Investigations of Topological Surface States in Sb (111) Ultrathin Films by STM/STS Experiments and DFT Calculations

    NASA Astrophysics Data System (ADS)

    Luo, Ziyu; Yao, Guanggeng; Xu, Wentao; Feng, Yuanping; Wang, Xue-Sen

    2014-03-01

    Bulk Sb was regarded as a semimetal with a nontrivial topological order. It is worth exploring whether the Sb ultrathin film has the potential to be an elementary topological insulator. In the presence of quantum confinement effect, we investigated the evolution of topological surface states in Sb (111) ultrathin films with different thickness by the scanning tunneling microscopy/ spectroscopy (STM/STS) experiments and density functional theory (DFT) calculations. By comparing the quasiparticle interference (QPI) patterns obtained from Fourier-transform scanning tunneling spectroscopy (FT-STS) and from DFT calculations, we successfully derive the spin properties of topological surface states on Sb (111) ultrathin films. In addition, based on the DFT calculations, the 8BL Sb (111) ultrathin film was proved to possess up to 30% spinseparated topological surface states within the bandgap. Therefore, the highquality 8BL Sb (111) ultrathin film could be regarded as an elementary topological insulator.

  10. Epitaxial GeSn film formed by solid phase epitaxy and its application to Yb{sub 2}O{sub 3}-gated GeSn metal-oxide-semiconductor capacitors with sub-nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Ching-Wei; Wu, Yung-Hsien; Hsieh, Ching-Heng

    2014-11-17

    Through the technique of solid phase epitaxy (SPE), an epitaxial Ge{sub 0.955}Sn{sub 0.045} film was formed on a Ge substrate by depositing an amorphous GeSn film followed by a rapid thermal annealing at 550 °C. A process that uses a SiO{sub 2} capping layer on the amorphous GeSn film during SPE was proposed and it prevents Sn precipitation from occurring while maintaining a smooth surface due to the reduced surface mobility of Sn atoms. The high-quality epitaxial GeSn film was observed to have single crystal structure, uniform thickness and composition, and tiny surface roughness with root mean square of 0.56 nm. Withmore » a SnO{sub x}-free surface, Yb{sub 2}O{sub 3}-gated GeSn metal-oxide-semiconductor (MOS) capacitors with equivalent oxide thickness (EOT) of 0.55 nm were developed. A small amount of traps inside the Yb{sub 2}O{sub 3} was verified by negligible hysteresis in capacitance measurement. Low leakage current of 0.4 A/cm{sup 2} at gate bias of flatband voltage (V{sub FB})-1 V suggests the high quality of the gate dielectric. In addition, the feasibility of using Yb{sub 2}O{sub 3} to well passivate GeSn surface was also evidenced by the small interface trap density (D{sub it}) of 4.02 × 10{sup 11} eV{sup −1} cm{sup −2}, which can be attributed to smooth GeSn surface and Yb{sub 2}O{sub 3} valency passivation. Both leakage current and D{sub it} performance outperform other passivation techniques at sub-nm EOT regime. The proposed epitaxial GeSn film along with Yb{sub 2}O{sub 3} dielectric paves an alternative way to enable high-performance GeSn MOS devices.« less

  11. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.

    PubMed

    Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun

    2012-08-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.

  12. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure

    PubMed Central

    2012-01-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal–semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials. PMID:22853458

  13. A sextuple-band ultra-thin metamaterial absorber with perfect absorption

    NASA Astrophysics Data System (ADS)

    Yu, Dingwang; Liu, Peiguo; Dong, Yanfei; Zhou, Dongming; Zhou, Qihui

    2017-08-01

    This paper presents the design, simulation and measurement of a sextuple-band ultra-thin metamaterial absorber (MA). The unit cell of this proposed structure is composed of triangular spiral-shaped complementary structures imprinted on the dielectric substrate backed by a metal ground. The measured results are in good agreement with simulations with high absorptivities of more than 90% at all six absorption frequencies. In addition, this proposed absorber has good performances of ultra-thin, polarization insensitivity and a wide-angle oblique incidence, which can easily be used in many potential applications such as detection, imaging and sensing.

  14. Defect-free erbium silicide formation using an ultrathin Ni interlayer.

    PubMed

    Choi, Juyun; Choi, Seongheum; Kang, Yu-Seon; Na, Sekwon; Lee, Hoo-Jeong; Cho, Mann-Ho; Kim, Hyoungsub

    2014-08-27

    An ultrathin Ni interlayer (∼1 nm) was introduced between a TaN-capped Er film and a Si substrate to prevent the formation of surface defects during thermal Er silicidation. A nickel silicide interfacial layer formed at low temperatures and incurred uniform nucleation and the growth of a subsequently formed erbium silicide film, effectively inhibiting the generation of recessed-type surface defects and improving the surface roughness. As a side effect, the complete transformation of Er to erbium silicide was somewhat delayed, and the electrical contact property at low annealing temperatures was dominated by the nickel silicide phase with a high Schottky barrier height. After high-temperature annealing, the early-formed interfacial layer interacted with the growing erbium silicide, presumably forming an erbium silicide-rich Er-Si-Ni mixture. As a result, the electrical contact property reverted to that of the low-resistive erbium silicide/Si contact case, which warrants a promising source/drain contact application for future high-performance metal-oxide-semiconductor field-effect transistors.

  15. Using a Floating-Gate MOS Transistor as a Transducer in a MEMS Gas Sensing System

    PubMed Central

    Barranca, Mario Alfredo Reyes; Mendoza-Acevedo, Salvador; Flores-Nava, Luis M.; Avila-García, Alejandro; Vazquez-Acosta, E. N.; Moreno-Cadenas, José Antonio; Casados-Cruz, Gaspar

    2010-01-01

    Floating-gate MOS transistors have been widely used in diverse analog and digital applications. One of these is as a charge sensitive device in sensors for pH measurement in solutions or using gates with metals like Pd or Pt for hydrogen sensing. Efforts are being made to monolithically integrate sensors together with controlling and signal processing electronics using standard technologies. This can be achieved with the demonstrated compatibility between available CMOS technology and MEMS technology. In this paper an in-depth analysis is done regarding the reliability of floating-gate MOS transistors when charge produced by a chemical reaction between metallic oxide thin films with either reducing or oxidizing gases is present. These chemical reactions need temperatures around 200 °C or higher to take place, so thermal insulation of the sensing area must be assured for appropriate operation of the electronics at room temperature. The operation principle of the proposal here presented is confirmed by connecting the gate of a conventional MOS transistor in series with a Fe2O3 layer. It is shown that an electrochemical potential is present on the ferrite layer when reacting with propane. PMID:22163478

  16. Rutherford forward scattering and elastic recoil detection (RFSERD) as a method for characterizing ultra-thin films

    DOE PAGES

    Lohn, Andrew J.; Doyle, Barney L.; Stein, Gregory J.; ...

    2014-04-03

    We present a novel ion beam analysis technique combining Rutherford forward scattering and elastic recoil detection (RFSERD) and demonstrate its ability to increase efficiency in determining stoichiometry in ultrathin (5-50 nm) films as compared to Rutherford backscattering. In the conventional forward geometries, scattering from the substrate overwhelms the signal from light atoms but in RFSERD, scattered ions from the substrate are ranged out while forward scattered ions and recoiled atoms from the thin film are simultaneously detected in a single detector. Lastly, the technique is applied to tantalum oxide memristors but can be extended to a wide range of materialsmore » systems.« less

  17. Visible-light-induced instability in amorphous metal-oxide based TFTs for transparent electronics

    NASA Astrophysics Data System (ADS)

    Ha, Tae-Jun

    2014-10-01

    We investigate the origin of visible-light-induced instability in amorphous metal-oxide based thin film transistors (oxide-TFTs) for transparent electronics by exploring the shift in threshold voltage (Vth). A large hysteresis window in amorphous indium-gallium-zinc-oxide (a-IGZO) TFTs possessing large optical band-gap (≈3 eV) was observed in a visible-light illuminated condition whereas no hysteresis window was shown in a dark measuring condition. We also report the instability caused by photo irradiation and prolonged gate bias stress in oxide-TFTs. Larger Vth shift was observed after photo-induced stress combined with a negative gate bias than the sum of that after only illumination stress and only negative gate bias stress. Such results can be explained by trapped charges at the interface of semiconductor/dielectric and/or in the gate dielectric which play a role in a screen effect on the electric field applied by gate voltage, for which we propose that the localized-states-assisted transitions by visible-light absorption can be responsible.

  18. Gate oxide thickness dependence of the leakage current mechanism in Ru/Ta2O5/SiON/Si structures

    NASA Astrophysics Data System (ADS)

    Ťapajna, M.; Paskaleva, A.; Atanassova, E.; Dobročka, E.; Hušeková, K.; Fröhlich, K.

    2010-07-01

    Leakage conduction mechanisms in Ru/Ta2O5/SiON/Si structures with rf-sputtered Ta2O5 with thicknesses ranging from 13.5 to 1.8 nm were systematically studied. Notable reaction at the Ru/Ta2O5 interface was revealed by capacitance-voltage measurements. Temperature-dependent current-voltage characteristics suggest the bulk-limited conduction mechanism in all metal-oxide-semiconductor structures. Under gate injection, Poole-Frenkel emission was identified as a dominant mechanism for 13.5 nm thick Ta2O5. With an oxide thickness decreasing down to 3.5 nm, the conduction mechanism transforms to thermionic trap-assisted tunnelling through the triangular barrier. Under substrate injection, the dominant mechanism gradually changes with decreasing thickness from thermionic trap-assisted tunnelling to trap-assisted tunnelling through the triangular barrier; Poole-Frenkel emission was not observed at all. A 0.7 eV deep defect level distributed over Ta2O5 is assumed to be responsible for bulk-limited conduction mechanisms and is attributed to H-related defects or oxygen vacancies in Ta2O5.

  19. Photo-Patterned Ion Gel Electrolyte-Gated Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jae-Hong; Gu, Yuanyan; Hong, Kihyun; Frisbie, C. Daniel; Lodge, Timothy P.

    2014-03-01

    We have developed a novel fabrication route to pattern electrolyte thin films in electrolyte-gated transistors (EGTs) using a chemically crosslinkable ABA-triblock copolymer ion gel. In the self-assembly of poly[(styrene-r-vinylbenzylazide)-b-ethylene oxide-b-(styrene-r-vinylbenzylazide)] (SOS-N3) triblock copolymer and the ionic liquid, 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ([EMI][TFSI]), the azide groups of poly(styrene-r-vinylbenzylazide) (PS-N3) end-blocks in the cores can be chemically cross-linked via UV irradiation (λ = 254 nm). Impedance spectroscopy and small-angle X-ray scattering confirmed that ion transport and microstructure of the ion gel are not affected by UV cross-linking. Using this chemical cross-linking strategy, we demonstrate a photo-patterning of ion gels through a patterned mask and the fabricated electrolyte-gated thin film transistors with photo-patterned ion gels as high-capacitance gate insulators exhibited high device performance (low operation voltages and high on/off current ratios).

  20. Carrier mobility degradation due to high dose implantation in ultrathin unstrained and strained silicon-on-insulator films

    NASA Astrophysics Data System (ADS)

    Dupré, C.; Ernst, T.; Hartmann, J.-M.; Andrieu, F.; Barnes, J.-P.; Rivallin, P.; Faynot, O.; Deleonibus, S.; Fazzini, P. F.; Claverie, A.; Cristoloveanu, S.; Ghibaudo, G.; Cristiano, F.

    2007-11-01

    Based on electrical measurements and transmission electron microscopy (TEM) imaging, we propose an explanation for the electron and hole mobility degradation with gate length reduction in metal-oxide-semiconductor field effect transistors (MOSFETs). We demonstrate that ion implantation, normally used for source/drain doping, is responsible for transport degradation for short-channel devices. Implantation impact on electrons and holes mobility was investigated both on silicon-on-insulator (SOI) and tensile strained silicon-on-insulator (sSOI) substrates. Wafers with ultrathin Si films (from 8 to 35 nm) were Ge implanted at 3 keV and various concentrations (from 5×1014 to 2×1015 atoms cm-2), then annealed at 600 °C for 1 h. Secondary ion mass spectrometry enabled us to quantify the Ge-implanted atoms concentrations. The end-of-range defects impact on mobility was investigated with the pseudo-MOSFET technique. Measurements showed a mobility decrease as the implantation dose increased. We demonstrated that sSOI mobility is more sensitive to implantation than SOI mobility, without any implantation-induced strain relaxation in sSOI (checked using the ultraviolet Raman technique). A 36% (25%) holes (electrons) mobility degradation was measured for sSOI, while SOI presented a 21% mobility degradation for holes and 5% for electrons. Finally, the electrical results were compared with morphological studies. Plan-view TEM showed the presence of interstitial defects formed during ion implantation and annealing. The defect density was estimated to be two times higher in sSOI than in SOI, which is in full agreement with electrical results mentioned before. The results are relevant for the optimization of the source and drain regions of advanced nanoscale SOI and sSOI transistors.

  1. Laser cutting of ultra-thin glasses based on a nonlinear laser interaction effect

    NASA Astrophysics Data System (ADS)

    Chen, Jian; Wu, Zhouling

    2013-07-01

    Glass panel substrates have been widely used in consumer electronics such as in flat panel TVs, laptops, and cell phones. With the advancement in the industry, the glass substrates are becoming thinner and stronger for reduced weight and volume, which brings great challenges for traditional mechanical processes in terms of cut quality, yield, and throughput. Laser glass cutting provides a non-contact process with minimum impact and superior quality compared to the mechanical counterparts. In this paper, we presented recent progresses in advanced laser processing of ultra-thin glass substrates, especially laser-cutting of ultra-thin glasses by a high power laser through a nonlinear interaction effect. Our results indicate that this technique has great potential of application for mass production of ultra-thin glass substrates.

  2. Ultrathin metallized PBI paper

    NASA Technical Reports Server (NTRS)

    Chenevey, E. C.

    1978-01-01

    A study to determine the feasibility of preparing ultrathin papers with a target weight of 3.5 g/m squared from polybenzimidazole (PBI) fibrids was undertaken. Small hand sheets of target weight were fabricated. They were light brown, low density materials with sufficient strength to be readily handleable. Characterization of these sheets included strength, fold endurance, thermal gravimetric analysis in air and nitrogen and photomicrographs. Two different batches of PBI fibrids were studied and differences in fabrication performance were noted. In neither case could target weight papers be prepared using conventional paper making techniques.

  3. Demonstration of large field effect in topological insulator films via a high-κ back gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, C. Y.; Lin, H. Y.; Yang, S. R.

    2016-05-16

    The spintronics applications long anticipated for topological insulators (TIs) has been hampered due to the presence of high density intrinsic defects in the bulk states. In this work we demonstrate the back-gating effect on TIs by integrating Bi{sub 2}Se{sub 3} films 6–10 quintuple layer (QL) thick with amorphous high-κ oxides of Al{sub 2}O{sub 3} and Y{sub 2}O{sub 3}. Large gating effect of tuning the Fermi level E{sub F} to very close to the band gap was observed, with an applied bias of an order of magnitude smaller than those of the SiO{sub 2} back gate, and the modulation of filmmore » resistance can reach as high as 1200%. The dependence of the gating effect on the TI film thickness was investigated, and ΔN{sub 2D}/ΔV{sub g} varies with TI film thickness as ∼t{sup −0.75}. To enhance the gating effect, a Y{sub 2}O{sub 3} layer thickness 4 nm was inserted into Al{sub 2}O{sub 3} gate stack to increase the total κ value to 13.2. A 1.4 times stronger gating effect is observed, and the increment of induced carrier numbers is in good agreement with additional charges accumulated in the higher κ oxides. Moreover, we have reduced the intrinsic carrier concentration in the TI film by doping Te to Bi{sub 2}Se{sub 3} to form Bi{sub 2}Te{sub x}Se{sub 1−x}. The observation of a mixed state of ambipolar field that both electrons and holes are present indicates that we have tuned the E{sub F} very close to the Dirac Point. These results have demonstrated that our capability of gating TIs with high-κ back gate to pave the way to spin devices of tunable E{sub F} for dissipationless spintronics based on well-established semiconductor technology.« less

  4. Mechanism of Antiwear Property Under High Pressure of Synthetic Oil-Soluble Ultrathin MoS2 Sheets as Lubricant Additives.

    PubMed

    Chen, Zhe; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2018-01-30

    Wear occurs between two rubbing surfaces. Severe wear due to seizure under high pressure leads to catastrophic failures of mechanical systems and raises wide concerns. In this paper, a kind of synthetic oil-soluble ultrathin MoS 2 sheets is synthesized and investigated as lubricant additives between steel surfaces. It is found that, with the ultrathin MoS 2 sheets, the wear can be controlled under the nominal pressure of about 1 GPa, whereas the bearable nominal pressure for traditional lubricants is only a few hundred megapascals. It is found that when wear is under control, the real pressure between the asperities agrees with the breaking strength of ultrathin MoS 2 . Therefore, it is believed that, because of the good oil solubility and ultrasmall thickness, the ultrathin MoS 2 sheets can easily enter the contact area between the contacting asperities. Then, the localized seizure and further wear are prevented because there will be no metal-to-metal contact as long as the real pressure between the asperities is below the breaking strength of ultrathin MoS 2 . In this way, the upper limit pressure the lubricant can work is dependent on the mechanical properties of the containing ultrathin two-dimensional (2D) sheets. Additionally, ultrathin MoS 2 sheets with various lateral sizes are compared, and it is found that sheets with a larger size show better lubrication performance. This work discovers the lubrication mechanism of ultrathin MoS 2 sheets as lubricant additives and provides an inspiration to develop a novel generation of lubricant additives with high-strength ultrathin 2D materials.

  5. Gating of the designed trimeric/tetrameric voltage-gated H+ channel

    PubMed Central

    Fujiwara, Yuichiro; Kurokawa, Tatsuki; Takeshita, Kohei; Nakagawa, Atsushi; Larsson, H Peter; Okamura, Yasushi

    2013-01-01

    The voltage-gated H+ channel functions as a dimer, a configuration that is different from standard tetrameric voltage-gated channels. Each channel protomer has its own permeation pathway. The C-terminal coiled-coil domain has been shown to be necessary for both dimerization and cooperative gating in the two channel protomers. Here we report the gating cooperativity in trimeric and tetrameric Hv channels engineered by altering the hydrophobic core sequence of the coiled-coil assembly domain. Trimeric and tetrameric channels exhibited more rapid and less sigmoidal kinetics of activation of H+ permeation than dimeric channels, suggesting that some channel protomers in trimers and tetramers failed to produce gating cooperativity observed in wild-type dimers. Multimerization of trimer and tetramer channels were confirmed by the biochemical analysis of proteins, including crystallography. These findings indicate that the voltage-gated H+ channel is optimally designed as a dimeric channel on a solid foundation of the sequence pattern of the coiled-coil core, with efficient cooperative gating that ensures sustained and steep voltage-dependent H+ conductance in blood cells. PMID:23165764

  6. Ultrathin nondoped emissive layers for efficient and simple monochrome and white organic light-emitting diodes.

    PubMed

    Zhao, Yongbiao; Chen, Jiangshan; Ma, Dongge

    2013-02-01

    In this paper, highly efficient and simple monochrome blue, green, orange, and red organic light emitting diodes (OLEDs) based on ultrathin nondoped emissive layers (EMLs) have been reported. The ultrathin nondoped EML was constructed by introducing a 0.1 nm thin layer of pure phosphorescent dyes between a hole transporting layer and an electron transporting layer. The maximum external quantum efficiencies (EQEs) reached 17.1%, 20.9%, 17.3%, and 19.2% for blue, green, orange, and red monochrome OLEDs, respectively, indicating the universality of the ultrathin nondoped EML for most phosphorescent dyes. On the basis of this, simple white OLED structures are also demonstrated. The demonstrated complementary blue/orange, three primary blue/green/red, and four color blue/green/orange/red white OLEDs show high efficiency and good white emission, indicating the advantage of ultrathin nondoped EMLs on constructing simple and efficient white OLEDs.

  7. Low-voltage organic transistors on plastic comprising high-dielectric constant gate insulators

    PubMed

    Dimitrakopoulos; Purushothaman; Kymissis; Callegari; Shaw

    1999-02-05

    The gate bias dependence of the field-effect mobility in pentacene-based insulated gate field-effect transistors (IGFETs) was interpreted on the basis of the interaction of charge carriers with localized trap levels in the band gap. This understanding was used to design and fabricate IGFETs with mobility of more than 0.3 square centimeter per volt per second and current modulation of 10(5), with the use of amorphous metal oxide gate insulators. These values were obtained at operating voltage ranges as low as 5 volts, which are much smaller than previously reported results. An all-room-temperature fabrication process sequence was used, which enabled the demonstration of high-performance organic IGFETs on transparent plastic substrates, at low operating voltages for organic devices.

  8. Polymer-electrolyte-gated nanowire synaptic transistors for neuromorphic applications

    NASA Astrophysics Data System (ADS)

    Zou, Can; Sun, Jia; Gou, Guangyang; Kong, Ling-An; Qian, Chuan; Dai, Guozhang; Yang, Junliang; Guo, Guang-hua

    2017-09-01

    Polymer-electrolytes are formed by dissolving a salt in polymer instead of water, the conducting mechanism involves the segmental motion-assisted diffusion of ion in the polymer matrix. Here, we report on the fabrication of tin oxide (SnO2) nanowire synaptic transistors using polymer-electrolyte gating. A thin layer of poly(ethylene oxide) and lithium perchlorate (PEO/LiClO4) was deposited on top of the devices, which was used to boost device performances. A voltage spike applied on the in-plane gate attracts ions toward the polymer-electrolyte/SnO2 nanowire interface and the ions are gradually returned after the pulse is removed, which can induce a dynamic excitatory postsynaptic current in the nanowire channel. The SnO2 synaptic transistors exhibit the behavior of short-term plasticity like the paired-pulse facilitation and self-adaptation, which is related to the electric double-effect regulation. In addition, the synaptic logic functions and the logical function transformation are also discussed. Such single SnO2 nanowire-based synaptic transistors are of great importance for future neuromorphic devices.

  9. Ultrathin MoS2 Nanosheets with Superior Extreme Pressure Property as Boundary Lubricants.

    PubMed

    Chen, Zhe; Liu, Xiangwen; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2015-08-07

    In this paper, a new kind of oil-soluble ultrathin MoS2 nanosheets is prepared through a one-pot process. A superior extreme pressure property, which has not been attained with other nano-additives, is discovered when the nanosheets are used as lubricant additives. The as-synthesized MoS2 nanosheet is only a few atomic layers thick and tens of nanometers wide, and it is surface-modified with oleylamine so it can be well dispersed in oil or lubricant without adscititious dispersants or surfactants. By adding 1 wt% ultrathin MoS2 nanosheets, at the temperature of 120 °C, the highest load liquid paraffin can bear is tremendously improved from less than 50 N to more than 2000 N. Based on the tribological tests and analysis of the wear scar, a lubrication mechanism is proposed. It is believed that the good dispersion and the ultrathin shape of the nanosheets ensure that they can enter the contact area of the opposite sliding surfaces and act like a protective film to prevent direct contact and seizure between them. This work enriches the investigation of ultrathin MoS2 and has potential application in the mechanical industry.

  10. Ultrathin MoS2 Nanosheets with Superior Extreme Pressure Property as Boundary Lubricants

    NASA Astrophysics Data System (ADS)

    Chen, Zhe; Liu, Xiangwen; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2015-08-01

    In this paper, a new kind of oil-soluble ultrathin MoS2 nanosheets is prepared through a one-pot process. A superior extreme pressure property, which has not been attained with other nano-additives, is discovered when the nanosheets are used as lubricant additives. The as-synthesized MoS2 nanosheet is only a few atomic layers thick and tens of nanometers wide, and it is surface-modified with oleylamine so it can be well dispersed in oil or lubricant without adscititious dispersants or surfactants. By adding 1 wt% ultrathin MoS2 nanosheets, at the temperature of 120 °C, the highest load liquid paraffin can bear is tremendously improved from less than 50 N to more than 2000 N. Based on the tribological tests and analysis of the wear scar, a lubrication mechanism is proposed. It is believed that the good dispersion and the ultrathin shape of the nanosheets ensure that they can enter the contact area of the opposite sliding surfaces and act like a protective film to prevent direct contact and seizure between them. This work enriches the investigation of ultrathin MoS2 and has potential application in the mechanical industry.

  11. Nonvolatile gate effect in a ferroelectric-semiconductor quantum well.

    PubMed

    Stolichnov, Igor; Colla, Enrico; Setter, Nava; Wojciechowski, Tomasz; Janik, Elzbieta; Karczewski, Grzegorz

    2006-12-15

    Field effect transistors with ferroelectric gates would make ideal rewritable nonvolatile memories were it not for the severe problems in integrating the ferroelectric oxide directly on the semiconductor channel. We propose a powerful way to avoid these problems using a gate material that is ferroelectric and semiconducting simultaneously. First, ferroelectricity in semiconductor (Cd,Zn)Te films is proven and studied using modified piezoforce scanning probe microscopy. Then, a rewritable field effect device is demonstrated by local poling of the (Cd,Zn)Te layer of a (Cd,Zn)Te/CdTe quantum well, provoking a reversible, nonvolatile change in the resistance of the 2D electron gas. The results point to a potential new family of nanoscale one-transistor memories.

  12. Work Function of Oxide Ultrathin Films on the Ag(100) Surface.

    PubMed

    Sementa, Luca; Barcaro, Giovanni; Negreiros, Fabio R; Thomas, Iorwerth O; Netzer, Falko P; Ferrari, Anna Maria; Fortunelli, Alessandro

    2012-02-14

    Theoretical calculations of the work function of monolayer (ML) and bilayer (BL) oxide films on the Ag(100) surface are reported and analyzed as a function of the nature of the oxide for first-row transition metals. The contributions due to charge compression, charge transfer and rumpling are singled out. It is found that the presence of empty d-orbitals in the oxide metal can entail a charge flow from the Ag(100) surface to the oxide film which counteracts the decrease in the work function due to charge compression. This flow can also depend on the thickness of the film and be reduced in passing from ML to BL systems. A regular trend is observed along first-row transition metals, exhibiting a maximum for CuO, in which the charge flow to the oxide is so strong as to reverse the direction of rumpling. A simple protocol to estimate separately the contribution due to charge compression is discussed, and the difference between the work function of the bare metal surface and a Pauling-like electronegativity of the free oxide slabs is used as a descriptor quantity to predict the direction of charge transfer.

  13. Magneto-Ionic Control of Interfacial Magnetic Anisotorpy

    NASA Astrophysics Data System (ADS)

    Bauer, Uwe; Emori, Satoru; Beach, Geoffrey

    2014-03-01

    Voltage control of magnetism could bring about revolutionary new spintronic memory and logic devices. Here, we examine domain wall (DW) dynamics in ultrathin Co films and nanowires under the influence of a voltage applied across a gadolinium oxide gate dielectric that simultaneously acts as an oxygen ion conductor. We investigate two electrode configurations, one with a continuous gate dielectric and the other with a patterned gate dielectric which exhibits an open oxide edge right underneath the electrode perimeter. We demonstrate that the open oxide edge acts as a fast diffusion path for oxygen ions and allows voltage-induced switching of magnetic anisotropy at the nanoscale by modulating interfacial chemistry rather than charge density. At room temperature this effect is limited to the vicinity of the open oxide edge, but at a temperature of 100°C it allows complete control over magnetic anisotropy across the whole electrode area, due to higher oxygen ion mobility at elevated temperature. We then harness this novel ``magneto-ionic'' effect to create unprecedentedly strong voltage-induced anisotropy modifications of 3000 fJ/Vm and create electrically programmable DW traps with pinning strengths of 650 Oe, enough to bring to a standstill DWs travelling at speeds of at least 20 m/s. This work is supported by the National Science Foundation through grant ECCS-1128439.

  14. Correlation between border traps and exposed surface properties in gate recessed normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Yin, Ruiyuan; Li, Yue; Sun, Yu; Wen, Cheng P.; Hao, Yilong; Wang, Maojun

    2018-06-01

    We report the effect of the gate recess process and the surface of as-etched GaN on the gate oxide quality and first reveal the correlation between border traps and exposed surface properties in normally-off Al2O3/GaN MOSFET. The inductively coupled plasma (ICP) dry etching gate recess with large damage presents a rough and active surface that is prone to form detrimental GaxO validated by atomic force microscopy and X-ray photoelectron spectroscopy. Lower drain current noise spectral density of the 1/f form and less dispersive ac transconductance are observed in GaN MOSFETs fabricated with oxygen assisted wet etching compared with devices based on ICP dry etching. One decade lower density of border traps is extracted in devices with wet etching according to the carrier number fluctuation model, which is consistent with the result from the ac transconductance method. Both methods show that the density of border traps is skewed towards the interface, indicating that GaxO is of higher trap density than the bulk gate oxide. GaxO located close to the interface is the major location of border traps. The damage-free oxidation assisted wet etching gate recess technique presents a relatively smooth and stable surface, resulting in lower border trap density, which would lead to better MOS channel quality and improved device reliability.

  15. Analytical drain current model for symmetric dual-gate amorphous indium gallium zinc oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Qin, Ting; Liao, Congwei; Huang, Shengxiang; Yu, Tianbao; Deng, Lianwen

    2018-01-01

    An analytical drain current model based on the surface potential is proposed for amorphous indium gallium zinc oxide (a-InGaZnO) thin-film transistors (TFTs) with a synchronized symmetric dual-gate (DG) structure. Solving the electric field, surface potential (φS), and central potential (φ0) of the InGaZnO film using the Poisson equation with the Gaussian method and Lambert function is demonstrated in detail. The compact analytical model of current-voltage behavior, which consists of drift and diffusion components, is investigated by regional integration, and voltage-dependent effective mobility is taken into account. Comparison results demonstrate that the calculation results obtained using the derived models match well with the simulation results obtained using a technology computer-aided design (TCAD) tool. Furthermore, the proposed model is incorporated into SPICE simulations using Verilog-A to verify the feasibility of using DG InGaZnO TFTs for high-performance circuit designs.

  16. Bio-sorbable, liquid electrolyte gated thin-film transistor based on a solution-processed zinc oxide layer.

    PubMed

    Singh, Mandeep; Palazzo, Gerardo; Romanazzi, Giuseppe; Suranna, Gian Paolo; Ditaranto, Nicoletta; Di Franco, Cinzia; Santacroce, Maria Vittoria; Mulla, Mohammad Yusuf; Magliulo, Maria; Manoli, Kyriaki; Torsi, Luisa

    2014-01-01

    Among the metal oxide semiconductors, ZnO has been widely investigated as a channel material in thin-film transistors (TFTs) due to its excellent electrical properties, optical transparency and simple fabrication via solution-processed techniques. Herein, we report a solution-processable ZnO-based thin-film transistor gated through a liquid electrolyte with an ionic strength comparable to that of a physiological fluid. The surface morphology and chemical composition of the ZnO films upon exposure to water and phosphate-buffered saline (PBS) are discussed in terms of the operation stability and electrical performance of the ZnO TFT devices. The improved device characteristics upon exposure to PBS are associated with the enhancement of the oxygen vacancies in the ZnO lattice due to Na(+) doping. Moreover, the dissolution kinetics of the ZnO thin film in a liquid electrolyte opens the possible applicability of these devices as an active element in "transient" implantable systems.

  17. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    NASA Astrophysics Data System (ADS)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  18. Atomistic characterization of SAM coatings as gate insulators in Si-based FET devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gala, F.; Zollo, G.

    2014-06-19

    Many nano-material systems are currently under consideration as possible candidates for gate dielectric insulators in both metal-oxide-semiconductor (MOSFET) and organic (OFET) field-effect transistors. In this contribution, the possibility of employing self-assembled monolayers (SAMs) of hydroxylated octadecyltrichlorosilane (OTS) chains on a (111) Si substrate as gate dielectrics is discussed; in particular ab initio theoretical simulations have been employed to study the structural properties, work function modifications, and the insulating properties of OTS thin film coatings on Si substrates.

  19. Atomistic characterization of SAM coatings as gate insulators in Si-based FET devices

    NASA Astrophysics Data System (ADS)

    Gala, F.; Zollo, G.

    2014-06-01

    Many nano-material systems are currently under consideration as possible candidates for gate dielectric insulators in both metal-oxide-semiconductor (MOSFET) and organic (OFET) field-effect transistors. In this contribution, the possibility of employing self-assembled monolayers (SAMs) of hydroxylated octadecyltrichlorosilane (OTS) chains on a (111) Si substrate as gate dielectrics is discussed; in particular ab initio theoretical simulations have been employed to study the structural properties, work function modifications, and the insulating properties of OTS thin film coatings on Si substrates.

  20. Sliding-gate valve

    DOEpatents

    Usnick, George B.; Ward, Gene T.; Blair, Henry O.; Roberts, James W.; Warner, Terry N.

    1979-01-01

    This invention is a novel valve of the slidable-gate type. The valve is designed especially for long-term use with highly abrasive slurries. The sealing surfaces of the gate are shielded by the valve seats when the valve is fully open or closed, and the gate-to-seat clearance is swept with an inflowing purge gas while the gate is in transit. A preferred form of the valve includes an annular valve body containing an annular seat assembly defining a flow channel. The seat assembly comprises a first seat ring which is slidably and sealably mounted in the body, and a second seat ring which is tightly fitted in the body. These rings cooperatively define an annular gap which, together with passages in the valve body, forms a guideway extending normal to the channel. A plate-type gate is mounted for reciprocation in the guideway between positions where a portion of the plate closes the channel and where a circular aperture in the gate is in register with the channel. The valve casing includes opposed chambers which extend outwardly from the body along the axis of the guideway to accommodate the end portions of the gate. The chambers are sealed from atmosphere; when the gate is in transit, purge gas is admitted to the chambers and flows inwardly through the gate-to-seat-ring, clearance, minimizing buildup of process solids therein. A shaft reciprocated by an external actuator extends into one of the sealed chambers through a shaft seal and is coupled to an end of the gate. Means are provided for adjusting the clearance between the first seat ring and the gate while the valve is in service.

  1. Organic/inorganic hybrid synaptic transistors gated by proton conducting methylcellulose films

    NASA Astrophysics Data System (ADS)

    Wan, Chang Jin; Zhu, Li Qiang; Wan, Xiang; Shi, Yi; Wan, Qing

    2016-01-01

    The idea of building a brain-inspired cognitive system has been around for several decades. Recently, electric-double-layer transistors gated by ion conducting electrolytes were reported as the promising candidates for synaptic electronics and neuromorphic system. In this letter, indium-zinc-oxide transistors gated by proton conducting methylcellulose electrolyte films were experimentally demonstrated with synaptic plasticity including paired-pulse facilitation and spatiotemporal-correlated dynamic logic. More importantly, a model based on proton-related electric-double-layer modulation and stretched-exponential decay function was proposed, and the theoretical results are in good agreement with the experimentally measured synaptic behaviors.

  2. Organic/inorganic hybrid synaptic transistors gated by proton conducting methylcellulose films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wan, Chang Jin; Wan, Qing, E-mail: wanqing@nju.edu.cn, E-mail: yshi@nju.edu.cn; Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201

    The idea of building a brain-inspired cognitive system has been around for several decades. Recently, electric-double-layer transistors gated by ion conducting electrolytes were reported as the promising candidates for synaptic electronics and neuromorphic system. In this letter, indium-zinc-oxide transistors gated by proton conducting methylcellulose electrolyte films were experimentally demonstrated with synaptic plasticity including paired-pulse facilitation and spatiotemporal-correlated dynamic logic. More importantly, a model based on proton-related electric-double-layer modulation and stretched-exponential decay function was proposed, and the theoretical results are in good agreement with the experimentally measured synaptic behaviors.

  3. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  4. Graphene-based aptamer logic gates and their application to multiplex detection.

    PubMed

    Wang, Li; Zhu, Jinbo; Han, Lei; Jin, Lihua; Zhu, Chengzhou; Wang, Erkang; Dong, Shaojun

    2012-08-28

    In this work, a GO/aptamer system was constructed to create multiplex logic operations and enable sensing of multiplex targets. 6-Carboxyfluorescein (FAM)-labeled adenosine triphosphate binding aptamer (ABA) and FAM-labeled thrombin binding aptamer (TBA) were first adsorbed onto graphene oxide (GO) to form a GO/aptamer complex, leading to the quenching of the fluorescence of FAM. We demonstrated that the unique GO/aptamer interaction and the specific aptamer-target recognition in the target/GO/aptamer system were programmable and could be utilized to regulate the fluorescence of FAM via OR and INHIBIT logic gates. The fluorescence changed according to different input combinations, and the integration of OR and INHIBIT logic gates provided an interesting approach for logic sensing applications where multiple target molecules were present. High-throughput fluorescence imagings that enabled the simultaneous processing of many samples by using the combinatorial logic gates were realized. The developed logic gates may find applications in further development of DNA circuits and advanced sensors for the identification of multiple targets in complex chemical environments.

  5. Ultrathin Carbon with Interspersed Graphene/Fullerene-like Nanostructures: A Durable Protective Overcoat for High Density Magnetic Storage.

    PubMed

    Dwivedi, Neeraj; Satyanarayana, Nalam; Yeo, Reuben J; Xu, Hai; Ping Loh, Kian; Tripathy, Sudhiranjan; Bhatia, Charanjit S

    2015-06-25

    One of the key issues for future hard disk drive technology is to design and develop ultrathin (<2 nm) overcoats with excellent wear- and corrosion protection and high thermal stability. Forming carbon overcoats (COCs) having interspersed nanostructures by the filtered cathodic vacuum arc (FCVA) process can be an effective approach to achieve the desired target. In this work, by employing a novel bi-level surface modification approach using FCVA, the formation of a high sp(3) bonded ultrathin (~1.7 nm) amorphous carbon overcoat with interspersed graphene/fullerene-like nanostructures, grown on magnetic hard disk media, is reported. The in-depth spectroscopic and microscopic analyses by high resolution transmission electron microscopy, scanning tunneling microscopy, time-of-flight secondary ion mass spectrometry, and Raman spectroscopy support the observed findings. Despite a reduction of ~37% in COC thickness, the FCVA-processed thinner COC (~1.7 nm) shows promising functional performance in terms of lower coefficient of friction (~0.25), higher wear resistance, lower surface energy, excellent hydrophobicity and similar/better oxidation corrosion resistance than current commercial COCs of thickness ~2.7 nm. The surface and tribological properties of FCVA-deposited COC was further improved after deposition of lubricant layer.

  6. Ultrathin Carbon with Interspersed Graphene/Fullerene-like Nanostructures: A Durable Protective Overcoat for High Density Magnetic Storage

    NASA Astrophysics Data System (ADS)

    Dwivedi, Neeraj; Satyanarayana, Nalam; Yeo, Reuben J.; Xu, Hai; Ping Loh, Kian; Tripathy, Sudhiranjan; Bhatia, Charanjit S.

    2015-06-01

    One of the key issues for future hard disk drive technology is to design and develop ultrathin (<2 nm) overcoats with excellent wear- and corrosion protection and high thermal stability. Forming carbon overcoats (COCs) having interspersed nanostructures by the filtered cathodic vacuum arc (FCVA) process can be an effective approach to achieve the desired target. In this work, by employing a novel bi-level surface modification approach using FCVA, the formation of a high sp3 bonded ultrathin (~1.7 nm) amorphous carbon overcoat with interspersed graphene/fullerene-like nanostructures, grown on magnetic hard disk media, is reported. The in-depth spectroscopic and microscopic analyses by high resolution transmission electron microscopy, scanning tunneling microscopy, time-of-flight secondary ion mass spectrometry, and Raman spectroscopy support the observed findings. Despite a reduction of ~37 % in COC thickness, the FCVA-processed thinner COC (~1.7 nm) shows promising functional performance in terms of lower coefficient of friction (~0.25), higher wear resistance, lower surface energy, excellent hydrophobicity and similar/better oxidation corrosion resistance than current commercial COCs of thickness ~2.7 nm. The surface and tribological properties of FCVA-deposited COC was further improved after deposition of lubricant layer.

  7. Ultrathin Carbon with Interspersed Graphene/Fullerene-like Nanostructures: A Durable Protective Overcoat for High Density Magnetic Storage

    PubMed Central

    Dwivedi, Neeraj; Satyanarayana, Nalam; Yeo, Reuben J.; Xu, Hai; Ping Loh, Kian; Tripathy, Sudhiranjan; Bhatia, Charanjit S.

    2015-01-01

    One of the key issues for future hard disk drive technology is to design and develop ultrathin (<2 nm) overcoats with excellent wear- and corrosion protection and high thermal stability. Forming carbon overcoats (COCs) having interspersed nanostructures by the filtered cathodic vacuum arc (FCVA) process can be an effective approach to achieve the desired target. In this work, by employing a novel bi-level surface modification approach using FCVA, the formation of a high sp3 bonded ultrathin (~1.7 nm) amorphous carbon overcoat with interspersed graphene/fullerene-like nanostructures, grown on magnetic hard disk media, is reported. The in-depth spectroscopic and microscopic analyses by high resolution transmission electron microscopy, scanning tunneling microscopy, time-of-flight secondary ion mass spectrometry, and Raman spectroscopy support the observed findings. Despite a reduction of ~37 % in COC thickness, the FCVA-processed thinner COC (~1.7 nm) shows promising functional performance in terms of lower coefficient of friction (~0.25), higher wear resistance, lower surface energy, excellent hydrophobicity and similar/better oxidation corrosion resistance than current commercial COCs of thickness ~2.7 nm. The surface and tribological properties of FCVA-deposited COC was further improved after deposition of lubricant layer. PMID:26109208

  8. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  9. Growth of highly strained CeO 2 ultrathin films

    DOE PAGES

    Shi, Yezhou; Lee, Sang Chul; Monti, Matteo; ...

    2016-11-07

    Large biaxial strain is a promising route to tune the functionalities of oxide thin films. However, large strain is often not fully realized due to the formation of misfit dislocations at the film/substrate interface. In this work, we examine the growth of strained ceria (CeO 2) thin films on (001)-oriented single crystal yttria-stabilized zirconia (YSZ) via pulsed-laser deposition. By varying the film thickness systematically between 1 and 430 nm, we demonstrate that ultrathin ceria films are coherently strained to the YSZ substrate for thicknesses up to 2.7 nm, despite the large lattice mismatch (~5%). The coherency is confirmed by bothmore » X-ray diffraction and high-resolution transmission electron microscopy. This thickness is several times greater than the predicted equilibrium critical thickness. Partial strain relaxation is achieved by forming semirelaxed surface islands rather than by directly nucleating dislocations. In situ reflective high-energy electron diffraction during growth confirms the transition from 2-D (layer-by-layer) to 3-D (island) at a film thickness of ~1 nm, which is further supported by atomic force microscopy. We propose that dislocations likely nucleate near the surface islands and glide to the film/substrate interface, as evidenced by the presence of 60° dislocations. Finally, an improved understanding of growing oxide thin films with a large misfit lays the foundation to systematically explore the impact of strain and dislocations on properties such as ionic transport and redox chemistry.« less

  10. Characterisation of Nd2O3 thick gate dielectric for silicon

    NASA Astrophysics Data System (ADS)

    Dakhel, A. A.

    2004-03-01

    Thin neodymium films were prepared by the reactive synthesis method on Si (P) substrates to form MOS devices. The oxide films were characterised by UV absorption spectroscopy, X-ray fluorescence (EDXRF) and X-ray diffraction (XRD). The ac conductance and capacitance of the devices were studied as a function of frequency in the range 100 Hz-100 kHz, of temperature in the range 293-473 K and of gate voltage. It was proved that a suitable formalism to explain the frequency dependence of the ac conductivity and capacitance of the insulator is controlled by a universal power law based on the relaxation processes of the hopping or tunnelling of the current carriers between equilibrium sites. The temperature dependence of the ac conductance at the accumulation state shows a small activation energy of about 0.07 eV for a MOS device with amorphous neodymium oxide. The temperature dependence of the accumulation capacitance for a MOS structure with crystalline neodymium oxide shows a maximum at about 390 K; such a maximum was not observed for the structure with amorphous neodymium oxide. The method of capacitance-gate voltage (C-Vg) measurements was used to investigate the effect of annealing in air and in vacuum on the surface density of states (Nss) at the insulator/semiconductor (I/S) interface. It was concluded that the density of surface states in the mid-gap increases by about five times while the density of the trapped charges in the oxide layer decreases by about eight times when the oxide crystallises into a polycrystalline structure.

  11. Real-Time Deposition Monitor for Ultrathin Conductive Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline

    2011-01-01

    A device has been developed that can be used for the real-time monitoring of ultrathin (2 or more) conductive films. The device responds in less than two microseconds, and can be used to monitor film depositions up to about 60 thick. Actual thickness monitoring capability will vary based on properties of the film being deposited. This is a single-use device, which, due to the very low device cost, can be disposable. Conventional quartz/crystal microbalance devices have proven inadequate to monitor the thickness of Pd films during deposition of ultrathin films for hydrogen sensor devices. When the deposited film is less than 100 , the QCM measurements are inadequate to allow monitoring of the ultrathin films being developed. Thus, an improved, high-sensitivity, real-time deposition monitor was needed to continue Pd film deposition development. The new deposition monitor utilizes a surface acoustic wave (SAW) device in a differential delay-line configuration to produce both a reference response and a response for the portion of the device on which the film is being deposited. Both responses are monitored simultaneously during deposition. The reference response remains unchanged, while the attenuation of the sensing path (where the film is being deposited) varies as the film thickness increases. This device utilizes the fact that on high-coupling piezoelectric substrates, the attenuation of an SAW undergoes a transition from low to very high, and back to low as the conductivity of a film on the device surface goes from nonconductive to highly conductive. Thus, the sensing path response starts with a low insertion loss, and as a conductive film is deposited, the film conductivity increases, causing the device insertion loss to increase dramatically (by up to 80 dB or more), and then with continued film thickness increases (and the corresponding conductivity increases), the device insertion loss goes back down to the low level at which it started. This provides a

  12. Dual field effects in electrolyte-gated spinel ferrite: electrostatic carrier doping and redox reactions.

    PubMed

    Ichimura, Takashi; Fujiwara, Kohei; Tanaka, Hidekazu

    2014-07-24

    Controlling the electronic properties of functional oxide materials via external electric fields has attracted increasing attention as a key technology for next-generation electronics. For transition-metal oxides with metallic carrier densities, the electric-field effect with ionic liquid electrolytes has been widely used because of the enormous carrier doping capabilities. The gate-induced redox reactions revealed by recent investigations have, however, highlighted the complex nature of the electric-field effect. Here, we use the gate-induced conductance modulation of spinel ZnxFe₃₋xO₄ to demonstrate the dual contributions of volatile and non-volatile field effects arising from electronic carrier doping and redox reactions. These two contributions are found to change in opposite senses depending on the Zn content x; virtual electronic and chemical field effects are observed at appropriate Zn compositions. The tuning of field-effect characteristics via composition engineering should be extremely useful for fabricating high-performance oxide field-effect devices.

  13. Localized Control of Curie Temperature in Perovskite Oxide Film by Capping-Layer-Induced Octahedral Distortion.

    PubMed

    Thomas, S; Kuiper, B; Hu, J; Smit, J; Liao, Z; Zhong, Z; Rijnders, G; Vailionis, A; Wu, R; Koster, G; Xia, J

    2017-10-27

    With reduced dimensionality, it is often easier to modify the properties of ultrathin films than their bulk counterparts. Strain engineering, usually achieved by choosing appropriate substrates, has been proven effective in controlling the properties of perovskite oxide films. An emerging alternative route for developing new multifunctional perovskite is by modification of the oxygen octahedral structure. Here we report the control of structural oxygen octahedral rotation in ultrathin perovskite SrRuO_{3} films by the deposition of a SrTiO_{3} capping layer, which can be lithographically patterned to achieve local control. Using a scanning Sagnac magnetic microscope, we show an increase in the Curie temperature of SrRuO_{3} due to the suppression octahedral rotations revealed by the synchrotron x-ray diffraction. This capping-layer-based technique may open new possibilities for developing functional oxide materials.

  14. Design of Ultrathin Pt-Based Multimetallic Nanostructures for Efficient Oxygen Reduction Electrocatalysis.

    PubMed

    Lai, Jianping; Guo, Shaojun

    2017-12-01

    Nanocatalysts with high platinum (Pt) utilization efficiency are attracting extensive attention for oxygen reduction reactions (ORR) conducted at the cathode of fuel cells. Ultrathin Pt-based multimetallic nanostructures show obvious advantages in accelerating the sluggish cathodic ORR due to their ultrahigh Pt utilization efficiency. A focus on recent important developments is provided in using wet chemistry techniques for making/tuning the multimetallic nanostructures with high Pt utilization efficiency for boosting ORR activity and durability. First, new synthetic methods for multimetallic core/shell nanoparticles with ultrathin shell sizes for achieving highly efficient ORR catalysts are reviewed. To obtain better ORR activity and stability, multimetallic nanowires or nanosheets with well-defined structure and surface are further highlighted. Furthermore, ultrathin Pt-based multimetallic nanoframes that feature 3D molecularly accessible surfaces for achieving more efficient ORR catalysis are discussed. Finally, the remaining challenges and outlooks for the future will be provided for this promising research field. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Thermoelectric properties of an ultra-thin topological insulator.

    PubMed

    Islam, S K Firoz; Ghosh, T K

    2014-04-23

    Thermoelectric coefficients of an ultra-thin topological insulator are presented here. The hybridization between top and bottom surface states of a topological insulator plays a significant role. In the absence of a magnetic field, the thermopower increases and thermal conductivity decreases with an increase in the hybridization energy. In the presence of a magnetic field perpendicular to the ultra-thin topological insulator, thermoelectric coefficients exhibit quantum oscillations with inverse magnetic field, whose frequency is strongly modified by the Zeeman energy and whose phase factor is governed by the product of the Landé g-factor and the hybridization energy. In addition to the numerical results, the low-temperature approximate analytical results for the thermoelectric coefficients are also provided. It is also observed that for a given magnetic field these transport coefficients oscillate with hybridization energy, at a frequency that depends on the Landé g-factor.

  16. Ultrathin MoS2 Nanosheets with Superior Extreme Pressure Property as Boundary Lubricants

    PubMed Central

    Chen, Zhe; Liu, Xiangwen; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2015-01-01

    In this paper, a new kind of oil-soluble ultrathin MoS2 nanosheets is prepared through a one-pot process. A superior extreme pressure property, which has not been attained with other nano-additives, is discovered when the nanosheets are used as lubricant additives. The as-synthesized MoS2 nanosheet is only a few atomic layers thick and tens of nanometers wide, and it is surface-modified with oleylamine so it can be well dispersed in oil or lubricant without adscititious dispersants or surfactants. By adding 1 wt% ultrathin MoS2 nanosheets, at the temperature of 120 °C, the highest load liquid paraffin can bear is tremendously improved from less than 50 N to more than 2000 N. Based on the tribological tests and analysis of the wear scar, a lubrication mechanism is proposed. It is believed that the good dispersion and the ultrathin shape of the nanosheets ensure that they can enter the contact area of the opposite sliding surfaces and act like a protective film to prevent direct contact and seizure between them. This work enriches the investigation of ultrathin MoS2 and has potential application in the mechanical industry. PMID:26249536

  17. Permanent and Transient Radiation Effects on Thin-Oxide (200-A) MOS Transistors

    DTIC Science & Technology

    1976-06-01

    n-channel technology using a SiO, gate-oxide thickness ol ’ 200 A and a %hallow phiosphorus diffusion of 0.5 pin on a 0.7-ohm)-cmn 8-doped > Si...substrate. The thickness of the sell-aligned it polysilicon gate was kept at 3500 A. The oxide was grown in dry 0, at a temperature ot 1000C, followed...semiconductor work function difference (equal to 0 V for the polysilicon gates’ studied here). The effect of the ionizing radiation is to introduce

  18. ISAC's Gating-ML 2.0 data exchange standard for gating description.

    PubMed

    Spidlen, Josef; Moore, Wayne; Brinkman, Ryan R

    2015-07-01

    The lack of software interoperability with respect to gating has traditionally been a bottleneck preventing the use of multiple analytical tools and reproducibility of flow cytometry data analysis by independent parties. To address this issue, ISAC developed Gating-ML, a computer file format to encode and interchange gates. Gating-ML 1.5 was adopted and published as an ISAC Candidate Recommendation in 2008. Feedback during the probationary period from implementors, including major commercial software companies, instrument vendors, and the wider community, has led to a streamlined Gating-ML 2.0. Gating-ML has been significantly simplified and therefore easier to support by software tools. To aid developers, free, open source reference implementations, compliance tests, and detailed examples are provided to stimulate further commercial adoption. ISAC has approved Gating-ML as a standard ready for deployment in the public domain and encourages its support within the community as it is at a mature stage of development having undergone extensive review and testing, under both theoretical and practical conditions. © 2015 International Society for Advancement of Cytometry.

  19. Determination of prospective displacement-based gate threshold for respiratory-gated radiation delivery from retrospective phase-based gate threshold selected at 4D CT simulation.

    PubMed

    Vedam, S; Archambault, L; Starkschall, G; Mohan, R; Beddar, S

    2007-11-01

    Four-dimensional (4D) computed tomography (CT) imaging has found increasing importance in the localization of tumor and surrounding normal structures throughout the respiratory cycle. Based on such tumor motion information, it is possible to identify the appropriate phase interval for respiratory gated treatment planning and delivery. Such a gating phase interval is determined retrospectively based on tumor motion from internal tumor displacement. However, respiratory-gated treatment is delivered prospectively based on motion determined predominantly from an external monitor. Therefore, the simulation gate threshold determined from the retrospective phase interval selected for gating at 4D CT simulation may not correspond to the delivery gate threshold that is determined from the prospective external monitor displacement at treatment delivery. The purpose of the present work is to establish a relationship between the thresholds for respiratory gating determined at CT simulation and treatment delivery, respectively. One hundred fifty external respiratory motion traces, from 90 patients, with and without audio-visual biofeedback, are analyzed. Two respiratory phase intervals, 40%-60% and 30%-70%, are chosen for respiratory gating from the 4D CT-derived tumor motion trajectory. From residual tumor displacements within each such gating phase interval, a simulation gate threshold is defined based on (a) the average and (b) the maximum respiratory displacement within the phase interval. The duty cycle for prospective gated delivery is estimated from the proportion of external monitor displacement data points within both the selected phase interval and the simulation gate threshold. The delivery gate threshold is then determined iteratively to match the above determined duty cycle. The magnitude of the difference between such gate thresholds determined at simulation and treatment delivery is quantified in each case. Phantom motion tests yielded coincidence of simulation

  20. Protecting hydrogenation-generated oxygen vacancies in BiVO4 photoanode for enhanced water oxidation with conformal ultrathin amorphous TiO2 layer

    NASA Astrophysics Data System (ADS)

    Zhang, Yang; Zhang, Xintong; Wang, Dan; Wan, Fangxu; Liu, Yichun

    2017-05-01

    Introducing appropriate amount of oxygen vacancies by hydrogenation treatment is a simple and efficient way to improve the photoelectrochemical performance of nanostructured oxide photoanodes. However, the hydrogenation effect is often not durable due to the gradual healing of oxygen vacancies at or close to surface of photoanodes. Herein, we tackled the problem by conformal coating the hydrogenated nanoporous BiVO4 (H-BiVO4) photoanode with an ultrathin layer of amorphous TiO2. Photoelectrochemical measurements showed that a 4 nm-thick TiO2 layer could significantly improve the stability of H-BiVO4 photoanode for repeated working test, with negligible influence on the initial photocurrent compared to the uncoated one. Mott-Schottky and linear sweep voltammetry measurements showed that donor density and photocurrent density of the H-BiVO4 electrode almost decayed to the values of pristine BiVO4 electrode after 3 h test, while the amorphous TiO2-coated electrode only degraded by 6% and 5% of the initial values respectively in the same period. The investigation thus suggested that the amorphous TiO2 layer did protect the oxygen vacancies in H-BiVO4 photoanode by isolating these oxygen vacancies from environmental oxygen, while at the same time not impeding the interfacial charge transfer to water molecules due to its leaky nature.