Sample records for ultrathin silicon nanowires

  1. Twisted ultrathin silicon nanowires: A possible torsion electromechanical nanodevice

    NASA Astrophysics Data System (ADS)

    Garcia, J. C.; Justo, J. F.

    2014-11-01

    Nanowires have been considered for a number of applications in nanometrology. In such a context, we have explored the possibility of using ultrathin twisted nanowires as torsion nanobalances to probe forces and torques at molecular level with high precision, a nanoscale system analogous to the Coulomb's torsion balance electrometer. In order to achieve this goal, we performed a first-principles investigation on the structural and electronic properties of twisted silicon nanowires, in their pristine and hydrogenated forms. The results indicated that wires with pentagonal and hexagonal cross-sections are the thinnest stable silicon nanostructures. Additionally, all wires followed a Hooke's law behavior for small twisting deformations. Hydrogenation leads to spontaneous twisting, but with angular spring constants considerably smaller than the ones for the respective pristine forms. We observed considerable changes on the nanowire electronic properties upon twisting, which allows to envision the possibility of correlating the torsional angular deformation with the nanowire electronic transport. This could ultimately allow a direct access to measurements on interatomic forces at molecular level.

  2. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  3. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  4. Thermal conductivity of ultrathin nano-crystalline diamond films determined by Raman thermography assisted by silicon nanowires

    NASA Astrophysics Data System (ADS)

    Anaya, Julian; Rossi, Stefano; Alomari, Mohammed; Kohn, Erhard; Tóth, Lajos; Pécz, Béla; Kuball, Martin

    2015-06-01

    The thermal transport in polycrystalline diamond films near its nucleation region is still not well understood. Here, a steady-state technique to determine the thermal transport within the nano-crystalline diamond present at their nucleation site has been demonstrated. Taking advantage of silicon nanowires as surface temperature nano-sensors, and using Raman Thermography, the in-plane and cross-plane components of the thermal conductivity of ultra-thin diamond layers and their thermal barrier to the Si substrate were determined. Both components of the thermal conductivity of the nano-crystalline diamond were found to be well below the values of polycrystalline bulk diamond, with a cross-plane thermal conductivity larger than the in-plane thermal conductivity. Also a depth dependence of the lateral thermal conductivity through the diamond layer was determined. The results impact the design and integration of diamond for thermal management of AlGaN/GaN high power transistors and also show the usefulness of the nanowires as accurate nano-thermometers.

  5. Mechanically Assisted Self-Healing of Ultrathin Gold Nanowires.

    PubMed

    Wang, Binjun; Han, Ying; Xu, Shang; Qiu, Lu; Ding, Feng; Lou, Jun; Lu, Yang

    2018-04-17

    As the critical feature sizes of integrated circuits approaching sub-10 nm, ultrathin gold nanowires (diameter <10 nm) have emerged as one of the most promising candidates for next-generation interconnects in nanoelectronics. Also due to their ultrasmall dimensions, however, the structures and morphologies of ultrathin gold nanowires are more prone to be damaged during practical services, for example, Rayleigh instability can significantly alter their morphologies upon Joule heating, hindering their applications as interconnects. Here, it is shown that upon mechanical perturbations, predamaged, nonuniform ultrathin gold nanowires can quickly recover into uniform diameters and restore their smooth surfaces, via a simple mechanically assisted self-healing process. By examining the local self-healing process through in situ high-resolution transmission electron microscopy, the underlying mechanism is believed to be associated with surface atomic diffusion as evidenced by molecular dynamics simulations. In addition, mechanical manipulation can assist the atoms to overcome the diffusion barriers, as suggested by ab initio calculations, to activate more surface adatoms to diffuse and consequently speed up the self-healing process. This result can provide a facile method to repair ultrathin metallic nanowires directly in functional devices, and quickly restore their microstructures and morphologies by simple global mechanical perturbations. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  7. Ultrathin Au-Alloy Nanowires at the Liquid-Liquid Interface.

    PubMed

    Chatterjee, Dipanwita; Shetty, Shwetha; Müller-Caspary, Knut; Grieb, Tim; Krause, Florian F; Schowalter, Marco; Rosenauer, Andreas; Ravishankar, Narayanan

    2018-03-14

    Ultrathin bimetallic nanowires are of importance and interest for applications in electronic devices such as sensors and heterogeneous catalysts. In this work, we have designed a new, highly reproducible and generalized wet chemical method to synthesize uniform and monodispersed Au-based alloy (AuCu, AuPd, and AuPt) nanowires with tunable composition using microwave-assisted reduction at the liquid-liquid interface. These ultrathin alloy nanowires are below 4 nm in diameter and about 2 μm long. Detailed microstructural characterization shows that the wires have an face centred cubic (FCC) crystal structure, and they have low-energy twin-boundary and stacking-fault defects along the growth direction. The wires exhibit remarkable thermal and mechanical stability that is critical for important applications. The alloy wires exhibit excellent electrocatalytic activity for methanol oxidation in an alkaline medium.

  8. Effect of confinement on anharmonic phonon scattering and thermal conductivity in pristine silicon nanowires

    NASA Astrophysics Data System (ADS)

    Rashid, Zahid; Zhu, Liyan; Li, Wu

    2018-02-01

    The effect of confinement on the anharmonic phonon scattering rates and the consequences thereof on the thermal transport properties in ultrathin silicon nanowires with a diameter of 1-4 nm have been characterized using atomistic simulations and the phonon Boltzmann transport equation. The phonon density of states (PDOS) for ultrathin nanowires approaches a constant value in the vicinity of the Γ point and increases with decreasing diameter, which indicates the increasing importance of the low-frequency phonons as heat carriers. The anharmonic phonon scattering becomes dramatically enhanced with decreasing thickness of the nanowires. In the thinnest nanowire, the scattering rates for phonons above 1 THz are one order of magnitude higher than those in the bulk Si. Below 1 THz, the increase in scattering rates is even much more appreciable. Our numerical calculations revealed that the scattering rates for transverse (longitudinal) acoustic modes follow √{ω } (1 /√{ω } ) dependence at the low-frequency limit, whereas those for the degenerate flexural modes asymptotically approach a constant value. In addition, the group velocities of phonons are reduced compared with bulk Si except for low-frequency phonons (<1 -2 THz depending on the thickness of the nanowires). The increased scattering rates combined with reduced group velocities lead to a severely reduced thermal conductivity contribution from the high-frequency phonons. Although the thermal conductivity contributed by those phonons with low frequencies is instead increased mainly due to the increased PDOS, the total thermal conductivity is still reduced compared to that of the bulk. This work reveals an unexplored mechanism to understand the measured ultralow thermal conductivity of silicon nanowires.

  9. Twinning to slip transition in ultrathin BCC Fe nanowires

    NASA Astrophysics Data System (ADS)

    Sainath, G.; Choudhary, B. K.

    2018-04-01

    We report twinning to slip transition with decreasing size and increasing temperature in ultrathin <100> BCC Fe nanowires. Molecular dynamics simulations have been performed on different nanowire size in the range 0.404-3.634 nm at temperatures ranging from 10 to 900 K. The results indicate that slip mode dominates at low sizes and high temperatures, while deformation twinning is promoted at high sizes and low temperatures. The temperature, at which the nanowires show twinning to slip transition, increases with increasing size. The different modes of deformation are also reflected appropriately in the respective stress-strain behaviour of the nanowires.

  10. Transport properties of ultrathin BaFe1.84Co0.16As2 superconducting nanowires

    NASA Astrophysics Data System (ADS)

    Yuan, Pusheng; Xu, Zhongtang; Li, Chen; Quan, Baogang; Li, Junjie; Gu, Changzhi; Ma, Yanwei

    2018-07-01

    Superconducting nanowire single-photon detectors (SNSPDs) have an absolute advantage over other types of single-photon detectors, except for the low operating temperature. Therefore, much effort has been devoted to finding high-temperature superconducting materials that are suitable for preparing SNSPDs. Copper-based and MgB2 ultrathin superconducting nanowires have already been reported. However, the transport properties of iron-based ultrathin superconducting nanowires have not been studied. In this work, a 10 nm thick × 200 nm wide × 30 μm long high-quality superconducting nanowire was fabricated from ultrathin BaFe1.84Co0.16As2 films by a lift-off process. The precursor BaFe1.84Co0.16As2 film with a thickness of 10 nm and root-mean-square roughness of 1 nm was grown on CaF2 substrates by pulsed laser deposition. The nanowire shows a high superconducting critical temperature {T}{{c}}{{zero}} = 20 K with a narrow transition width of ΔT = 2.5 K and exhibits a high critical current density J c of 1.8 × 107 A cm-2 at 10 K. These results of ultrathin BaFe1.84Co0.16As2 nanowire will attract interest in electronic applications, including SNSPDs.

  11. Electrically Conductive and Optically Active Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Liao, Lei; Li, Yujing; Zhang, Hua; Huang, Yu; Duan, Xiangfeng

    2009-01-01

    We report the synthesis of vertical silicon nanowire array through a two-step metal-assisted chemical etching of highly doped n-type silicon (100) wafers in a solution of hydrofluoric acid and hydrogen peroxide. The morphology of the as-grown silicon nanowires is tunable from solid nonporous nanowires, nonporous/nanoporous core/shell nanowires, and entirely nanoporous nanowires by controlling the hydrogen peroxide concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafer, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. PMID:19807130

  12. Extremely flexible nanoscale ultrathin body silicon integrated circuits on plastic.

    PubMed

    Shahrjerdi, Davood; Bedell, Stephen W

    2013-01-09

    In recent years, flexible devices based on nanoscale materials and structures have begun to emerge, exploiting semiconductor nanowires, graphene, and carbon nanotubes. This is primarily to circumvent the existing shortcomings of the conventional flexible electronics based on organic and amorphous semiconductors. The aim of this new class of flexible nanoelectronics is to attain high-performance devices with increased packing density. However, highly integrated flexible circuits with nanoscale transistors have not yet been demonstrated. Here, we show nanoscale flexible circuits on 60 Å thick silicon, including functional ring oscillators and memory cells. The 100-stage ring oscillators exhibit the stage delay of ~16 ps at a power supply voltage of 0.9 V, the best reported for any flexible circuits to date. The mechanical flexibility is achieved by employing the controlled spalling technology, enabling the large-area transfer of the ultrathin body silicon devices to a plastic substrate at room temperature. These results provide a simple and cost-effective pathway to enable ultralight flexible nanoelectronics with unprecedented level of system complexity based on mainstream silicon technology.

  13. Density Functional Study of the Structure, Stability and Oxygen Reduction Activity of Ultrathin Platinum Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matanovic, Ivana; Kent, Paul; Garzon, Fernando

    2013-03-14

    We used density functional theory to study the difference in the structure, stability and catalytic reactivity between ultrathin, 0.5–1.0 nm diameter, platinum nanotubes and nanowires. Model nanowires were formed by inserting an inner chain of platinum atoms in small diameter nanotubes. In this way more stable, non-hollow structures were formed. The difference in the electronic structure of platinum nanotubes and nanowires was examined by inspecting the density of surface states and band structure. Furthermore, reactivity toward the oxygen reduction reaction of platinum nanowires was assessed by studying the change in the chemisorption energies of oxygen, hydroxyl, and hydroperoxyl groups, inducedmore » by converting the nanotube models to nanowires. Both ultrathin platinum nanotubes and nanowires show distinct properties compared to bulk platinum. Single-wall nanotubes and platinum nanowires with diameters larger than 1 nm show promise for use as oxygen reduction catalysts.« less

  14. Theoretical Study of the Structure, Stability and Oxygen Reduction Activity of Ultrathin Platinum Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matanovic, Ivana; Kent, Paul; Garzon, Fernando

    2012-10-10

    We use density functional theory to study the difference in the structure, stability and catalytic reactivity between ultrathin, 0.5- 1.0 nm diameter, platinum nanotubes and nanowires. Model nanowires were formed by inserting an inner chain of platinum atoms in small diameter nanotubes. In this way more stable, nonhollow structures were formed. The difference in the electronic structure of platinum nanotubes and nanowires was examined by inspecting the density of surface states and band structure. Furthermore, reactivity towards the oxygen reduction reaction of platinum nanowires was addressed by studying the change in the chemisorption energies of oxygen and hydroxyl groups, inducedmore » by inserting the inner chain of platinum atoms into the hollow nanotubes. Both ultrathin platinum nanotubes and nanowires show distinct properties compared to bulk platinum. Nanotubes with diameters larger than 1 nm show promise for use as oxygen reduction catalysts.« less

  15. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  16. Flexible integration of free-standing nanowires into silicon photonics.

    PubMed

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  17. A wearable and highly sensitive pressure sensor with ultrathin gold nanowires

    NASA Astrophysics Data System (ADS)

    Gong, Shu; Schwalb, Willem; Wang, Yongwei; Chen, Yi; Tang, Yue; Si, Jye; Shirinzadeh, Bijan; Cheng, Wenlong

    2014-02-01

    Ultrathin gold nanowires are mechanically flexible yet robust, which are novel building blocks with potential applications in future wearable optoelectronic devices. Here we report an efficient, low-cost fabrication strategy to construct a highly sensitive, flexible pressure sensor by sandwiching ultrathin gold nanowire-impregnated tissue paper between two thin polydimethylsiloxane sheets. The entire device fabrication process is scalable, enabling facile large-area integration and patterning for mapping spatial pressure distribution. Our gold nanowires-based pressure sensors can be operated at a battery voltage of 1.5 V with low energy consumption (<30 μW), and are able to detect pressing forces as low as 13 Pa with fast response time (<17 ms), high sensitivity (>1.14 kPa-1) and high stability (>50,000 loading-unloading cycles). In addition, our sensor can resolve pressing, bending, torsional forces and acoustic vibrations. The superior sensing properties in conjunction with mechanical flexibility and robustness enabled real-time monitoring of blood pulses as well as detection of small vibration forces from music.

  18. 3D hierarchical assembly of ultrathin MnO2 nanoflakes on silicon nanowires for high performance micro-supercapacitors in Li- doped ionic liquid

    PubMed Central

    Dubal, Deepak P.; Aradilla, David; Bidan, Gérard; Gentile, Pascal; Schubert, Thomas J.S.; Wimberg, Jan; Sadki, Saïd; Gomez-Romero, Pedro

    2015-01-01

    Building of hierarchical core-shell hetero-structures is currently the subject of intensive research in the electrochemical field owing to its potential for making improved electrodes for high-performance micro-supercapacitors. Here we report a novel architecture design of hierarchical MnO2@silicon nanowires (MnO2@SiNWs) hetero-structures directly supported onto silicon wafer coupled with Li-ion doped 1-Methyl-1-propylpyrrolidinium bis(trifluromethylsulfonyl)imide (PMPyrrBTA) ionic liquids as electrolyte for micro-supercapacitors. A unique 3D mesoporous MnO2@SiNWs in Li-ion doped IL electrolyte can be cycled reversibly across a voltage of 2.2 V and exhibits a high areal capacitance of 13 mFcm−2. The high conductivity of the SiNWs arrays combined with the large surface area of ultrathin MnO2 nanoflakes are responsible for the remarkable performance of these MnO2@SiNWs hetero-structures which exhibit high energy density and excellent cycling stability. This combination of hybrid electrode and hybrid electrolyte opens up a novel avenue to design electrode materials for high-performance micro-supercapacitors. PMID:25985388

  19. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  20. Growing InGaAs quasi-quantum wires inside semi-rhombic shaped planar InP nanowires on exact (001) silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Yu; Li, Qiang; Lau, Kei May, E-mail: eekmlau@ust.hk

    We report InGaAs quasi-quantum wires embedded in planar InP nanowires grown on (001) silicon emitting in the 1550 nm communication band. An array of highly ordered InP nanowire with semi-rhombic cross-section was obtained in pre-defined silicon V-grooves through selective-area hetero-epitaxy. The 8% lattice mismatch between InP and Si was accommodated by an ultra-thin stacking disordered InP/GaAs nucleation layer. X-ray diffraction and transmission electron microscope characterizations suggest excellent crystalline quality of the nanowires. By exploiting the morphological evolution of the InP and a self-limiting growth process in the V-grooves, we grew embedded InGaAs quantum-wells and quasi-quantum-wires with tunable shape and position. Roommore » temperature analysis reveals substantially improved photoluminescence in the quasi-quantum wires as compared to the quantum-well reference, due to the reduced intrusion defects and enhanced quantum confinement. These results show great promise for integration of III-V based long wavelength nanowire lasers on the well-established (001) Si platform.« less

  1. Roll up nanowire battery from silicon chips

    PubMed Central

    Vlad, Alexandru; Reddy, Arava Leela Mohana; Ajayan, Anakha; Singh, Neelam; Gohy, Jean-François; Melinte, Sorin; Ajayan, Pulickel M.

    2012-01-01

    Here we report an approach to roll out Li-ion battery components from silicon chips by a continuous and repeatable etch-infiltrate-peel cycle. Vertically aligned silicon nanowires etched from recycled silicon wafers are captured in a polymer matrix that operates as Li+ gel-electrolyte and electrode separator and peeled off to make multiple battery devices out of a single wafer. Porous, electrically interconnected copper nanoshells are conformally deposited around the silicon nanowires to stabilize the electrodes over extended cycles and provide efficient current collection. Using the above developed process we demonstrate an operational full cell 3.4 V lithium-polymer silicon nanowire (LIPOSIL) battery which is mechanically flexible and scalable to large dimensions. PMID:22949696

  2. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  3. In Situ Integration of Ultrathin PtCu Nanowires with Reduced Graphene Oxide Nanosheets for Efficient Electrocatalytic Oxygen Reduction.

    PubMed

    Yan, Xiaoxiao; Chen, Yifan; Deng, Sihui; Yang, Yifan; Huang, Zhenna; Ge, Cunwang; Xu, Lin; Sun, Dongmei; Fu, Gengtao; Tang, Yawen

    2017-11-27

    Ultrathin Pt-based nanowires are considered as promising electrocatalysts owing to their high atomic utilization efficiency and structural robustness. Moreover, integration of Pt-based nanowires with graphene oxide (GO) could further increase the electrocatalytic performance, yet remains challenging to date. Herein, for the first time we demonstrate the in situ synthesis of ultrathin PtCu nanowires grown over reduced GO (PtCu-NWs/rGO) by a one-pot hydrothermal approach with the aid of amine-terminated poly(N-isopropyl acrylamide) (PNIPAM-NH 2 ). The judicious selection of PNIPAM-NH 2 facilitates the in situ nucleation and anisotropic growth of nanowires on the rGO surface and oriented attachment mechanism accounts for the formation of PtCu ultrathin nanowires. Owing to the synergy between PtCu NWs and rGO support, the PtCu-NWs/rGO outperforms the rGO supported PtCu nanoparticles (PtCu-NPs/rGO), PtCu-NWs, and commercial Pt/C toward the oxygen reduction reaction (ORR) with higher activity and better stability, making it a promising cathodic electrocatalyst for both fuel cells and metal-air cells. Moreover, the present synthetic strategy could inspire the future design of other metal alloy nanowires/carbon hybrid catalysts. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Ultrathin inorganic molecular nanowire based on polyoxometalates

    PubMed Central

    Zhang, Zhenxin; Murayama, Toru; Sadakane, Masahiro; Ariga, Hiroko; Yasuda, Nobuhiro; Sakaguchi, Norihito; Asakura, Kiyotaka; Ueda, Wataru

    2015-01-01

    The development of metal oxide-based molecular wires is important for fundamental research and potential practical applications. However, examples of these materials are rare. Here we report an all-inorganic transition metal oxide molecular wire prepared by disassembly of larger crystals. The wires are comprised of molybdenum(VI) with either tellurium(IV) or selenium(IV): {(NH4)2[XMo6O21]}n (X=tellurium(IV) or selenium(IV)). The ultrathin molecular nanowires with widths of 1.2 nm grow to micrometre-scale crystals and are characterized by single-crystal X-ray analysis, Rietveld analysis, scanning electron microscopy, X-ray photoelectron spectroscopy, ultraviolet–visible spectroscopy, thermal analysis and elemental analysis. The crystals can be disassembled into individual molecular wires through cation exchange and subsequent ultrasound treatment, as visualized by atomic force microscopy and transmission electron microscopy. The ultrathin molecular wire-based material exhibits high activity as an acid catalyst, and the band gap of the molecular wire-based crystal is tunable by heat treatment. PMID:26139011

  5. Benzoin Radicals as Reducing Agent for Synthesizing Ultrathin Copper Nanowires.

    PubMed

    Cui, Fan; Dou, Letian; Yang, Qin; Yu, Yi; Niu, Zhiqiang; Sun, Yuchun; Liu, Hao; Dehestani, Ahmad; Schierle-Arndt, Kerstin; Yang, Peidong

    2017-03-01

    In this work, we report a new, general synthetic approach that uses heat driven benzoin radicals to grow ultrathin copper nanowires with tunable diameters. This is the first time carbon organic radicals have been used as a reducing agent in metal nanowire synthesis. In-situ temperature dependent electron paramagnetic resonance (EPR) spectroscopic studies show that the active reducing agent is the free radicals produced by benzoins under elevated temperature. Furthermore, the reducing power of benzoin can be readily tuned by symmetrically decorating functional groups on the two benzene rings. When the aromatic rings are modified with electron donating (withdrawing) groups, the reducing power is promoted (suppressed). The controllable reactivity gives the carbon organic radical great potential as a versatile reducing agent that can be generalized in other metallic nanowire syntheses.

  6. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  7. Using Ultrathin Parylene Films as an Organic Gate Insulator in Nanowire Field-Effect Transistors.

    PubMed

    Gluschke, J G; Seidl, J; Lyttleton, R W; Carrad, D J; Cochrane, J W; Lehmann, S; Samuelson, L; Micolich, A P

    2018-06-27

    We report the development of nanowire field-effect transistors featuring an ultrathin parylene film as a polymer gate insulator. The room temperature, gas-phase deposition of parylene is an attractive alternative to oxide insulators prepared at high temperatures using atomic layer deposition. We discuss our custom-built parylene deposition system, which is designed for reliable and controlled deposition of <100 nm thick parylene films on III-V nanowires standing vertically on a growth substrate or horizontally on a device substrate. The former case gives conformally coated nanowires, which we used to produce functional Ω-gate and gate-all-around structures. These give subthreshold swings as low as 140 mV/dec and on/off ratios exceeding 10 3 at room temperature. For the gate-all-around structure, we developed a novel fabrication strategy that overcomes some of the limitations with previous lateral wrap-gate nanowire transistors. Finally, we show that parylene can be deposited over chemically treated nanowire surfaces, a feature generally not possible with oxides produced by atomic layer deposition due to the surface "self-cleaning" effect. Our results highlight the potential for parylene as an alternative ultrathin insulator in nanoscale electronic devices more broadly, with potential applications extending into nanobioelectronics due to parylene's well-established biocompatible properties.

  8. Synthesis of hexagonal ultrathin tungsten oxide nanowires with diameters below 5 nm for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    Lu, Huidan; Zhu, Qin; Zhang, Mengying; Yan, Yi; Liu, Yongping; Li, Ming; Yang, Zhishu; Geng, Peng

    2018-04-01

    Semiconductor with one dimension (1D) ultrathin nanostructure has been proved to be a promising nanomaterial in photocatalytic field. Great efforts were made on preparation of monoclinic ultrathin tungsten oxide nanowires. However, non-monoclinic phase tungsten oxides with 1D ultrathin structure, especially less than 5 nm width, have not been reported. Herein, we report the synthesis of hexagonal ultrathin tungsten oxide nanowires (U-WOx NW) by modified hydrothermal method. Microstructure characterization showed that U-WOx NW have the diameters of 1-3 nm below 5 nm and are hexagonal phase sub-stoichiometric WOx. U-WOx NW show absorption tail in the visible and near infrared region due to oxygen vacancies. For improving further photocatalytic performance, Ag co-catalyst was grown directly onto U-WOx NW surface by in situ redox reaction. Photocatalytic measurements revealed hexagonal U-WOx NW have better photodegradation activity, compared with commercial WO3(C-WO3) and oxidized U-WOx NW, ascribe to larger surface area, short diffusion length of photo-generated charge carriers and visible absorption of oxygen-vacancy-rich hexagonal ultrathin nanostructures. Moreover, the photocatalytic activity and stability of U-WOx NW using Ag co-catalyst were further improved.

  9. Monolayer Contact Doping of Silicon Surfaces and Nanowires Using Organophosphorus Compounds

    PubMed Central

    Hazut, Ori; Agarwala, Arunava; Subramani, Thangavel; Waichman, Sharon; Yerushalmi, Roie

    2013-01-01

    Monolayer Contact Doping (MLCD) is a simple method for doping of surfaces and nanostructures1. MLCD results in the formation of highly controlled, ultra shallow and sharp doping profiles at the nanometer scale. In MLCD process the dopant source is a monolayer containing dopant atoms. In this article a detailed procedure for surface doping of silicon substrate as well as silicon nanowires is demonstrated. Phosphorus dopant source was formed using tetraethyl methylenediphosphonate monolayer on a silicon substrate. This monolayer containing substrate was brought to contact with a pristine intrinsic silicon target substrate and annealed while in contact. Sheet resistance of the target substrate was measured using 4 point probe. Intrinsic silicon nanowires were synthesized by chemical vapor deposition (CVD) process using a vapor-liquid-solid (VLS) mechanism; gold nanoparticles were used as catalyst for nanowire growth. The nanowires were suspended in ethanol by mild sonication. This suspension was used to dropcast the nanowires on silicon substrate with a silicon nitride dielectric top layer. These nanowires were doped with phosphorus in similar manner as used for the intrinsic silicon wafer. Standard photolithography process was used to fabricate metal electrodes for the formation of nanowire based field effect transistor (NW-FET). The electrical properties of a representative nanowire device were measured by a semiconductor device analyzer and a probe station. PMID:24326774

  10. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  11. Transport properties of ultrathin YBa2Cu3O7 -δ nanowires: A route to single-photon detection

    NASA Astrophysics Data System (ADS)

    Arpaia, Riccardo; Golubev, Dmitri; Baghdadi, Reza; Ciancio, Regina; Dražić, Goran; Orgiani, Pasquale; Montemurro, Domenico; Bauch, Thilo; Lombardi, Floriana

    2017-08-01

    We report on the growth and characterization of ultrathin YBa2Cu3O7 -δ (YBCO) films on MgO (110) substrates, which exhibit superconducting properties at thicknesses down to 3 nm. YBCO nanowires, with thicknesses down to 10 nm and widths down to 65 nm, have also been successfully fabricated. The nanowires protected by a Au capping layer show superconducting properties close to the as-grown films and critical current densities, which are limited by only vortex dynamics. The 10-nm-thick YBCO nanowires without the Au capping present hysteretic current-voltage characteristics, characterized by a voltage switch which drives the nanowires directly from the superconducting to the normal state. We associate such bistability to the presence of localized normal domains within the superconductor. The presence of the voltage switch in ultrathin YBCO nanostructures, characterized by high sheet resistance values and high critical current values, makes our nanowires very attractive devices to engineer single-photon detectors.

  12. Potentialities of silicon nanowire forests for thermoelectric generation

    NASA Astrophysics Data System (ADS)

    Dimaggio, Elisabetta; Pennelli, Giovanni

    2018-04-01

    Silicon is a material with very good thermoelectric properties, with regard to Seebeck coefficient and electrical conductivity. Low thermal conductivities, and hence high thermal to electrical conversion efficiencies, can be achieved in nanostructures, which are smaller than the phonon mean free path but large enough to preserve the electrical conductivity. We demonstrate that it is possible to fabricate a leg of a thermoelectric generator based on large collections of long nanowires, placed perpendicularly to the two faces of a silicon wafer. The process exploits the metal assisted etching technique which is simple, low cost, and can be easily applied to large surfaces. Copper can be deposited by electrodeposition on both faces, so that contacts can be provided, on top of the nanowires. Thermal conductivity of silicon nanowire forests with more than 107 nanowires mm-2 have been measured; the result is comparable with that achieved by several groups on devices based on few nanowires. On the basis of the measured parameters, numerical calculations of the efficiency of silicon-based thermoelectric generators are reported, and the potentialities of these devices for thermal to electrical energy conversion are shown. Criteria to improve the conversion efficiency are suggested and described.

  13. Ultrathin platinum nanowires grown on single-layered nickel hydroxide with high hydrogen evolution activity.

    PubMed

    Yin, Huajie; Zhao, Shenlong; Zhao, Kun; Muqsit, Abdul; Tang, Hongjie; Chang, Lin; Zhao, Huijun; Gao, Yan; Tang, Zhiyong

    2015-03-02

    Design and synthesis of effective electrocatalysts for hydrogen evolution reaction in alkaline environments is critical to reduce energy losses in alkaline water electrolysis. Here we report a hybrid nanomaterial comprising of one-dimensional ultrathin platinum nanowires grown on two-dimensional single-layered nickel hydroxide. Judicious surface chemistry to generate the fully exfoliated nickel hydroxide single layers is explored to be the key for controllable growth of ultrathin platinum nanowires with diameters of about 1.8 nm. Impressively, this hybrid nanomaterial exhibits superior electrocatalytic activity for hydrogen evolution reaction in alkaline solution, which outperforms currently reported catalysts, and the obviously improved catalytic stability. We believe that this work may lead towards the development of single-layered metal hydroxide-based hybrid materials for applications in catalysis and energy conversion.

  14. Multispectral imaging with vertical silicon nanowires

    PubMed Central

    Park, Hyunsung; Crozier, Kenneth B.

    2013-01-01

    Multispectral imaging is a powerful tool that extends the capabilities of the human eye. However, multispectral imaging systems generally are expensive and bulky, and multiple exposures are needed. Here, we report the demonstration of a compact multispectral imaging system that uses vertical silicon nanowires to realize a filter array. Multiple filter functions covering visible to near-infrared (NIR) wavelengths are simultaneously defined in a single lithography step using a single material (silicon). Nanowires are then etched and embedded into polydimethylsiloxane (PDMS), thereby realizing a device with eight filter functions. By attaching it to a monochrome silicon image sensor, we successfully realize an all-silicon multispectral imaging system. We demonstrate visible and NIR imaging. We show that the latter is highly sensitive to vegetation and furthermore enables imaging through objects opaque to the eye. PMID:23955156

  15. Biodegradable porous silicon barcode nanowires with defined geometry

    PubMed Central

    Chiappini, Ciro; Liu, Xuewu; Fakhoury, Jean Raymond; Ferrari, Mauro

    2010-01-01

    Silicon nanowires are of proven importance in diverse fields such as energy production and storage, flexible electronics, and biomedicine due to the unique characteristics emerging from their one-dimensional semiconducting nature and their mechanical properties. Here we report the synthesis of biodegradable porous silicon barcode nanowires by metal assisted electroless etch of single crystal silicon with resistivity ranging from 0.0008 Ω-cm to 10 Ω-cm. We define the geometry of the barcode nanowiresby nanolithography and we characterize their multicolor reflectance and photoluminescence. We develop phase diagrams for the different nanostructures obtained as a function of metal catalyst, H2O2 concentration, ethanol concentration and silicon resistivity, and propose a mechanism that explains these observations. We demonstrate that these nanowires are biodegradable, and their degradation time can be modulated by surface treatments. PMID:21057669

  16. A deep etching mechanism for trench-bridging silicon nanowires

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  17. A deep etching mechanism for trench-bridging silicon nanowires.

    PubMed

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  18. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  19. Direct measurement of AC electrokinetics properties and capture frequencies of silicon and silicon-germanium nanowires

    NASA Astrophysics Data System (ADS)

    Merhej, M.; Honegger, T.; Bassani, F.; Baron, T.; Peyrade, D.; Drouin, D.; Salem, B.

    2018-01-01

    The assembly of semiconductor nanowires with nanoscale precision is crucial for their integration into functional systems. In this work, we propose a novel method to experimentally determine the real part of the Clausius-Mossotti factor (CMF) of silicon and silicon-germanium nanowires. The quantification of this CMF is measured with the nanowires velocities in a pure dielectrophoretic regime. This approach combined with a study on the connected nanowires alignment yield has led to a frequency of capture evaluation. In addition, we have also presented the morphology of nanowires assembly using dielectrophoresis for a wide frequency variation of AC electric fields.

  20. Ultra-thin g-C{sub 3}N{sub 4} nanosheets wrapped silicon nanowire array for improved chemical stability and enhanced photoresponse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Beibei; Yu, Hongtao; Quan, Xie, E-mail: quanxie@dlut.edu.cn

    2014-11-15

    Highlights: • g-C{sub 3}N{sub 4}, as an oxygen free and metal free protective material for Si, was proposed. • g-C{sub 3}N{sub 4} nanosheets wrapped Si nanowire array was synthesized. • SiNW/g-C{sub 3}N{sub 4} exhibited enhancement of photoelectrochemical stability and photocurrent. - Abstract: In order to inhibit the oxidation of Si materials in aqueous solution, Si nanowire array was wrapped by ultra-thin g-C{sub 3}N{sub 4} nanosheets via an electrophoresis process. Scanning electron microscopy and transmission electron microscopy images showed that g-C{sub 3}N{sub 4} nanosheets were evenly distributed on the surface of Si nanowire array. X-ray diffraction patterns indicated that Si nanowiremore » array/g-C{sub 3}N{sub 4} nanosheets were composed of Si (4 0 0 crystal plane) and g-C{sub 3}N{sub 4} (0 0 2 and 1 0 0 crystal planes). The cyclic voltammetry curves revealed that the corrosion of Si nanowire array was restrained under the protection of g-C{sub 3}N{sub 4} nanosheets. Furthermore, the photocurrent density of Si nanowire array/g-C{sub 3}N{sub 4} nanosheets increased by nearly 3 times compared to that of bare Si nanowire array due to the effective charge separation caused by the built-in electric field at the interface. This work will facilitate the applications of Si materials in aqueous solution, such as solar energy harvest and photocatalytic pollution control.« less

  1. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hainey, Mel F.; Redwing, Joan M.

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis onmore » methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.« less

  2. Manipulation of Optoelectronic Properties and Band Structure Engineering of Ultrathin Te Nanowires by Chemical Adsorption.

    PubMed

    Roy, Ahin; Amin, Kazi Rafsanjani; Tripathi, Shalini; Biswas, Sangram; Singh, Abhishek K; Bid, Aveek; Ravishankar, N

    2017-06-14

    Band structure engineering is a powerful technique both for the design of new semiconductor materials and for imparting new functionalities to existing ones. In this article, we present a novel and versatile technique to achieve this by surface adsorption on low dimensional systems. As a specific example, we demonstrate, through detailed experiments and ab initio simulations, the controlled modification of band structure in ultrathin Te nanowires due to NO 2 adsorption. Measurements of the temperature dependence of resistivity of single ultrathin Te nanowire field-effect transistor (FET) devices exposed to increasing amounts of NO 2 reveal a gradual transition from a semiconducting to a metallic state. Gradual quenching of vibrational Raman modes of Te with increasing concentration of NO 2 supports the appearance of a metallic state in NO 2 adsorbed Te. Ab initio simulations attribute these observations to the appearance of midgap states in NO 2 adsorbed Te nanowires. Our results provide fundamental insights into the effects of ambient on the electronic structures of low-dimensional materials and can be exploited for designing novel chemical sensors.

  3. Hierarchical, ultrathin single-crystal nanowires of CdS conveniently produced in laser-induced thermal field

    DOE PAGES

    Han, Li -Li; Xin, Huolin L.; Kulinich, Sergei A.; ...

    2015-07-16

    Hierarchical nanowires (HNWs) exhibit unique properties and have wide applications, while often suffering from imperfect structure. We report a facile strategy toward ultrathin CdS HNWs with monocrystal structure, where a continuous-wave (CW) Nd:YAG laser is employed to irradiate an oleic acid (OA) solution containing precursors and a light absorber. The high heating rate and large temperature gradient generated by the CW laser lead to the rapid formation of tiny zinc-blende CdS nanocrystals which then line up into nanowires with the help of OA molecules. Next, the nanowires experience a phase transformation from zinc-blende to wurtzite structure, and the transformation-induced stressmore » creates terraces on their surface, which promotes the growth of side branches and eventually results in monocrystal HNWs with an ultrathin diameter of 24 nm. The one-step synthesis of HNWs is conducted in air and completes in just 40 seconds, thus being very simple and rapid. The prepared CdS HNWs display photocatalytic performance superior to their nanoparticle counterparts, thus showing promise for catalytic applications in the future.« less

  4. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  5. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  6. Silicon nanowire arrays as thermoelectric material for a power microgenerator

    NASA Astrophysics Data System (ADS)

    Dávila, D.; Tarancón, A.; Fernández-Regúlez, M.; Calaza, C.; Salleras, M.; San Paulo, A.; Fonseca, L.

    2011-10-01

    A novel design of a silicon-based thermoelectric power microgenerator is presented in this work. Arrays of silicon nanowires, working as thermoelectric material, have been integrated in planar uni-leg thermocouple microstructures to convert waste heat into electrical energy. Homogeneous, uniformly dense, well-oriented and size-controlled arrays of silicon nanowires have been grown by chemical vapor deposition using the vapor-liquid-solid mechanism. Compatibility issues between the nanowire growth method and microfabrication techniques, such as electrical contact patterning, are discussed. Electrical measurements of the nanowire array electrical conductivity and the Seebeck voltage induced by a controlled thermal gradient or under harvesting operation mode have been carried out to demonstrate the feasibility of the microdevice. A resistance of 240 Ω at room temperature was measured for an array of silicon nanowires 10 µm -long, generating a Seebeck voltage of 80 mV under an imposed thermal gradient of 450 °C, whereas only 4.5 mV were generated under a harvesting operation mode. From the results presented, a Seebeck coefficient of about 150-190 µV K-1 was estimated, which corresponds to typical values for bulk silicon.

  7. Silicon nanowire biologically sensitive field effect transistors: electrical characteristics and applications.

    PubMed

    Rim, Taiuk; Baek, Chang-Ki; Kim, Kihyun; Jeong, Yoon-Ha; Lee, Jeong-Soo; Meyyappan, M

    2014-01-01

    The interest in biologically sensitive field effect transistors (BioFETs) is growing explosively due to their potential as biosensors in biomedical, environmental monitoring and security applications. Recently, adoption of silicon nanowires in BioFETs has enabled enhancement of sensitivity, device miniaturization, decreasing power consumption and emerging applications such as the 3D cell probe. In this review, we describe the device physics and operation of the silicon nanowire BioFETs along with recent advances in the field. The silicon nanowire BioFETs are basically the same as the conventional field-effect transistors (FETs) with the exceptions of nanowire channel instead of thin film and a liquid gate instead of the conventional gate. Therefore, the silicon device physics is important to understand the operation of the BioFETs. Herein, physical characteristics of the silicon nanowire FETs are described and the operational principles of the BioFETs are classified according to the number of gates and the analysis domain of the measured signal. Even the bottom-up process has merits on low-cost fabrication; the top-down process technique is highlighted here due to its reliability and reproducibility. Finally, recent advances in the silicon nanowire BioFETs in the literature are described and key features for commercialization are discussed.

  8. Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism

    DOE PAGES

    Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...

    2014-01-01

    Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  9. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  10. Giant enhancement of the carrier mobility in silicon nanowires with diamond coating.

    PubMed

    Fonoberov, Vladimir A; Balandin, Alexander A

    2006-11-01

    We show theoretically that the low-field carrier mobility in silicon nanowires can be greatly enhanced by embedding the nanowires within a hard material such as diamond. The electron mobility in the cylindrical silicon nanowires with 4-nm diameter, which are coated with diamond, is 2 orders of magnitude higher at 10 K and a factor of 2 higher at room temperature than the mobility in a free-standing silicon nanowire. The importance of this result for the downscaled architectures and possible silicon-carbon nanoelectronic devices is augmented by an extra benefit of diamond, a superior heat conductor, for thermal management.

  11. Smart integration of silicon nanowire arrays in all-silicon thermoelectric micro-nanogenerators

    NASA Astrophysics Data System (ADS)

    Fonseca, Luis; Santos, Jose-Domingo; Roncaglia, Alberto; Narducci, Dario; Calaza, Carlos; Salleras, Marc; Donmez, Inci; Tarancon, Albert; Morata, Alex; Gadea, Gerard; Belsito, Luca; Zulian, Laura

    2016-08-01

    Micro and nanotechnologies are called to play a key role in the fabrication of small and low cost sensors with excellent performance enabling new continuous monitoring scenarios and distributed intelligence paradigms (Internet of Things, Trillion Sensors). Harvesting devices providing energy autonomy to those large numbers of microsensors will be essential. In those scenarios where waste heat sources are present, thermoelectricity will be the obvious choice. However, miniaturization of state of the art thermoelectric modules is not easy with the current technologies used for their fabrication. Micro and nanotechnologies offer an interesting alternative considering that silicon in nanowire form is a material with a promising thermoelectric figure of merit. This paper presents two approaches for the integration of large numbers of silicon nanowires in a cost-effective and practical way using only micromachining and thin-film processes compatible with silicon technologies. Both approaches lead to automated physical and electrical integration of medium-high density stacked arrays of crystalline or polycrystalline silicon nanowires with arbitrary length (tens to hundreds microns) and diameters below 100 nm.

  12. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    NASA Astrophysics Data System (ADS)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  13. Ultra-thin silicon/electro-optic polymer hybrid waveguide modulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qiu, Feng; Spring, Andrew M.; Sato, Hiromu

    2015-09-21

    Ultra-thin silicon and electro-optic (EO) polymer hybrid waveguide modulators have been designed and fabricated. The waveguide consists of a silicon core with a thickness of 30 nm and a width of 2 μm. The cladding is an EO polymer. Optical mode calculation reveals that 55% of the optical field around the silicon extends into the EO polymer in the TE mode. A Mach-Zehnder interferometer (MZI) modulator was prepared using common coplanar electrodes. The measured half-wave voltage of the MZI with 7 μm spacing and 1.3 cm long electrodes is 4.6 V at 1550 nm. The evaluated EO coefficient is 70 pm/V, which is comparable to that ofmore » the bulk EO polymer film. Using ultra-thin silicon is beneficial in order to reduce the side-wall scattering loss, yielding a propagation loss of 4.0 dB/cm. We also investigated a mode converter which couples light from the hybrid EO waveguide into a strip silicon waveguide. The calculation indicates that the coupling loss between these two devices is small enough to exploit the potential fusion of a hybrid EO polymer modulator together with a silicon micro-photonics device.« less

  14. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    NASA Astrophysics Data System (ADS)

    Martineau, F.; Namur, K.; Mallet, J.; Delavoie, F.; Endres, F.; Troyon, M.; Molinari, M.

    2009-11-01

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P1,4) containing SiCl4 as Si source or GeCl4 as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  15. Tip-Enhanced Raman Imaging and Nano Spectroscopy of Etched Silicon Nanowires

    PubMed Central

    Kazemi-Zanjani, Nastaran; Kergrene, Erwan; Liu, Lijia; Sham, Tsun-Kong; Lagugné-Labarthet, François

    2013-01-01

    Tip-enhanced Raman spectroscopy (TERS) is used to investigate the influence of strains in isolated and overlapping silicon nanowires prepared by chemical etching of a (100) silicon wafer. An atomic force microscopy tip made of nanocrystalline diamond coated with a thin layer of silver is used in conjunction with an excitation wavelength of 532 nm in order to probe the first order optical phonon mode of the [100] silicon nanowires. The frequency shift and the broadening of the silicon first order phonon are analyzed and compared to the topographical measurements for distinct configuration of nanowires that are disposed in straight, bent or overlapping configuration over a microscope coverslip. The TERS spatial resolution is close to the topography provided by the nanocrystalline diamond tip and subtle spectral changes are observed for different nanowire configurations. PMID:24072021

  16. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  17. Fabrication of superconducting nanowires from ultrathin MgB2 films via focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Wang, Da; Liu, Zheng-Hao; Zhang, Yan; Ma, Ping; Feng, Qing-Rong; Wang, Yue; Gan, Zi-Zhao

    2015-02-01

    High quality superconducting nanowires were fabricated from ultrathin MgB2 films by a focused ion beam milling technique. The precursor MgB2 films in 10 nm thick were grown on MgO substrates by using a hybrid physical-chemical vapor deposition method. The nanowires, in widths of about 300-600 nm and lengths of 1 or 10 μm, showed high superconducting critical temperatures (Tc's) above 34 K and narrow superconducting transition widths (ΔTc's) of 1-3 K. The superconducting critical current density Jc of the nanowires was above 5 × 107 A/cm2 at 20 K. The high Tc, narrow ΔTc, and high Jc of the nanowires offered the possibility of making MgB2-based nano-devices such as hot-electron bolometers and superconducting nanowire single-photon detectors with high operating temperatures at 15-20 K.

  18. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells

    PubMed Central

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-01

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping. PMID:28336851

  19. Nano-photonic structures for light trapping in ultra-thin crystalline silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a densemore » mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. Furthermore, this architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.« less

  20. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells.

    PubMed

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-13

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%-2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm² photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.

  1. Nano-photonic structures for light trapping in ultra-thin crystalline silicon solar cells

    DOE PAGES

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-13

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a densemore » mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. Furthermore, this architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.« less

  2. Optical modulator based on silicon nanowires racetrack resonator

    NASA Astrophysics Data System (ADS)

    Sherif, S. M.; Shahada, L.; Swillam, M.

    2018-02-01

    An optical modulator based on the racetrack resonator configuration is introduced. The structure of the resonator modulator is built from silicon nanowires on silica. The cladding and voids between the silicon nanowires are filled with an electro-optic polymer. The proposed modulator is fully CMOS compatible. When the resonance is tuned to the 1.55μm wavelength, it experiences a wavelength shift upon voltage application, which is measured at the output as a change in the power level.

  3. Structural and optical properties of silicon-carbide nanowires produced by the high-temperature carbonization of silicon nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pavlikov, A. V., E-mail: pavlikov@physics.msu.ru; Latukhina, N. V.; Chepurnov, V. I.

    Silicon-carbide (SiC) nanowire structures 40–50 nm in diameter are produced by the high-temperature carbonization of porous silicon and silicon nanowires. The SiC nanowires are studied by scanning electron microscopy, X-ray diffraction analysis, Raman spectroscopy, and infrared reflectance spectroscopy. The X-ray structural and Raman data suggest that the cubic 3C-SiC polytype is dominant in the samples under study. The shape of the infrared reflectance spectrum in the region of the reststrahlen band 800–900 cm{sup –1} is indicative of the presence of free charge carriers. The possibility of using SiC nanowires in microelectronic, photonic, and gas-sensing devices is discussed.

  4. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    PubMed Central

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  5. Silicon nanowire synthesis by a vapor-liquid-solid approach.

    PubMed

    Mao, Aaron; Ng, H T; Nguyen, Pho; McNeil, Melanie; Meyyappan, M

    2005-05-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  6. Silicon nanowire synthesis by a vapor-liquid-solid approach

    NASA Technical Reports Server (NTRS)

    Mao, Aaron; Ng, H. T.; Nguyen, Pho; McNeil, Melanie; Meyyappan, M.

    2005-01-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  7. Incubation behavior of silicon nanowire growth investigated by laser-assisted rapid heating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ryu, Sang-gil; Kim, Eunpa; Grigoropoulos, Costas P., E-mail: cgrigoro@berkeley.edu

    2016-08-15

    We investigate the early stage of silicon nanowire growth by the vapor-liquid-solid mechanism using laser-localized heating combined with ex-situ chemical mapping analysis by energy-filtered transmission electron microscopy. By achieving fast heating and cooling times, we can precisely determine the nucleation times for nanowire growth. We find that the silicon nanowire nucleation process occurs on a time scale of ∼10 ms, i.e., orders of magnitude faster than the times reported in investigations using furnace processes. The rate-limiting step for silicon nanowire growth at temperatures in the vicinity of the eutectic temperature is found to be the gas reaction and/or the silicon crystalmore » growth process, whereas at higher temperatures it is the rate of silicon diffusion through the molten catalyst that dictates the nucleation kinetics.« less

  8. Ballistic Spin Field Effect Transistor Based on Silicon Nanowires

    NASA Astrophysics Data System (ADS)

    Osintsev, Dmitri; Sverdlov, Viktor; Stanojevic, Zlatan; Selberherr, Siegfried

    2011-03-01

    We investigate the properties of ballistic spin field-effect transistors build on silicon nanowires. An accurate description of the conduction band based on the k . p} model is necessary in thin and narrow silicon nanostructures. The subband effective mass and subband splitting dependence on the nanowire dimensions is analyzed and used in the transport calculations. The spin transistor is formed by sandwiching the nanowire between two ferromagnetic metallic contacts. Delta-function barriers at the interfaces between the contacts and the silicon channel are introduced. The major contribution to the electric field-dependent spin-orbit interaction in confined silicon systems is due to the interface-induced inversion asymmetry which is of the Dresselhaus type. We study the current and conductance through the system for the contacts being in parallel and anti-parallel configurations. Differences between the [100] and [110] orientated structures are investigated in details. This work is supported by the European Research Council through the grant #247056 MOSILSPIN.

  9. Method of making a silicon nanowire device

    DOEpatents

    None, None

    2017-05-23

    There is provided an electronic device and a method for its manufacture. The device comprises an elongate silicon nanowire less than 0.5 .mu.m in cross-sectional dimensions and having a hexagonal cross-sectional shape due to annealing-induced energy relaxation. The method, in examples, includes thinning the nanowire through iterative oxidation and etching of the oxidized portion.

  10. A silicon-nanowire memory driven by optical gradient force induced bistability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, B.; Institute of Microelectronics, A*STAR; Cai, H., E-mail: caih@ime.a-star.edu.sg

    2015-12-28

    In this paper, a bistable optical-driven silicon-nanowire memory is demonstrated, which employs ring resonator to generate optical gradient force over a doubly clamped silicon-nanowire. Two stable deformation positions of a doubly clamped silicon-nanowire represent two memory states (“0” and “1”) and can be set/reset by modulating the light intensity (<3 mW) based on the optical force induced bistability. The time response of the optical-driven memory is less than 250 ns. It has applications in the fields of all optical communication, quantum computing, and optomechanical circuits.

  11. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method.

    PubMed

    Ouertani, Rachid; Hamdi, Abderrahmen; Amri, Chohdi; Khalifa, Marouan; Ezzaouia, Hatem

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films.

  12. Facile synthesis of silicon nanowire-nanopillar superhydrophobic structures

    NASA Astrophysics Data System (ADS)

    Roy, Abhijit; Satpati, Biswarup

    2018-04-01

    We have used metal assisted chemical etching (MACE) method to produce silicon (Si) nanowire-nanopillar array. Nanowire-nanopillar combined structures show higher degree of hydrophobicity compared to its nanowire (Si-NW) counterparts. The rate of etching is depended on initial metal deposition. The structural analysis was carried out using scanning electron microscopy (SEM) in combination with transmission electron microscopy (TEM) to determine different parameters like etching direction, crystallinity etc.

  13. Silicon and germanium nanowire electronics: physics of conventional and unconventional transistors

    NASA Astrophysics Data System (ADS)

    Weber, Walter M.; Mikolajick, Thomas

    2017-06-01

    Research in the field of electronics of 1D group-IV semiconductor structures has attracted increasing attention over the past 15 years. The exceptional combination of the unique 1D electronic transport properties with the mature material know-how of highly integrated silicon and germanium technology holds the promise of enhancing state-of-the-art electronics. In addition of providing conduction channels that can bring conventional field effect transistors to the uttermost scaling limits, the physics of 1D group IV nanowires endows new device principles. Such unconventional silicon and germanium nanowire devices are contenders for beyond complementary metal oxide semiconductor (CMOS) computing by virtue of their distinct switching behavior and higher expressive value. This review conveys to the reader a systematic recapitulation and analysis of the physics of silicon and germanium nanowires and the most relevant CMOS and CMOS-like devices built from silicon and germanium nanowires, including inversion mode, junctionless, steep-slope, quantum well and reconfigurable transistors.

  14. Three-dimensional TiO2 nanowire@NiMoO4 ultrathin nanosheet core-shell arrays for lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Cao, Minglei; Bu, Yi; Lv, Xiaowei; Jiang, Xingxing; Wang, Lichuan; Dai, Sirui; Wang, Mingkui; Shen, Yan

    2018-03-01

    This study reports a general and rational two-step hydrothermal strategy to fabricate three-dimensional (3D) TiO2 nanowire@NiMoO4 ultrathin nanosheet core-shell arrays (TNAs-NMO) as additives-free anodes for lithium-ion batteries (LIBs). The TNAs-NMO electrode delivers a reversible capacity of up to 446.6 mA h g-1 over 120 cycles at the current density of 0.2 A g-1 and a high rate capacity of 234.2 mA h g-1 at 2.0 A g-1. Impressively, the capacity retention efficiency is 74.7% after 2500 cycles at the high rate of 2.0 A g-1. In addition, the full cell consisting of TNAs-NMO anode and LCO cathode can afford a specific energy of up to 220.3 W h kg-1 (based on the entire mass of both electrodes). The high electrochemical performance of the TNAs-NMO electrode is ascribed to its 3D core-shell nanowire array architecture, in which the TiO2 nanowire arrays (TNAs) and the ultrathin NiMoO4 nanosheets exhibit strong synergistic effects. The TNAs maintain mechanical integrity of the electrode and the ultrathin NiMoO4 nanosheets contribute to high capacity and favorable electronic conductivity.

  15. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  16. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  17. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method

    PubMed Central

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films. PMID:25349554

  18. Indium nanowires at the silicon surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozhukhov, A. S., E-mail: antonkozhukhov@yandex.ru; Sheglov, D. V.; Latyshev, A. V.

    2016-07-15

    Conductive indium nanowires up to 50 nm in width and up to 10 μm in length are fabricated on the surface of silicon by local resputtering from the probe of an atomic-force microscope. The transfer of indium from the probe of the atomic-force microscope onto the silicon surface is initiated by applying a potential between the probe and the surface as they approach each other to spacings, at which the mutual repulsive force is ~10{sup –7} N. The conductivity of the nanowires ranges from 7 × 10{sup –3} to 4 × 10{sup –2} Ω cm, which is several orders ofmore » magnitude lower than that in the case of the alternative technique of heat transfer.« less

  19. Nonlinear Conductive Behaviour of Silver Nanowires/Silicone Rubber Composites

    NASA Astrophysics Data System (ADS)

    Lu, Pin; Qu, Zhaoming; Wang, Qingguo; Bai, Liyun; Zhao, Shiyang

    2018-01-01

    Silver nanowires with an average length of 10 μm and diameter of about 90 nm have been synthesized by polyol reduction of silver nitrate in the presence of polyvinylpyrrolidone(PVP). Silver nanowires (AgNWs)/silicone rubber (SR) composites have been made by mixing silver nanowires into silicone rubber. The nonlinear response of AgNWs/SR composites under high electric field is investigated. The nonlinear Conductive behavior of composites is considered as a competitive process of several effects. From the perspective of the microstructure of composites, the conductive path is established by the quantum tunnel effect between silver nanowires. The influence factors on the conductivity of composites are discussed and analyzed. The results show that the AgNWs/SR composites with nonlinear conductive properties are of great potential application in electromagnetic protection of electron device and system.

  20. Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyoung Ryu, Yu; Garcia, Ricardo, E-mail: r.garcia@csic.es; Aitor Postigo, Pablo

    2014-06-02

    Silicon nanowires are key elements to fabricate very sensitive mechanical and electronic devices. We provide a method to fabricate sub-12 nm silicon nanowires in thickness by combining oxidation scanning probe lithography and anisotropic dry etching. Extremely thin oxide masks (0.3–1.1 nm) are transferred into nanowires of 2–12 nm in thickness. The width ratio between the mask and the silicon nanowire is close to one which implies that the nanowire width is controlled by the feature size of the nanolithography. This method enables the fabrication of very small single silicon nanowires with cross-sections below 100 nm{sup 2}. Those values are the smallest obtained withmore » a top-down lithography method.« less

  1. Interference lithographically defined and catalytically etched, large-area silicon nanocones from nanowires.

    PubMed

    Dawood, M K; Liew, T H; Lianto, P; Hong, M H; Tripathy, S; Thong, J T L; Choi, W K

    2010-05-21

    We report a simple and cost effective method for the synthesis of large-area, precisely located silicon nanocones from nanowires. The nanowires were obtained from our interference lithography and catalytic etching (IL-CE) method. We found that porous silicon was formed near the Au catalyst during the fabrication of the nanowires. The porous silicon exhibited enhanced oxidation ability when exposed to atmospheric conditions or in wet oxidation ambient. Very well located nanocones with uniform sharpness resulted when these oxidized nanowires were etched in 10% HF. Nanocones of different heights were obtained by varying the doping concentration of the silicon wafers. We believe this is a novel method of producing large-area, low cost, well defined nanocones from nanowires both in terms of the control of location and shape of the nanocones. A wide range of potential applications of the nanocone array can be found as a master copy for nanoimprinted polymer substrates for possible biomedical research; as a candidate for making sharp probes for scanning probe nanolithography; or as a building block for field emitting tips or photodetectors in electronic/optoelectronic applications.

  2. Silicon Nanowire/Polymer Hybrid Solar Cell-Supercapacitor: A Self-Charging Power Unit with a Total Efficiency of 10.5.

    PubMed

    Liu, Ruiyuan; Wang, Jie; Sun, Teng; Wang, Mingjun; Wu, Changsheng; Zou, Haiyang; Song, Tao; Zhang, Xiaohong; Lee, Shuit-Tong; Wang, Zhong Lin; Sun, Baoquan

    2017-07-12

    An integrated self-charging power unit, combining a hybrid silicon nanowire/polymer heterojunction solar cell with a polypyrrole-based supercapacitor, has been demonstrated to simultaneously harvest solar energy and store it. By efficiency enhancement of the hybrid nanowire solar cells and a dual-functional titanium film serving as conjunct electrode of the solar cell and supercapacitor, the integrated system is able to yield a total photoelectric conversion to storage efficiency of 10.5%, which is the record value in all the integrated solar energy conversion and storage system. This system may not only serve as a buffer that diminishes the solar power fluctuations from light intensity, but also pave its way toward cost-effective high efficiency self-charging power unit. Finally, an integrated device based on ultrathin Si substrate is demonstrated to expand its feasibility and potential application in flexible energy conversion and storage devices.

  3. Sub-diffraction Laser Synthesis of Silicon Nanowires

    PubMed Central

    Mitchell, James I.; Zhou, Nan; Nam, Woongsik; Traverso, Luis M.; Xu, Xianfan

    2014-01-01

    We demonstrate synthesis of silicon nanowires of tens of nanometers via laser induced chemical vapor deposition. These nanowires with diameters as small as 60 nm are produced by the interference between incident laser radiation and surface scattered radiation within a diffraction limited spot, which causes spatially confined, periodic heating needed for high resolution chemical vapor deposition. By controlling the intensity and polarization direction of the incident radiation, multiple parallel nanowires can be simultaneously synthesized. The nanowires are produced on a dielectric substrate with controlled diameter, length, orientation, and the possibility of in-situ doping, and therefore are ready for device fabrication. Our method offers rapid one-step fabrication of nano-materials and devices unobtainable with previous CVD methods. PMID:24469704

  4. Impurity and phonon scattering in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Zhang, W.; Persson, M. P.; Mera, H.; Delerue, C.; Niquet, Y. M.; Allan, G.; Wang, E.

    2011-03-01

    We model the scattering of electrons by phonons and dopant impurities in ultimate [110]-oriented gate-all-around silicon nanowires with an atomistic valence force field and tight-binding approach. All electron-phonons interactions are included. We show that impurity scattering can reduce with decreasing nanowire diameter due to the enhanced screening by the gate. Donors and acceptors however perform very differently : acceptors behave as tunnel barriers for the electrons, while donors behave as quantum wells which introduce Fano resonances in the conductance. As a consequence the acceptors are much more limiting the mobility than the donors. The resistances of single acceptors are also very dependent on their radial position in the nanowire, which might be a significant source of variability in ultimate silicon nanowire devices. Concerning phonons, we show that, as a result of strong confinement, i) electrons couple to a wide and complex distribution of phonons modes, and ii) the mobility has a non-monotonic variation with wire diameter and is strongly reduced with respect to bulk. French National Research Agency ANR project QUANTAMONDE Contract No. ANR-07-NANO-023-02 and by the Délégation Générale pour l'Armement, French Ministry of Defense under Grant No. 2008.34.0031.

  5. Ultrathin Uniform Platinum Nanowires via a Facile Route Using an Inverse Hexagonal Surfactant Phase Template.

    PubMed

    Akbar, Samina; Boswell, Jacob; Worsley, Carys; Elliott, Joanne M; Squires, Adam M

    2018-06-19

    We present an attractive method for the fabrication of long, straight, highly crystalline, ultrathin platinum nanowires. The fabrication is simply achieved using an inverse hexagonal (H II ) lyotropic liquid crystal phase of the commercial surfactant phytantriol as a template. A platinum precursor dissolved within the cylindrical aqueous channels of the liquid crystal phase is chemically reduced by galvanic displacement using stainless steel. We demonstrate the production of nanowires using the H II phase in the phytantriol/water system which we obtain either by heating to 55 °C or at room temperature by the addition of a hydrophobic liquid, 9- cis-tricosene, to relieve packing frustration. The two sets of conditions produced high aspect nanowires with diameters of 2.5 and 1.7 nm, respectively, at least hundreds of nanometers in length, matching the size of the aqueous channels in which they grow. This versatile approach can be extended to produce highly uniform nanowires from a range of metals.

  6. Approaching the ideal elastic strain limit in silicon nanowires

    PubMed Central

    Zhang, Hongti; Tersoff, Jerry; Xu, Shang; Chen, Huixin; Zhang, Qiaobao; Zhang, Kaili; Yang, Yong; Lee, Chun-Sing; Tu, King-Ning; Li, Ju; Lu, Yang

    2016-01-01

    Achieving high elasticity for silicon (Si) nanowires, one of the most important and versatile building blocks in nanoelectronics, would enable their application in flexible electronics and bio-nano interfaces. We show that vapor-liquid-solid–grown single-crystalline Si nanowires with diameters of ~100 nm can be repeatedly stretched above 10% elastic strain at room temperature, approaching the theoretical elastic limit of silicon (17 to 20%). A few samples even reached ~16% tensile strain, with estimated fracture stress up to ~20 GPa. The deformations were fully reversible and hysteresis-free under loading-unloading tests with varied strain rates, and the failures still occurred in brittle fracture, with no visible sign of plasticity. The ability to achieve this “deep ultra-strength” for Si nanowires can be attributed mainly to their pristine, defect-scarce, nanosized single-crystalline structure and atomically smooth surfaces. This result indicates that semiconductor nanowires could have ultra-large elasticity with tunable band structures for promising “elastic strain engineering” applications. PMID:27540586

  7. Novel ultrathin Bi2O3 nanowires for supercapacitor electrode materials with high performance

    NASA Astrophysics Data System (ADS)

    Qiu, Yongfu; Fan, Hongbo; Chang, Xueyi; Dang, Haifeng; Luo, Qun; Cheng, Zhiyu

    2018-03-01

    In this paper, the ultrathin Bi2O3 nanowires are synthesized by an oxidative metal vapor transport deposition technique. Their diameters and length are about 10 nm and several tens of micrometers, the growth direction is along [101] and the specific surface area is about 7.34 m2 g-1. The galvanostatic charge-discharge measurement results show that the specific capacitances of the Bi2O3 nanowires-based electrodes increase with the decrease of the current densities. The maximum capacitance is 691.3 F g-1 at the current density of 2.0 A g-1. The Ragone plot shows that the Bi2O3 nanowires has excellent supercapacitive performance. Moreover, the cyclic stability is measured by the galvanostatic charge/discharge technique at a constant current density of 10.0 A g-1 in 6.0 M KOH electrolyte. The results show the excellent capacitance retention of 75.5% over 3000 cycles. In a word, the Bi2O3 nanowires should be the ideal potential electrode materials for low-costing and effective electrochemical supercapacitors.

  8. Solution-grown silicon nanowires for lithium-ion battery anodes.

    PubMed

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  9. Carbon-silicon core-shell nanowires as high capacity electrode for lithium ion batteries.

    PubMed

    Cui, Li-Feng; Yang, Yuan; Hsu, Ching-Mei; Cui, Yi

    2009-09-01

    We introduce a novel design of carbon-silicon core-shell nanowires for high power and long life lithium battery electrodes. Amorphous silicon was coated onto carbon nanofibers to form a core-shell structure and the resulted core-shell nanowires showed great performance as anode material. Since carbon has a much smaller capacity compared to silicon, the carbon core experiences less structural stress or damage during lithium cycling and can function as a mechanical support and an efficient electron conducting pathway. These nanowires have a high charge storage capacity of approximately 2000 mAh/g and good cycling life. They also have a high Coulmbic efficiency of 90% for the first cycle and 98-99.6% for the following cycles. A full cell composed of LiCoO(2) cathode and carbon-silicon core-shell nanowire anode is also demonstrated. Significantly, using these core-shell nanowires we have obtained high mass loading and an area capacity of approximately 4 mAh/cm(2), which is comparable to commercial battery values.

  10. Arrays of ultrathin silicon solar microcells

    DOEpatents

    Rogers, John A.; Rockett, Angus A.; Nuzzo, Ralph; Yoon, Jongseung; Baca, Alfred

    2015-08-11

    Provided are solar cells, photovoltaics and related methods for making solar cells, wherein the solar cell is made of ultrathin solar grade or low quality silicon. In an aspect, the invention is a method of making a solar cell by providing a solar cell substrate having a receiving surface and assembling a printable semiconductor element on the receiving surface of the substrate via contact printing. The semiconductor element has a thickness that is less than or equal to 100 .mu.m and, for example, is made from low grade Si.

  11. Arrays of ultrathin silicon solar microcells

    DOEpatents

    Rogers, John A; Rockett, Angus A; Nuzzo, Ralph; Yoon, Jongseung; Baca, Alfred

    2014-03-25

    Provided are solar cells, photovoltaics and related methods for making solar cells, wherein the solar cell is made of ultrathin solar grade or low quality silicon. In an aspect, the invention is a method of making a solar cell by providing a solar cell substrate having a receiving surface and assembling a printable semiconductor element on the receiving surface of the substrate via contact printing. The semiconductor element has a thickness that is less than or equal to 100 .mu.m and, for example, is made from low grade Si.

  12. A III-V nanowire channel on silicon for high-performance vertical transistors.

    PubMed

    Tomioka, Katsuhiro; Yoshimura, Masatoshi; Fukui, Takashi

    2012-08-09

    Silicon transistors are expected to have new gate architectures, channel materials and switching mechanisms in ten years' time. The trend in transistor scaling has already led to a change in gate structure from two dimensions to three, used in fin field-effect transistors, to avoid problems inherent in miniaturization such as high off-state leakage current and the short-channel effect. At present, planar and fin architectures using III-V materials, specifically InGaAs, are being explored as alternative fast channels on silicon because of their high electron mobility and high-quality interface with gate dielectrics. The idea of surrounding-gate transistors, in which the gate is wrapped around a nanowire channel to provide the best possible electrostatic gate control, using InGaAs channels on silicon, however, has been less well investigated because of difficulties in integrating free-standing InGaAs nanostructures on silicon. Here we report the position-controlled growth of vertical InGaAs nanowires on silicon without any buffering technique and demonstrate surrounding-gate transistors using InGaAs nanowires and InGaAs/InP/InAlAs/InGaAs core-multishell nanowires as channels. Surrounding-gate transistors using core-multishell nanowire channels with a six-sided, high-electron-mobility transistor structure greatly enhance the on-state current and transconductance while keeping good gate controllability. These devices provide a route to making vertically oriented transistors for the next generation of field-effect transistors and may be useful as building blocks for wireless networks on silicon platforms.

  13. Anomalous Seebeck coefficient observed in silicon nanowire micro thermoelectric generator

    NASA Astrophysics Data System (ADS)

    Hashimoto, S.; Asada, S.; Xu, T.; Oba, S.; Himeda, Y.; Yamato, R.; Matsukawa, T.; Matsuki, T.; Watanabe, T.

    2017-07-01

    We have found experimentally an anomalous thermoelectric characteristic of an n-type Si nanowire micro thermoelectric generator (μTEG). The μTEG is fabricated on a silicon-on-insulator wafer by electron beam lithography and dry etching, and its surface is covered with a thermally grown silicon dioxide film. The observed thermoelectric current is opposite to what is expected from the Seebeck coefficient of n-type Si. The result is understandable by considering a potential barrier in the nanowire. Upon the application of the temperature gradient across the nanowire, the potential barrier impedes the diffusion of thermally activated majority carriers into the nanowire, and it rather stimulates the injection of thermally generated minority carriers. The most plausible origin of the potential barrier is negative charges trapped at the interface between the Si nanowire and the oxide film. We practically confirmed that the normal Seebeck coefficient of the n-type Si nanowire is recovered after the hydrogen forming gas annealing. This implies that the interface traps are diminished by the hydrogen termination of bonding defects. The present results show the importance of the surface inactivation treatment of μTEGs to suppress the potential barrier and unfavorable contribution of minority carriers.

  14. Modulation of thermal conductivity in kinked silicon nanowires: phonon interchanging and pinching effects.

    PubMed

    Jiang, Jin-Wu; Yang, Nuo; Wang, Bing-Shen; Rabczuk, Timon

    2013-04-10

    We perform molecular dynamics simulations to investigate the reduction of the thermal conductivity by kinks in silicon nanowires. The reduction percentage can be as high as 70% at room temperature. The temperature dependence of the reduction is also calculated. By calculating phonon polarization vectors, two mechanisms are found to be responsible for the reduced thermal conductivity: (1) the interchanging effect between the longitudinal and transverse phonon modes and (2) the pinching effect, that is, a new type of localization, for the twisting and transverse phonon modes in the kinked silicon nanowires. Our work demonstrates that the phonon interchanging and pinching effects, induced by kinking, are brand-new and effective ways in modulating heat transfer in nanowires, which enables the kinked silicon nanowires to be a promising candidate for thermoelectric materials.

  15. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  16. An innovative large scale integration of silicon nanowire-based field effect transistors

    NASA Astrophysics Data System (ADS)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  17. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  18. Silicon nanowire Esaki diodes.

    PubMed

    Schmid, Heinz; Bessire, Cedric; Björk, Mikael T; Schenk, Andreas; Riel, Heike

    2012-02-08

    We report on the fabrication and characterization of silicon nanowire tunnel diodes. The silicon nanowires were grown on p-type Si substrates using Au-catalyzed vapor-liquid-solid growth and in situ n-type doping. Electrical measurements reveal Esaki diode characteristics with peak current densities of 3.6 kA/cm(2), peak-to-valley current ratios of up to 4.3, and reverse current densities of up to 300 kA/cm(2) at 0.5 V reverse bias. Strain-dependent current-voltage (I-V) measurements exhibit a decrease of the peak tunnel current with uniaxial tensile stress and an increase of 48% for 1.3 GPa compressive stress along the <111> growth direction, revealing the strain dependence of the Si band structure and thus the tunnel barrier. The contributions of phonons to the indirect tunneling process were probed by conductance measurements at 4.2 K. These measurements show phonon peaks at energies corresponding to the transverse acoustical and transverse optical phonons. In addition, the low-temperature conductance measurements were extended to higher biases to identify potential impurity states in the band gap. The results demonstrate that the most likely impurity, namely, Au from the catalyst particle, is not detectable, a finding that is also supported by the excellent device properties of the Esaki diodes reported here. © 2012 American Chemical Society

  19. First-principles simulation on Seebeck coefficient in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Nakamura, Koichi

    2017-06-01

    The Seebeck coefficients of silicon nanowires (SiNWs) were simulated on the basis of first-principles calculation using various atomistic structure models. The electronic band structures of fully hydrogen-terminated SiNW models give the correct image of quantum mechanical confinement from bulk silicon to SiNW for each axial direction, and the change in the density of states by dimensional reduction to SiNW enhances the thermoelectric performance in terms of the Seebeck coefficient, compared with those of bulk silicon and silicon nanosheets. The uniaxial tensile strain for the SiNW models does not strongly affect the Seebeck coefficient even for the SiNW system with giant piezoresistivity. In contrast, dangling bonds on a wire wall sharply reduce the Seebeck coefficient of SiNW and totally degrade thermoelectric performance from the viewpoint of the power factor. The exclusion of dangling bonds is a key element for the design and application of high-performance thermoelectric nanowires of semiconducting materials.

  20. A comparison of light-harvesting performance of silicon nanocones and nanowires for radial-junction solar cells.

    PubMed

    Li, Yingfeng; Li, Meicheng; Fu, Pengfei; Li, Ruike; Song, Dandan; Shen, Chao; Zhao, Yan

    2015-06-26

    Silicon nanorod based radial-junction solar cells are competitive alternatives to traditional planar silicon solar cells. In various silicon nanorods, nanocone is always considered to be better than nanowire in light-absorption. Nevertheless, we find that this notion isn't absolutely correct. Silicon nanocone is indeed significantly superior over nanowire in light-concentration due to its continuous diameters, and thus resonant wavelengths excited. However, the concentrated light can't be effectively absorbed and converted to photogenerated carriers, since its propagation path in silicon nanocone is shorter than that in nanowire. The results provide critical clues for the design of silicon nanorod based radial-junction solar cells.

  1. Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.

    PubMed

    Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min

    2014-05-13

    The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.

  2. Top-Down Nanofabrication and Characterization of 20 nm Silicon Nanowires for Biosensing Applications

    PubMed Central

    M. N, M. Nuzaihan; Hashim, U.; Md Arshad, M. K.; Ruslinda, A. Rahim; Rahman, S. F. A.; Fathil, M. F. M.; Ismail, Mohd. H.

    2016-01-01

    A top-down nanofabrication approach is used to develop silicon nanowires from silicon-on-insulator (SOI) wafers and involves direct-write electron beam lithography (EBL), inductively coupled plasma-reactive ion etching (ICP-RIE) and a size reduction process. To achieve nanometer scale size, the crucial factors contributing to the EBL and size reduction processes are highlighted. The resulting silicon nanowires, which are 20 nm in width and 30 nm in height (with a triangular shape) and have a straight structure over the length of 400 μm, are fabricated precisely at the designed location on the device. The device is applied in biomolecule detection based on the changes in drain current (Ids), electrical resistance and conductance of the silicon nanowires upon hybridization to complementary target deoxyribonucleic acid (DNA). In this context, the scaled-down device exhibited superior performances in terms of good specificity and high sensitivity, with a limit of detection (LOD) of 10 fM, enables for efficient label-free, direct and higher-accuracy DNA molecules detection. Thus, this silicon nanowire can be used as an improved transducer and serves as novel biosensor for future biomedical diagnostic applications. PMID:27022732

  3. Multi-functional ultrathin Pd xCu 1-x and Pt~Pd xCu 1-x one-dimensional nanowire motifs for various small molecule oxidation reactions

    DOE PAGES

    Liu, Haiqing; Wong, Stanislaus S.; Adzic, Radoslav R.

    2015-11-18

    Developing novel electrocatalysts for small molecule oxidation processes, including formic acid oxidation (FAOR), methanol oxidation reaction (MOR), and ethanol oxidation reaction (EOR), denoting the key anodic reactions for their respective fuel cell configurations, is a significant and relevant theme of recent efforts in the field. Herein, in this report, we demonstrated a concerted effort to couple and combine the benefits of small size, anisotropic morphology, and tunable chemical composition in order to devise a novel “family” of functional architectures. In particular, we have fabricated not only ultrathin 1-D Pd 1–xCu x alloys but also Pt-coated Pd 1–xCu x (i.e., Pt~Pdmore » 1–xCu x; herein the ~ indicates an intimate association, but not necessarily actual bond formation, between the inner bimetallic core and the Pt outer shell) core–shell hierarchical nanostructures with readily tunable chemical compositions by utilizing a facile, surfactant-based, wet chemical synthesis coupled with a Cu underpotential deposition technique. Our main finding is that our series of as-prepared nanowires are functionally flexible. More precisely, we demonstrate that various examples within this “family” of structural motifs can be tailored for exceptional activity with all 3 of these important electrocatalytic reactions. In particular, we note that our series of Pd 1–xCu x nanowires all exhibit enhanced FAOR activities as compared with not only analogous Pd ultrathin nanowires but also commercial Pt and Pd standards, with Pd 9Cu representing the “optimal” composition. Moreover, our group of Pt~Pd 1–xCu x nanowires consistently outperformed not only commercial Pt NPs but also ultrathin Pt nanowires by several fold orders of magnitude for both the MOR and EOR reactions in alkaline media. As a result, the variation of the MOR and EOR performance with the chemical composition of our ultrathin Pt~Pd 1–xCu x nanowires was also discussed.« less

  4. Selective doping of silicon nanowires by means of electron beam stimulated oxide etching.

    PubMed

    Pennelli, G; Totaro, M; Piotto, M

    2012-02-08

    Direct patterning of silicon dioxide by means of electron beam stimulated etching is shown, and a full characterization of exposure dose is presented. For its high dose, this technique is unsuitable for large areas but can be usefully employed like a precision scalpel for removing silicon dioxide by well-localized points. In this work, this technique is applied to the definition of windows through the oxide surrounding top down fabricated n-doped silicon nanowires. These windows will be employed for a selective doping of the nanowire by boron diffusion. In this way, pn junctions can be fabricated in well-localized points in the longitudinal direction of the nanowire, and an electrical contact to the different junctions can be provided. Electrical I-V characteristics of a nanowire with pn longitudinal junctions are reported and discussed. © 2012 American Chemical Society

  5. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  6. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  7. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  8. Metallic rare-earth silicide nanowires on silicon surfaces.

    PubMed

    Dähne, Mario; Wanke, Martina

    2013-01-09

    The formation, atomic structure, and electronic properties of self-assembled rare-earth silicide nanowires on silicon surfaces were studied by scanning tunneling microscopy and angle-resolved photoelectron spectroscopy. Metallic dysprosium and erbium silicide nanowires were observed on both the Si(001) and Si(557) surfaces. It was found that they consist of hexagonal rare-earth disilicides for both surface orientations. On Si(001), the nanowires are characterized by a one-dimensional band structure, while the electronic dispersion is two-dimensional for the nanowires formed on Si(557). This behavior is explained by the different orientations of the hexagonal c axis of the silicide leading to different conditions for the carrier confinement. By considering this carrier confinement it is demonstrated how the one-dimensional band structure of the nanowires on Si(001) can be derived from the two-dimensional one of the silicide monolayer on Si(111).

  9. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording

    PubMed Central

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-01-01

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development. PMID:28350370

  10. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording.

    PubMed

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-03-28

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development.

  11. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  12. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  13. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  14. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  15. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    NASA Astrophysics Data System (ADS)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  16. Highly organised and dense vertical silicon nanowire arrays grown in porous alumina template on <100> silicon wafers

    PubMed Central

    2013-01-01

    In this work, nanoimprint lithography combined with standard anodization etching is used to make perfectly organised triangular arrays of vertical cylindrical alumina nanopores onto standard <100>−oriented silicon wafers. Both the pore diameter and the period of alumina porous array are well controlled and can be tuned: the periods vary from 80 to 460 nm, and the diameters vary from 15 nm to any required diameter. These porous thin layers are then successfully used as templates for the guided epitaxial growth of organised mono-crystalline silicon nanowire arrays in a chemical vapour deposition chamber. We report the densities of silicon nanowires up to 9 × 109 cm−2 organised in highly regular arrays with excellent diameter distribution. All process steps are demonstrated on surfaces up to 2 × 2 cm2. Specific emphasis was made to select techniques compatible with microelectronic fabrication standards, adaptable to large surface samples and with a reasonable cost. Achievements made in the quality of the porous alumina array, therefore on the silicon nanowire array, widen the number of potential applications for this technology, such as optical detectors or biological sensors. PMID:23773702

  17. Design of ultrathin Pt-Mo-Ni nanowire catalysts for ethanol electrooxidation.

    PubMed

    Mao, Junjie; Chen, Wenxing; He, Dongsheng; Wan, Jiawei; Pei, Jiajing; Dong, Juncai; Wang, Yu; An, Pengfei; Jin, Zhao; Xing, Wei; Tang, Haolin; Zhuang, Zhongbin; Liang, Xin; Huang, Yu; Zhou, Gang; Wang, Leyu; Wang, Dingsheng; Li, Yadong

    2017-08-01

    Developing cost-effective, active, and durable electrocatalysts is one of the most important issues for the commercialization of fuel cells. Ultrathin Pt-Mo-Ni nanowires (NWs) with a diameter of ~2.5 nm and lengths of up to several micrometers were synthesized via a H 2 -assisted solution route (HASR). This catalyst was designed on the basis of the following three points: (i) ultrathin NWs with high numbers of surface atoms can increase the atomic efficiency of Pt and thus decrease the catalyst cost; (ii) the incorporation of Ni can isolate Pt atoms on the surface and produce surface defects, leading to high catalytic activity (the unique structure and superior activity were confirmed by spherical aberration-corrected electron microscopy measurements and ethanol oxidation tests, respectively); and (iii) the incorporation of Mo can stabilize both Ni and Pt atoms, leading to high catalytic stability, which was confirmed by experiments and density functional theory calculations. Furthermore, the developed HASR strategy can be extended to synthesize a series of Pt-Mo-M (M = Fe, Co, Mn, Ru, etc.) NWs. These multimetallic NWs would open up new opportunities for practical fuel cell applications.

  18. Design of ultrathin Pt-Mo-Ni nanowire catalysts for ethanol electrooxidation

    PubMed Central

    Mao, Junjie; Chen, Wenxing; He, Dongsheng; Wan, Jiawei; Pei, Jiajing; Dong, Juncai; Wang, Yu; An, Pengfei; Jin, Zhao; Xing, Wei; Tang, Haolin; Zhuang, Zhongbin; Liang, Xin; Huang, Yu; Zhou, Gang; Wang, Leyu; Wang, Dingsheng; Li, Yadong

    2017-01-01

    Developing cost-effective, active, and durable electrocatalysts is one of the most important issues for the commercialization of fuel cells. Ultrathin Pt-Mo-Ni nanowires (NWs) with a diameter of ~2.5 nm and lengths of up to several micrometers were synthesized via a H2-assisted solution route (HASR). This catalyst was designed on the basis of the following three points: (i) ultrathin NWs with high numbers of surface atoms can increase the atomic efficiency of Pt and thus decrease the catalyst cost; (ii) the incorporation of Ni can isolate Pt atoms on the surface and produce surface defects, leading to high catalytic activity (the unique structure and superior activity were confirmed by spherical aberration–corrected electron microscopy measurements and ethanol oxidation tests, respectively); and (iii) the incorporation of Mo can stabilize both Ni and Pt atoms, leading to high catalytic stability, which was confirmed by experiments and density functional theory calculations. Furthermore, the developed HASR strategy can be extended to synthesize a series of Pt-Mo-M (M = Fe, Co, Mn, Ru, etc.) NWs. These multimetallic NWs would open up new opportunities for practical fuel cell applications. PMID:28875160

  19. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    West, Hannah Elise

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  20. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  1. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  2. Ultra-thin, light-trapping silicon solar cells

    NASA Technical Reports Server (NTRS)

    Landis, Geoffrey A.

    1989-01-01

    Design concepts for ultra-thin (2 to 10 microns) high efficiency single-crystal silicon cells are discussed. Light trapping allows more light to be absorbed at a given thickness, or allows thinner cells of a given Jsc. Extremely thin cells require low surface recombination velocity at both surfaces, including the ohmic contacts. Reduction of surface recombination by growth of heterojunctions of ZnS and GaP on Si has been demonstrated. The effects of these improvements on AM0 efficiency is shown. The peak efficiency increases, and the optimum thickness decreases. Cells under 10 microns thickness can retain almost optimum power. The increase of absorptance due to light trapping is considered. This is not a problem if the light-trapping cells are sufficiently thin. Ultra-thin cells have high radiation tolerance. A 2 microns thick light-trapping cell remains over 18 percent efficient after the equivalent of 20 years in geosynchronous orbit. Including a 50 microns thick coverglass, the thin cells had specific power after irradiation over ten times higher than the baseline design.

  3. In situ probing of the active site geometry of ultrathin nanowires for the oxygen reduction reaction

    DOE PAGES

    Liu, Haiqing; Wong, Stanislaus S.; An, Wei; ...

    2015-09-24

    To create truly effective electrocatalysts for the cathodic reaction governing proton exchange membrane fuel cells (PEMFC), namely the oxygen reduction reaction (ORR), necessitates an accurate and detailed structural understanding of these electrocatalysts, especially at the nanoscale, and to precisely correlate that structure with demonstrable performance enhancement. To address this key issue, we have combined and interwoven theoretical calculations with experimental, spectroscopic observations in order to acquire useful structural insights into the active site geometry with implications for designing optimized nanoscale electrocatalysts with rationally predicted properties. Specifically, we have probed ultrathin (~2 nm) core–shell Pt~Pd 9Au nanowires, which have been previouslymore » shown to be excellent candidates for ORR in terms of both activity and long-term stability, from the complementary perspectives of both DFT calculations and X-ray absorption spectroscopy (XAS). The combination and correlation of data from both experimental and theoretical studies has revealed for the first time that the catalytically active structure of our ternary nanowires can actually be ascribed to a PtAu~Pd configuration, comprising a PtAu binary shell and a pure inner Pd core. Moreover, we have plausibly attributed the resulting structure to a specific synthesis step, namely the Cu underpotential deposition (UPD) followed by galvanic replacement with Pt. Thus, the fundamental insights gained into the performance of our ultrathin nanowires from our demonstrated approach will likely guide future directed efforts aimed at broadly improving upon the durability and stability of nanoscale electrocatalysts in general.« less

  4. Texturing Silicon Nanowires for Highly Localized Optical Modulation of Cellular Dynamics.

    PubMed

    Fang, Yin; Jiang, Yuanwen; Acaron Ledesma, Hector; Yi, Jaeseok; Gao, Xiang; Weiss, Dara E; Shi, Fengyuan; Tian, Bozhi

    2018-06-18

    Engineered silicon-based materials can display photoelectric and photothermal responses under light illumination, which may lead to further innovations at the silicon-biology interfaces. Silicon nanowires have small radial dimensions, promising as highly localized cellular modulators, however the single crystalline form typically has limited photothermal efficacy due to the poor light absorption and fast heat dissipation. In this work, we report strategies to improve the photothermal response from silicon nanowires by introducing nanoscale textures on the surface and in the bulk. We next demonstrate high-resolution extracellular modulation of calcium dynamics in a number of mammalian cells including glial cells, neurons, and cancer cells. The new materials may be broadly used in probing and modulating electrical and chemical signals at the subcellular length scale, which is currently a challenge in the field of electrophysiology or cellular engineering.

  5. Pentatwinned Cu Nanowires with Ultrathin Diameters below 20 nm and Their Use as Templates for the Synthesis of Au-Based Nanotubes

    DOE PAGES

    Luo, Ming; Zhou, Ming; Rosa da Silva, Robson; ...

    2017-01-24

    Here, we report a one-pot method for the facile synthesis of Cu nanowires in high purity, together with ultrathin diameters well below 20 nm. Selected area electron diffraction and high-resolution transmission electron microscopy studies confirm that the Cu nanowires are grown along the <110> direction to give pentatwinned, one-dimensional nanostructures, enclosed by five {100} facets on the side surface. A systematic study further indicates that it is critical to conduct the synthesis under an argon atmosphere in order to improve the purity and uniformity of the nanowires while keeping their diameters thinner than 20 nm. Finally, we demonstrate the usemore » of these nanowires as sacrificial templates for the synthesis of Au-based nanotubes through a galvanic replacement process.« less

  6. Pentatwinned Cu Nanowires with Ultrathin Diameters below 20 nm and Their Use as Templates for the Synthesis of Au-Based Nanotubes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Ming; Zhou, Ming; Rosa da Silva, Robson

    Here, we report a one-pot method for the facile synthesis of Cu nanowires in high purity, together with ultrathin diameters well below 20 nm. Selected area electron diffraction and high-resolution transmission electron microscopy studies confirm that the Cu nanowires are grown along the <110> direction to give pentatwinned, one-dimensional nanostructures, enclosed by five {100} facets on the side surface. A systematic study further indicates that it is critical to conduct the synthesis under an argon atmosphere in order to improve the purity and uniformity of the nanowires while keeping their diameters thinner than 20 nm. Finally, we demonstrate the usemore » of these nanowires as sacrificial templates for the synthesis of Au-based nanotubes through a galvanic replacement process.« less

  7. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  8. Silicon Nanowires for Solar Thermal Energy Harvesting: an Experimental Evaluation on the Trade-off Effects of the Spectral Optical Properties.

    PubMed

    Sekone, Abdoul Karim; Chen, Yu-Bin; Lu, Ming-Chang; Chen, Wen-Kai; Liu, Chia-An; Lee, Ming-Tsang

    2016-12-01

    Silicon nanowire possesses great potential as the material for renewable energy harvesting and conversion. The significantly reduced spectral reflectivity of silicon nanowire to visible light makes it even more attractive in solar energy applications. However, the benefit of its use for solar thermal energy harvesting remains to be investigated and has so far not been clearly reported. The purpose of this study is to provide practical information and insight into the performance of silicon nanowires in solar thermal energy conversion systems. Spectral hemispherical reflectivity and transmissivity of the black silicon nanowire array on silicon wafer substrate were measured. It was observed that the reflectivity is lower in the visible range but higher in the infrared range compared to the plain silicon wafer. A drying experiment and a theoretical calculation were carried out to directly evaluate the effects of the trade-off between scattering properties at different wavelengths. It is clearly seen that silicon nanowires can improve the solar thermal energy harnessing. The results showed that a 17.8 % increase in the harvest and utilization of solar thermal energy could be achieved using a silicon nanowire array on silicon substrate as compared to that obtained with a plain silicon wafer.

  9. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  10. Silicon nanowire device and method for its manufacture

    DOEpatents

    Okandan, Murat; Draper, Bruce L.; Resnick, Paul J.

    2017-01-03

    There is provided an electronic device and a method for its manufacture. The device comprises an elongate silicon nanowire less than 0.5 .mu.m in cross-sectional dimensions and having a hexagonal cross-sectional shape due to annealing-induced energy relaxation.

  11. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  12. Nanodevices based on silicon nanowires.

    PubMed

    Wan, Yuting; Sha, Jian; Chen, Bo; Fang, Yanjun; Wang, Zongli; Wang, Yewu

    2009-01-01

    Silicon nanowires (SiNWs) have been demonstrated as one of the promising building blocks for future nanodevices such as field effect transistors, solar cells, sensors and lithium battery; much progress has been made in this field during last decades. In this review paper, the synthesis and physical properties of SiNWs are introduced briefly. Significant advances of SiNWs-related nanodevices reported in recent literature and registered patents are reviewed. The latest development and prospects of SiNWs-related nanodevices are also discussed.

  13. Flexible transparent and free-standing silicon nanowires paper.

    PubMed

    Pang, Chunlei; Cui, Hao; Yang, Guowei; Wang, Chengxin

    2013-10-09

    If the flexible transparent and free-standing paper-like materials that would be expected to meet emerging technological demands, such as components of transparent electrical batteries, flexible solar cells, bendable electronics, paper displays, wearable computers, and so on, could be achieved in silicon, it is no doubt that the traditional semiconductor materials would be rejuvenated. Bulk silicon cannot provide a solution because it usually exhibits brittleness at below their melting point temperature due to high Peierls stress. Fortunately, when the silicon's size goes down to nanoscale, it possesses the ultralarge straining ability, which results in the possibility to design flexible transparent and self-standing silicon nanowires paper (FTS-SiNWsP). However, realization of the FTS-SiNWsP is still a challenging task due largely to the subtlety in the preparation of a unique interlocking alignment with free-catalyst controllable growth. Herein, we present a simple synthetic strategy by gas flow directed assembly of a unique interlocking alignment of the Si nanowires (SiNWs) to produce, for the first time, the FTS-SiNWsP, which consisted of interconnected SiNWs with the diameter of ~10 nm via simply free-catalyst thermal evaporation in a vertical high-frequency induction furnace. This approach opens up the possibility for creating various flexible transparent functional devices based on the FTS-SiNWsP.

  14. Room-temperature synthesis of two-dimensional ultrathin gold nanowire parallel array with tunable spacing.

    PubMed

    Morita, Clara; Tanuma, Hiromitsu; Kawai, Chika; Ito, Yuki; Imura, Yoshiro; Kawai, Takeshi

    2013-02-05

    A series of long-chain amidoamine derivatives with different alkyl chain lengths (CnAA where n is 12, 14, 16, or 18) were synthesized and studied with regard to their ability to form organogels and to act as soft templates for the production of Au nanomaterials. These compounds were found to self-assemble into lamellar structures and exhibited gelation ability in some apolar solvents. The gelation concentration, gel-sol phase transition temperature, and lattice spacing of the lamellar structures in organic solvent all varied on the basis of the alkyl chain length of the particular CnAA compound employed. The potential for these molecules to function as templates was evaluated through the synthesis of Au nanowires (NWs) in their organogels. Ultrathin Au NWs were obtained from all CnAA/toluene gel systems, each within an optimal temperature range. Interestingly, in the case of C12AA and C14AA, it was possible to fabricate ultrathin Au NWs at room temperature. In addition, two-dimensional parallel arrays of ultrathin Au NWs were self-assembled onto TEM copper grids as a result of the drying of dispersion solutions of these NWs. The use of CnAA compounds with differing alkyl chain lengths enabled precise tuning of the distance between the Au NWs in these arrays.

  15. Ultra-thin alumina and silicon nitride MEMS fabricated membranes for the electron multiplication

    NASA Astrophysics Data System (ADS)

    Prodanović, V.; Chan, H. W.; Graaf, H. V. D.; Sarro, P. M.

    2018-04-01

    In this paper we demonstrate the fabrication of large arrays of ultrathin freestanding membranes (tynodes) for application in a timed photon counter (TiPC), a novel photomultiplier for single electron detection. Low pressure chemical vapour deposited silicon nitride (Si x N y ) and atomic layer deposited alumina (Al2O3) with thicknesses down to only 5 nm are employed for the membrane fabrication. Detailed characterization of structural, mechanical and chemical properties of the utilized films is carried out for different process conditions and thicknesses. Furthermore, the performance of the tynodes is investigated in terms of secondary electron emission, a fundamental attribute that determines their applicability in TiPC. Studied features and presented fabrication methods may be of interest for other MEMS application of alumina and silicon nitride as well, in particular where strong ultra-thin membranes are required.

  16. Silicon Nanowire Fabric as a Lithium Ion Battery Electrode Material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chockla, Aaron M.; Harris, Justin T.; Akhavan, Vahid A.

    2011-11-09

    A nonwoven fabric with paperlike qualities composed of silicon nanowires is reported. The nanowires, made by the supercritical-fluid–liquid–solid process, are crystalline, range in diameter from 10 to 50 nm with an average length of >100 μm, and are coated with a thin chemisorbed polyphenylsilane shell. About 90% of the nanowire fabric volume is void space. Thermal annealing of the nanowire fabric in a reducing environment converts the polyphenylsilane coating to a carbonaceous layer that significantly increases the electrical conductivity of the material. This makes the nanowire fabric useful as a self-supporting, mechanically flexible, high-energy-storage anode material in a lithium ionmore » battery. Anode capacities of more than 800 mA h g{sup –1} were achieved without the addition of conductive carbon or binder.« less

  17. Specific and selective target detection of supra-genome 21 Mers Salmonella via silicon nanowires biosensor

    NASA Astrophysics Data System (ADS)

    Mustafa, Mohammad Razif Bin; Dhahi, Th S.; Ehfaed, Nuri. A. K. H.; Adam, Tijjani; Hashim, U.; Azizah, N.; Mohammed, Mohammed; Noriman, N. Z.

    2017-09-01

    The nano structure based on silicon can be surface modified to be used as label-free biosensors that allow real-time measurements. The silicon nanowire surface was functionalized using 3-aminopropyltrimethoxysilane (APTES), which functions as a facilitator to immobilize biomolecules on the silicon nanowire surface. The process is simple, economical; this will pave the way for point-of-care applications. However, the surface modification and subsequent detection mechanism still not clear. Thus, study proposed step by step process of silicon nano surface modification and its possible in specific and selective target detection of Supra-genome 21 Mers Salmonella. The device captured the molecule with precisely; the approach took the advantages of strong binding chemistry created between APTES and biomolecule. The results indicated how modifications of the nanowires provide sensing capability with strong surface chemistries that can lead to specific and selective target detection.

  18. Reconfigurable quadruple quantum dots in a silicon nanowire transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Betz, A. C., E-mail: ab2106@cam.ac.uk; Broström, M.; Gonzalez-Zalba, M. F.

    2016-05-16

    We present a reconfigurable metal-oxide-semiconductor multi-gate transistor that can host a quadruple quantum dot in silicon. The device consists of an industrial quadruple-gate silicon nanowire field-effect transistor. Exploiting the corner effect, we study the versatility of the structure in the single quantum dot and the serial double quantum dot regimes and extract the relevant capacitance parameters. We address the fabrication variability of the quadruple-gate approach which, paired with improved silicon fabrication techniques, makes the corner state quantum dot approach a promising candidate for a scalable quantum information architecture.

  19. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  20. Ab initio design of nanostructures for solar energy conversion: a case study on silicon nitride nanowire.

    PubMed

    Pan, Hui

    2014-01-01

    Design of novel materials for efficient solar energy conversion is critical to the development of green energy technology. In this work, we present a first-principles study on the design of nanostructures for solar energy harvesting on the basis of the density functional theory. We show that the indirect band structure of bulk silicon nitride is transferred to direct bandgap in nanowire. We find that intermediate bands can be created by doping, leading to enhancement of sunlight absorption. We further show that codoping not only reduces the bandgap and introduces intermediate bands but also enhances the solubility of dopants in silicon nitride nanowires due to reduced formation energy of substitution. Importantly, the codoped nanowire is ferromagnetic, leading to the improvement of carrier mobility. The silicon nitride nanowires with direct bandgap, intermediate bands, and ferromagnetism may be applicable to solar energy harvesting.

  1. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  2. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics

    PubMed Central

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°). PMID:25435833

  3. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics.

    PubMed

    Kayes, Md Imrul; Leu, Paul W

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°).

  4. Gamma ray irradiated silicon nanowires: An effective model to investigate defects at the interface of Si/SiOx

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Kui; Zhao, Yi; Liu, Liangbin

    2014-01-20

    The effect of gamma ray irradiation on silicon nanowires was investigated. Here, an additional defect emerged in the gamma-ray-irradiated silicon nanowires and was confirmed with electron spin resonance spectra. {sup 29}Si nuclear magnetic resonance spectroscopy showed that irradiation doses had influence on the Q{sup 4} unit structure. This phenomenon indicated that the unique core/shell structure of silicon nanowires might contribute to induce metastable defects under gamma ray irradiation, which served as a satisfactory model to investigate defects at the interface of Si/SiOx.

  5. Direct monolithic integration of vertical single crystalline octahedral molecular sieve nanowires on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carretero-Genevrier, Adrian; Oro-Sole, Judith; Gazquez, Jaume

    2013-12-13

    We developed an original strategy to produce vertical epitaxial single crystalline manganese oxide octahedral molecular sieve (OMS) nanowires with tunable pore sizes and compositions on silicon substrates by using a chemical solution deposition approach. The nanowire growth mechanism involves the use of track-etched nanoporous polymer templates combined with the controlled growth of quartz thin films at the silicon surface, which allowed OMS nanowires to stabilize and crystallize. α-quartz thin films were obtained after thermal activated crystallization of the native amorphous silica surface layer assisted by Sr 2+- or Ba 2+-mediated heterogeneous catalysis in the air at 800 °C. These α-quartzmore » thin films work as a selective template for the epitaxial growth of randomly oriented vertical OMS nanowires. Furthermore, the combination of soft chemistry and epitaxial growth opens new opportunities for the effective integration of novel technological functional tunneled complex oxides nanomaterials on Si substrates.« less

  6. Silicon nanowires: where mechanics and optics meet at the nanoscale.

    PubMed

    Ramos, Daniel; Gil-Santos, Eduardo; Malvar, Oscar; Llorens, Jose M; Pini, Valerio; San Paulo, Alvaro; Calleja, Montserrat; Tamayo, Javier

    2013-12-06

    Mechanical transducers based on nanowires promise revolutionary advances in biological sensing and force microscopy/spectroscopy. A crucial step is the development of simple and non-invasive techniques able to detect displacements with subpicometer sensitivity per unit bandwidth. Here, we design suspended tapered silicon nanowires supporting a range of optical resonances that confine and efficiently scatter light in the visible range. Then, we develop an optical method for efficiently coupling the evanescent field to the regular interference pattern generated by an incoming laser beam and the reflected beam from the substrate underneath the nanowire. This optomechanical coupling is here applied to measure the displacement of 50 nm wide nanowires with sensitivity on the verge of 1 fm/Hz(1/2) at room temperature with a simple laser interferometry set-up. This method opens the door to the measurement of the Brownian motion of ultrashort nanowires for the detection of single biomolecular recognition events in liquids, and single molecule spectroscopy in vacuum.

  7. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Chang-Yong; Stein, Aaron

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  8. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE PAGES

    Nam, Chang-Yong; Stein, Aaron

    2017-11-15

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  9. Highly conductive indium nanowires deposited on silicon by dip-pen nanolithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozhukhov, Anton; Volodin, Vladimir; Novosibirsk State University, Novosibirsk 630090

    2015-04-14

    In this paper, we developed a new dip-pen nanolithography (DPN) method. Using this method, we fabricated conductive nanowires with diameters of 30–50 nm on silicon substrates. To accomplish this, indium was transferred from an atomic force microscopy tip to the surface by applying a potential difference between the tip and substrate. The fabricated indium nanowires were several micrometers in length. Unlike thermal DPN, our DPN method hardly oxidized the indium, producing nanowires with conductivities from 5.7 × 10{sup −3} to 4 × 10{sup −2} Ω cm.

  10. Synergistically Enhanced Performance of Ultrathin Nanostructured Silicon Solar Cells Embedded in Plasmonically Assisted, Multispectral Luminescent Waveguides.

    PubMed

    Lee, Sung-Min; Dhar, Purnim; Chen, Huandong; Montenegro, Angelo; Liaw, Lauren; Kang, Dongseok; Gai, Boju; Benderskii, Alexander V; Yoon, Jongseung

    2017-04-25

    Ultrathin silicon solar cells fabricated by anisotropic wet chemical etching of single-crystalline wafer materials represent an attractive materials platform that could provide many advantages for realizing high-performance, low-cost photovoltaics. However, their intrinsically limited photovoltaic performance arising from insufficient absorption of low-energy photons demands careful design of light management to maximize the efficiency and preserve the cost-effectiveness of solar cells. Herein we present an integrated flexible solar module of ultrathin, nanostructured silicon solar cells capable of simultaneously exploiting spectral upconversion and downshifting in conjunction with multispectral luminescent waveguides and a nanostructured plasmonic reflector to compensate for their weak optical absorption and enhance their performance. The 8 μm-thick silicon solar cells incorporating a hexagonally periodic nanostructured surface relief are surface-embedded in layered multispectral luminescent media containing organic dyes and NaYF 4 :Yb 3+ ,Er 3+ nanocrystals as downshifting and upconverting luminophores, respectively, via printing-enabled deterministic materials assembly. The ultrathin nanostructured silicon microcells in the composite luminescent waveguide exhibit strongly augmented photocurrent (∼40.1 mA/cm 2 ) and energy conversion efficiency (∼12.8%) than devices with only a single type of luminescent species, owing to the synergistic contributions from optical downshifting, plasmonically enhanced upconversion, and waveguided photon flux for optical concentration, where the short-circuit current density increased by ∼13.6 mA/cm 2 compared with microcells in a nonluminescent medium on a plain silver reflector under a confined illumination.

  11. Synergistically Enhanced Performance of Ultrathin Nanostructured Silicon Solar Cells Embedded in Plasmonically Assisted, Multispectral Luminescent Waveguides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung-Min; Dhar, Purnim; Chen, Huandong

    Ultrathin silicon solar cells fabricated by anisotropic wet chemical etching of single-crystalline wafer materials represent an attractive materials platform that could provide many advantages for realizing high-performance, low-cost photovoltaics. However, their intrinsically limited photovoltaic performance arising from insufficient absorption of low-energy photons demands careful design of light management to maximize the efficiency and preserve the cost-effectiveness of solar cells. Herein we present an integrated flexible solar module of ultrathin, nanostructured silicon solar cells capable of simultaneously exploiting spectral upconversion and downshifting in conjunction with multispectral luminescent waveguides and a nanostructured plasmonic reflector to compensate for their weak optical absorption andmore » enhance their performance. The 8 μm-thick silicon solar cells incorporating a hexagonally periodic nanostructured surface relief are surface-embedded in layered multispectral luminescent media containing organic dyes and NaYF4:Yb3+,Er3+ nanocrystals as downshifting and upconverting luminophores, respectively, via printing-enabled deterministic materials assembly. The ultrathin nanostructured silicon microcells in the composite luminescent waveguide exhibit strongly augmented photocurrent (~40.1 mA/cm2) and energy conversion efficiency (~12.8%) than devices with only a single type of luminescent species, owing to the synergistic contributions from optical downshifting, plasmonically enhanced upconversion, and waveguided photon flux for optical concentration, where the short-circuit current density increased by ~13.6 mA/cm2 compared with microcells in a nonluminescent medium on a plain silver reflector under a confined illumination.« less

  12. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  13. Photoelectrochemical modulation of neuronal activity with free-standing coaxial silicon nanowires

    NASA Astrophysics Data System (ADS)

    Parameswaran, Ramya; Carvalho-de-Souza, João L.; Jiang, Yuanwen; Burke, Michael J.; Zimmerman, John F.; Koehler, Kelliann; Phillips, Andrew W.; Yi, Jaeseok; Adams, Erin J.; Bezanilla, Francisco; Tian, Bozhi

    2018-02-01

    Optical methods for modulating cellular behaviour are promising for both fundamental and clinical applications. However, most available methods are either mechanically invasive, require genetic manipulation of target cells or cannot provide subcellular specificity. Here, we address all these issues by showing optical neuromodulation with free-standing coaxial p-type/intrinsic/n-type silicon nanowires. We reveal the presence of atomic gold on the nanowire surfaces, likely due to gold diffusion during the material growth. To evaluate how surface gold impacts the photoelectrochemical properties of single nanowires, we used modified quartz pipettes from a patch clamp and recorded sustained cathodic photocurrents from single nanowires. We show that these currents can elicit action potentials in primary rat dorsal root ganglion neurons through a primarily atomic gold-enhanced photoelectrochemical process.

  14. Highly efficient ultrathin-film amorphous silicon solar cells on top of imprinted periodic nanodot arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Wensheng, E-mail: yws118@gmail.com; Gu, Min, E-mail: mgu@swin.edu.au; Tao, Zhikuo

    2015-03-02

    The addressing of the light absorption and conversion efficiency is critical to the ultrathin-film hydrogenated amorphous silicon (a-Si:H) solar cells. We systematically investigate ultrathin a-Si:H solar cells with a 100 nm absorber on top of imprinted hexagonal nanodot arrays. Experimental evidences are demonstrated for not only notable silver nanodot arrays but also lower-cost ITO and Al:ZnO nanodot arrays. The measured external quantum efficiency is explained by the simulation results. The J{sub sc} values are 12.1, 13.0, and 14.3 mA/cm{sup 2} and efficiencies are 6.6%, 7.5%, and 8.3% for ITO, Al:ZnO, and silver nanodot arrays, respectively. Simulated optical absorption distribution shows high lightmore » trapping within amorphous silicon layer.« less

  15. Structural properties and magic structures in hydrogenated finite and infinite silicon nanowires

    NASA Astrophysics Data System (ADS)

    Zdetsis, A. D.; Koukaras, E. N.; Garoufalis, C. S.

    2007-11-01

    Unusual effects such as bending and "canting," related with the stability, have been identified by ab initio real-space calculations for hydrogenated silicon nanowires. We have examined in detail the electronic and structural properties of finite and infinite nanowires as a function of length (and width) and have developed stability and bending rules, demonstrating that "magic" wires do not bend. Reconstructed 2×1 nanowires are practically as stable as the magic ones. Our calculations are in good agreement with the experimental data of Ma et al. [Science 299, 1874 (2003).].

  16. Dissipative quantum transport in silicon nanowires based on Wigner transport equation

    NASA Astrophysics Data System (ADS)

    Barraud, Sylvain

    2011-11-01

    In this work, we present a one-dimensional model of quantum electron transport for silicon nanowire transistor that makes use of the Wigner function formalism and that takes into account the carrier scattering. Effect of scattering on the current-voltage (I-V) characteristics is assessed using both the relaxation time approximation and the Boltzmann collision operator. Similarly to the classical transport theory, the scattering mechanisms are included in the Wigner formulation through the addition of a collision term in the Liouville equation. As compared to the relaxation time, the Boltzmann collision operator approach is considered to be more realistic because it provides a better description of the scattering events. Within the Fermi golden rule approximation, the standard collision term is described for both acoustic phonon and surface-roughness interactions. It is introduced in the discretized version of the Liouville equation to obtain the Wigner distribution function and the current density. The model is then applied to study the impact of each scattering mechanism on short-channel electrical performance of silicon nanowire transistors for different gate lengths and nanowire widths.

  17. Construction of Hierarchical α-MnO2 Nanowires@Ultrathin δ-MnO2 Nanosheets Core-Shell Nanostructure with Excellent Cycling Stability for High-Power Asymmetric Supercapacitor Electrodes.

    PubMed

    Ma, Zhipeng; Shao, Guangjie; Fan, Yuqian; Wang, Guiling; Song, Jianjun; Shen, Dejiu

    2016-04-13

    Poor electrical conductivity and mechanical instability are two major obstacles to realizing high performance of MnO2 as pseudocapacitor material. The construction of unique hierarchical core-shell nanostructures, therefore, plays an important role in the efficient enhancement of the rate capacity and the stability of this material. We herein report the fabrication of a hierarchical α-MnO2 nanowires@ultrathin δ-MnO2 nanosheets core-shell nanostructure by adopting a facile and practical solution-phase technique. The novel hierarchical nanostructures are composed of ultrathin δ-MnO2 nanosheets with a few atomic layers growing well on the surface of the ultralong α-MnO2 nanowires. The first specific capacitance of hierarchical core-shell nanostructure reached 153.8 F g(-1) at the discharge current density of as high as 20 A g(-1), and the cycling stability is retained at 98.1% after 10,000 charge-discharge cycles, higher than those in the literature. The excellent rate capacity and stability of the hierarchical core-shell nanostructures can be attributed to the structural features of the two MnO2 crystals, in which a 1D α-MnO2 nanowire core provides a stable structural backbone and the ultrathin 2D δ-MnO2 nanosheet shell creates more reactive active sites. The synergistic effects of different dimensions also contribute to the superior rate capability.

  18. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    PubMed

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  19. Core-shell homojunction silicon vertical nanowire tunneling field-effect transistors.

    PubMed

    Yoon, Jun-Sik; Kim, Kihyun; Baek, Chang-Ki

    2017-01-23

    We propose three-terminal core-shell (CS) silicon vertical nanowire tunneling field-effect transistors (TFETs), which can be fabricated by conventional CMOS technology. CS TFETs show lower subthreshold swing (SS) and higher on-state current than conventional TFETs through their high surface-to-volume ratio, which increases carrier-tunneling region with no additional device area. The on-state current can be enhanced by increasing the nanowire height, decreasing equivalent oxide thickness (EOT) or creating a nanowire array. The off-state current is also manageable for power saving through selective epitaxial growth at the top-side nanowire region. CS TFETs with an EOT of 0.8 nm and an aspect ratio of 20 for the core nanowire region provide the largest drain current ranges with point SS values below 60 mV/dec and superior on/off current ratio under all operation voltages of 0.5, 0.7, and 1.0 V. These devices are promising for low-power applications at low fabrication cost and high device density.

  20. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    PubMed

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  1. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  2. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  3. Dispersion of Cobalt Nanoparticles on Nanowires Grown on Silicon Carbide-Alumina Nanocomposites.

    PubMed

    Kim, Inho; Seo, Kyeong Won; Ahn, Byoung Sung; Moon, Dong Ju; Kim, Sang Woo

    2017-04-01

    Silicon carbide-alumina nanocomposite supports including a nanowire architecture for a high dispersion of cobalt nanocatalysts were fabricated using a modified sol–gel process and paste extrusion process to form cylindrical shape beads, followed by thermal treatment. Well-developed aluminosilicate nanowires were formed on a nanoporous support, which are grown from a catalytic metal seed at the nanowire growth tips during heat treatment at 1,100 °C for 1 h under nitrogen gas flow. Cobalt oxide precursors were highly dispersed on the nanowires grown on the surface of the nanoporous bodies through a supercritical carbon dioxide fluid-assisted wet-impregnation process. The highly-dispersed Co nanoparticles with size of less than 10 nm were finally obtained on the nanowires via phase transitions from Co₃O₄ to CoO and from CoO to Co during the thermal reduction.

  4. Localized synthesis, assembly and integration of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Englander, Ongi

    Localized synthesis, assembly and integration of one-dimensional silicon nanowires with MEMS structures is demonstrated and characterized in terms of local synthesis processes, electric-field assisted self-assembly, and a proof-of-concept nanoelectromechanical system (HEMS) demonstration. Emphasis is placed on the ease of integration, process control strategies, characterization techniques and the pursuit of integrated devices. A top-down followed by a bottom-up integration approach is utilized. Simple MEMS heater structures are utilized as the microscale platforms for the localized, bottom-up synthesis of one-dimensional nanostructures. Localized heating confines the high temperature region permitting only localized nanostructure synthesis and allowing the surroundings to remain at room temperature thus enabling CMOS compatible post-processing. The vapor-liquid-solid (VLS) process in the presence of a catalytic nanoparticle, a vapor phase reactant, and a specific temperature environment is successfully employed locally. Experimentally, a 5nm thick gold-palladium layer is used as the catalyst while silane is the vapor phase reactant. The current-voltage behavior of the MEMS structures can be correlated to the approximate temperature range required for the VLS reaction to take place. Silicon nanowires averaging 45nm in diameter and up to 29mum in length synthesized at growth rates of up to 1.5mum/min result. By placing two MEMS structures in close proximity, 4--10mum apart, localized silicon nanowire growth can be used to link together MEMS structures to yield a two-terminal, self-assembled micro-to-nano system. Here, one MEMS structure is designated as the hot growth structure while a nearby structure is designated as the cold secondary structure, whose role is to provide a natural stopping point for the VLS reaction. The application of a localized electric-field, 5 to 13V/mum in strength, during the synthesis process, has been shown to improve nanowire

  5. Local sensor based on nanowire field effect transistor from inhomogeneously doped silicon on insulator

    NASA Astrophysics Data System (ADS)

    Presnov, Denis E.; Bozhev, Ivan V.; Miakonkikh, Andrew V.; Simakin, Sergey G.; Trifonov, Artem S.; Krupenin, Vladimir A.

    2018-02-01

    We present the original method for fabricating a sensitive field/charge sensor based on field effect transistor (FET) with a nanowire channel that uses CMOS-compatible processes only. A FET with a kink-like silicon nanowire channel was fabricated from the inhomogeneously doped silicon on insulator wafer very close (˜100 nm) to the extremely sharp corner of a silicon chip forming local probe. The single e-beam lithographic process with a shadow deposition technique, followed by separate two reactive ion etching processes, was used to define the narrow semiconductor nanowire channel. The sensors charge sensitivity was evaluated to be in the range of 0.1-0.2 e /√{Hz } from the analysis of their transport and noise characteristics. The proposed method provides a good opportunity for the relatively simple manufacture of a local field sensor for measuring the electrical field distribution, potential profiles, and charge dynamics for a wide range of mesoscopic objects. Diagnostic systems and devices based on such sensors can be used in various fields of physics, chemistry, material science, biology, electronics, medicine, etc.

  6. Designing metal hemispheres on silicon ultrathin film solar cells for plasmonic light trapping.

    PubMed

    Gao, Tongchuan; Stevens, Erica; Lee, Jung-kun; Leu, Paul W

    2014-08-15

    We systematically investigate the design of two-dimensional silver (Ag) hemisphere arrays on crystalline silicon (c-Si) ultrathin film solar cells for plasmonic light trapping. The absorption in ultrathin films is governed by the excitation of Fabry-Perot TEMm modes. We demonstrate that metal hemispheres can enhance absorption in the films by (1) coupling light to c-Si film waveguide modes and (2) exciting localized surface plasmon resonances (LSPRs). We show that hemisphere arrays allow light to couple to fundamental TEm and TMm waveguide modes in c-Si film as well as higher-order versions of these modes. The near-field light concentration of LSPRs also may increase absorption in the c-Si film, though these resonances are associated with significant parasitic absorption in the metal. We illustrate how Ag plasmonic hemispheres may be utilized for light trapping with 22% enhancement in short-circuit current density compared with that of a bare 100 nm thick c-Si ultrathin film solar cell.

  7. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  8. High efficiency silicon solar cell based on asymmetric nanowire.

    PubMed

    Ko, Myung-Dong; Rim, Taiuk; Kim, Kihyun; Meyyappan, M; Baek, Chang-Ki

    2015-07-08

    Improving the efficiency of solar cells through novel materials and devices is critical to realize the full potential of solar energy to meet the growing worldwide energy demands. We present here a highly efficient radial p-n junction silicon solar cell using an asymmetric nanowire structure with a shorter bottom core diameter than at the top. A maximum short circuit current density of 27.5 mA/cm(2) and an efficiency of 7.53% were realized without anti-reflection coating. Changing the silicon nanowire (SiNW) structure from conventional symmetric to asymmetric nature improves the efficiency due to increased short circuit current density. From numerical simulation and measurement of the optical characteristics, the total reflection on the sidewalls is seen to increase the light trapping path and charge carrier generation in the radial junction of the asymmetric SiNW, yielding high external quantum efficiency and short circuit current density. The proposed asymmetric structure has great potential to effectively improve the efficiency of the SiNW solar cells.

  9. Microstructures of the silicon carbide nanowires obtained by annealing the mechanically-alloyed amorphous powders

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Pengfei, E-mail: zhangpengfei1984@163.com; Li, Xinli

    2015-07-15

    Silicon, graphite and boron nitride powders were mechanically alloyed for 40 h in argon. The as-milled powders were annealed at 1700 °C in nitrogen for 30 min. The annealed powders are covered by a thick layer of gray–green SiC nanowires, which are 300 nm to 1000 nm in diameter and several hundred microns in length. Trace iron in the raw powders acts as a catalyst, promoting the V–L–S process. It follows that the actual substances contributing to the growth of the SiC nanowires may be silicon, graphite and the metal impurities in the raw powders. The results from HRTEM andmore » XRD reveal that the products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. It is interestingly found that 6H–SiC coexists with 3C–SiC in one nodular nanowire. This novel structure may introduce periodic potential field along the longitudinal direction of the nanowires, and may find applications in the highly integrated optoelectronic devices. - Graphical abstract: Display Omitted - Highlights: • SiC nanowires were prepared by annealing the mechanically alloyed amorphous powders. • SiC nanowires are 300 nm to 1000 nm in diameter and several hundred microns in length. • The products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. • Trace Fe in the raw powders acts as a catalyst, promoting the V–L–S process. • 6H–SiC coexists with 3C–SiC in one nodular SiC nanowire.« less

  10. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    NASA Technical Reports Server (NTRS)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  11. Optimal thickness of silicon membranes to achieve maximum thermoelectric efficiency: A first principles study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mangold, Claudia; Neogi, Sanghamitra; Max Planck Institut für Polymerforschung, Ackermannweg 10, D-55128 Mainz

    2016-08-01

    Silicon nanostructures with reduced dimensionality, such as nanowires, membranes, and thin films, are promising thermoelectric materials, as they exhibit considerably reduced thermal conductivity. Here, we utilize density functional theory and Boltzmann transport equation to compute the electronic properties of ultra-thin crystalline silicon membranes with thickness between 1 and 12 nm. We predict that an optimal thickness of ∼7 nm maximizes the thermoelectric figure of merit of membranes with native oxide surface layers. Further thinning of the membranes, although attainable in experiments, reduces the electrical conductivity and worsens the thermoelectric efficiency.

  12. Confined Ultrathin Pd-Ce Nanowires with Outstanding Moisture and SO2 Tolerance in Methane Combustion.

    PubMed

    Peng, Honggen; Rao, Cheng; Zhang, Ning; Wang, Xiang; Liu, Wenming; Mao, Wenting; Han, Lu; Zhang, Pengfei; Dai, Sheng

    2018-05-22

    An efficient strategy (enhanced metal oxide interaction and core-shell confinement to inhibit the sintering of noble metal) is presented confined ultrathin Pd-CeO x nanowire (2.4 nm) catalysts for methane combustion, which enable CH 4 total oxidation at a low temperature of 350 °C, much lower than that of a commercial Pd/Al 2 O 3 catalyst (425 °C). Importantly, unexpected stability was observed even under harsh conditions (800 °C, water vapor, and SO 2 ), owing to the confinement and shielding effect of the porous silica shell together with the promotion of CeO 2 . Pd-CeO x solid solution nanowires (Pd-Ce NW) as cores and porous silica as shells (Pd-CeNW@SiO 2 ) were rationally prepared by a facile and direct self-assembly strategy for the first time. This strategy is expected to inspire more active and stable catalysts for use under severe conditions (vehicle emissions control, reforming, and water-gas shift reaction). © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  14. Controlling bottom-up rapid growth of single crystalline gallium nitride nanowires on silicon.

    PubMed

    Wu, Ko-Li; Chou, Yi; Su, Chang-Chou; Yang, Chih-Chaing; Lee, Wei-I; Chou, Yi-Chia

    2017-12-20

    We report single crystalline gallium nitride nanowire growth from Ni and Ni-Au catalysts on silicon using hydride vapor phase epitaxy. The growth takes place rapidly; efficiency in time is higher than the conventional nanowire growth in metal-organic chemical vapor deposition and thin film growth in molecular beam epitaxy. The effects of V/III ratio and carrier gas flow on growth are discussed regarding surface polarity and sticking coefficient of molecules. The nanowires of gallium nitride exhibit excellent crystallinity with smooth and straight morphology and uniform orientation. The growth mechanism follows self-assembly from both catalysts, where Au acts as a protection from etching during growth enabling the growth of ultra-long nanowires. The photoluminescence of such nanowires are adjustable by tuning the growth parameters to achieve blue emission. The practical range of parameters for mass production of such high crystal quality and uniformity of nanowires is suggested.

  15. Self-aligned process for forming microlenses at the tips of vertical silicon nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dan, Yaping, E-mail: yaping.dan@sjtu.edu.cn; Chen, Kaixiang; Crozier, Kenneth B.

    The microlens is a key enabling technology in optoelectronics, permitting light to be efficiently coupled to and from devices such as image sensors and light-emitting diodes. Their ubiquitous nature motivates the development of new fabrication techniques, since existing methods face challenges as microlenses are scaled to smaller dimensions. Here, the authors demonstrate the formation of microlenses at the tips of vertically oriented silicon nanowires via a rapid atomic layer deposition process. The nature of the process is such that the microlenses are centered on the nanowires, and there is a self-limiting effect on the final sizes of the microlenses arisingmore » from the nanowire spacing. Finite difference time domain electromagnetic simulations are performed of microlens focusing properties, including showing their ability to enhance visible-wavelength absorption in silicon nanowires.« less

  16. Large-size, high-uniformity, random silver nanowire networks as transparent electrodes for crystalline silicon wafer solar cells.

    PubMed

    Xie, Shouyi; Ouyang, Zi; Jia, Baohua; Gu, Min

    2013-05-06

    Metal nanowire networks are emerging as next generation transparent electrodes for photovoltaic devices. We demonstrate the application of random silver nanowire networks as the top electrode on crystalline silicon wafer solar cells. The dependence of transmittance and sheet resistance on the surface coverage is measured. Superior optical and electrical properties are observed due to the large-size, highly-uniform nature of these networks. When applying the nanowire networks on the solar cells with an optimized two-step annealing process, we achieved as large as 19% enhancement on the energy conversion efficiency. The detailed analysis reveals that the enhancement is mainly caused by the improved electrical properties of the solar cells due to the silver nanowire networks. Our result reveals that this technology is a promising alternative transparent electrode technology for crystalline silicon wafer solar cells.

  17. Thermal conductivity engineering in width-modulated silicon nanowires and thermoelectric efficiency enhancement

    NASA Astrophysics Data System (ADS)

    Zianni, Xanthippi

    2018-03-01

    Width-modulated nanowires have been proposed as efficient thermoelectric materials. Here, the electron and phonon transport properties and the thermoelectric efficiency are discussed for dimensions above the quantum confinement regime. The thermal conductivity decreases dramatically in the presence of thin constrictions due to their ballistic thermal resistance. It shows a scaling behavior upon the width-modulation rate that allows for thermal conductivity engineering. The electron conductivity also decreases due to enhanced boundary scattering by the constrictions. The effect of boundary scattering is weaker for electrons than for phonons and the overall thermoelectric efficiency is enhanced. A ZT enhancement by a factor of 20-30 is predicted for width-modulated nanowires compared to bulk silicon. Our findings indicate that width-modulated nanostructures are promising for developing silicon nanostructures with high thermoelectric efficiency.

  18. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  19. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  20. Superamphiphobic Silicon-Nanowire-Embedded Microsystem and In-Contact Flow Performance of Gas and Liquid Streams.

    PubMed

    Ko, Dong-Hyeon; Ren, Wurong; Kim, Jin-Oh; Wang, Jun; Wang, Hao; Sharma, Siddharth; Faustini, Marco; Kim, Dong-Pyo

    2016-01-26

    Gas and liquid streams are invariably separated either by a solid wall or by a membrane for heat or mass transfer between the gas and liquid streams. Without the separating wall, the gas phase is present as bubbles in liquid or, in a microsystem, as gas plugs between slugs of liquid. Continuous and direct contact between the two moving streams of gas and liquid is quite an efficient way of achieving heat or mass transfer between the two phases. Here, we report a silicon nanowire built-in microsystem in which a liquid stream flows in contact with an underlying gas stream. The upper liquid stream does not penetrate into the lower gas stream due to the superamphiphobic nature of the silicon nanowires built into the bottom wall, thereby preserving the integrity of continuous gas and liquid streams, although they are flowing in contact. Due to the superamphiphobic nature of silicon nanowires, the microsystem provides the best possible interfacial mass transfer known to date between flowing gas and liquid phases, which can achieve excellent chemical performance in two-phase organic syntheses.

  1. Fabricating and Controlling Silicon Zigzag Nanowires by Diffusion-Controlled Metal-Assisted Chemical Etching Method.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Wu, Fan; Chen, Xin; Gao, Jian; Ding, Yong; Wong, Ching-Ping

    2017-07-12

    Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

  2. High-power density piezoelectric energy harvesting using radially strained ultrathin trigonal tellurium nanowire assembly.

    PubMed

    Lee, Tae Il; Lee, Sangmin; Lee, Eungkyu; Sohn, Sungwoo; Lee, Yean; Lee, Sujeong; Moon, Geondae; Kim, Dohyang; Kim, Youn Sang; Myoung, Jae Min; Wang, Zhong Lin

    2013-06-04

    A high-yield solution-processed ultrathin (<10 nm) trigonal tellurium (t-Te) nanowire (NW) is introduced as a new class of piezoelectric nanomaterial with a six-fold higher piezoelectric constant compared to conventional ZnO NWs for a high-volume power-density nanogenerator (NG). While determining the energy-harvesting principle in a NG consisting of t-Te NW, it is theoretically and experimentally found that t-Te NW is piezoelectrically activated only by creating strain in its radial direction, along which it has an asymmetric crystal structure. Based upon this mechanism, a NG with a monolayer consisting of well-aligned t-Te NWs and a power density of 9 mW/cm(3) is fabricated. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    NASA Astrophysics Data System (ADS)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  4. Quantum dots in single electron transistors with ultrathin silicon-on-insulator structures

    NASA Astrophysics Data System (ADS)

    Ihara, S.; Andreev, A.; Williams, D. A.; Kodera, T.; Oda, S.

    2015-07-01

    We report on fabrication and transport properties of lithographically defined single quantum dots (QDs) in single electron transistors with ultrathin silicon-on-insulator (SOI) substrate. We observed comparatively large charging energy E C ˜ 20 meV derived from the stability diagram at a temperature of 4.2 K. We also carried out three-dimensional calculations of the capacitance matrix and transport properties through the QD for the real structure geometry and found an excellent quantitative agreement with experiment of the calculated main parameters of stability diagram (charging energy, period of Coulomb oscillations, and asymmetry of the diamonds). The obtained results confirm fabrication of well-defined integrated QDs as designed with ultrathin SOI that makes it possible to achieve relatively large QD charging energies, which is useful for stable and high temperature operation of single electron devices.

  5. Silicon nanowire field-effect transistors for the detection of proteins

    NASA Astrophysics Data System (ADS)

    Madler, Carsten

    In this dissertation I present results on our efforts to increase the sensitivity and selectivity of silicon nanowire ion-sensitive field-effect transistors for the detection of biomarkers, as well as a novel method for wireless power transfer based on metamaterial rectennas for their potential use as implantable sensors. The sensing scheme is based on changes in the conductance of the semiconducting nanowires upon binding of charged entities to the surface, which induces a field-effect. Monitoring the differential conductance thus provides information of the selective binding of biological molecules of interest to previously covalently linked counterparts on the nanowire surface. In order to improve on the performance of the nanowire sensing, we devised and fabricated a nanowire Wheatstone bridge, which allows canceling out of signal drift due to thermal fluctuations and dynamics of fluid flow. We showed that balancing the bridge significantly improves the signal-to-noise ratio. Further, we demonstrated the sensing of novel melanoma biomarker TROY at clinically relevant concentrations and distinguished it from nonspecific binding by comparing the reaction kinetics. For increased sensitivity, an amplification method was employed using an enzyme which catalyzes a signal-generating reaction by changing the redox potential of a redox pair. In addition, we investigated the electric double layer, which forms around charges in an electrolytic solution. It causes electrostatic screening of the proteins of interest, which puts a fundamental limitation on the biomarker detection in solutions with high salt concentrations, such as blood. We solved the coupled Nernst-Planck and Poisson equations for the electrolyte under influence of an oscillating electric field and discovered oscillations of the counterion concentration at a characteristic frequency. In addition to exploring different methods for improved sensing capabilities, we studied an innovative method to supply power

  6. Ultralow-Noise Atomic-Scale Structures for Quantum Circuitry in Silicon.

    PubMed

    Shamim, Saquib; Weber, Bent; Thompson, Daniel W; Simmons, Michelle Y; Ghosh, Arindam

    2016-09-14

    The atomically precise doping of silicon with phosphorus (Si:P) using scanning tunneling microscopy (STM) promises ultimate miniaturization of field effect transistors. The one-dimensional (1D) Si:P nanowires are of particular interest, retaining exceptional conductivity down to the atomic scale, and are predicted as interconnects for a scalable silicon-based quantum computer. Here, we show that ultrathin Si:P nanowires form one of the most-stable electrical conductors, with the phenomenological Hooge parameter of low-frequency noise being as low as ≈10(-8) at 4.2 K, nearly 3 orders of magnitude lower than even carbon-nanotube-based 1D conductors. A in-built isolation from the surface charge fluctuations due to encapsulation of the wires within the epitaxial Si matrix is the dominant cause for the observed suppression of noise. Apart from quantum information technology, our results confirm the promising prospects for precision-doped Si:P structures in atomic-scale circuitry for the 11 nm technology node and beyond.

  7. Optically efficient InAsSb nanowires for silicon-based mid-wavelength infrared optoelectronics.

    PubMed

    Zhuang, Q D; Alradhi, H; Jin, Z M; Chen, X R; Shao, J; Chen, X; Sanchez, Ana M; Cao, Y C; Liu, J Y; Yates, P; Durose, K; Jin, C J

    2017-03-10

    InAsSb nanowires (NWs) with a high Sb content have potential in the fabrication of advanced silicon-based optoelectronics such as infrared photondetectors/emitters and highly sensitive phototransistors, as well as in the generation of renewable electricity. However, producing optically efficient InAsSb NWs with a high Sb content remains a challenge, and optical emission is limited to 4.0 μm due to the quality of the nanowires. Here, we report, for the first time, the success of high-quality and optically efficient InAsSb NWs enabling silicon-based optoelectronics operating in entirely mid-wavelength infrared. Pure zinc-blende InAsSb NWs were realized with efficient photoluminescence emission. We obtained room-temperature photoluminescence emission in InAs NWs and successfully extended the emission wavelength in InAsSb NWs to 5.1 μm. The realization of this optically efficient InAsSb NW material paves the way to realizing next-generation devices, combining advances in III-V semiconductors and silicon.

  8. Strained silicon based complementary tunnel-FETs: Steep slope switches for energy efficient electronics

    NASA Astrophysics Data System (ADS)

    Knoll, L.; Richter, S.; Nichau, A.; Trellenkamp, S.; Schäfer, A.; Wirths, S.; Blaeser, S.; Buca, D.; Bourdelle, K. K.; Zhao, Q.-T.; Mantl, S.

    2014-08-01

    Electrical characteristics of silicon nanowire tunnel field effect transistors (TFETs) are presented and benchmarked versus other concepts. Particular emphasis is placed on the band to band tunneling (BTBT) junctions, the functional core of the device. Dopant segregation from ion implanted ultrathin silicide contacts is proved as a viable method to achieve steep tunneling junctions. This reduces defect generation by direct implantation into the junction and thus minimizes the risk of trap assisted tunneling. The method is applied to strained silicon, specifically to nanowire array transistors, enabling the realization of n-type and p-type TFETs with fairly high currents and complementary TFET inverters with sharp transitions and good static gain, even at very low drain voltages of VDD = 0.2 V. These achievements suggest a considerable potential of TFETs for ultralow power applications. Gate-all-around Si nanowire array p-type TFETs have been fabricated to demonstrate the impact of electrostatic control on the device performance. A high on-current of 78 μA/μm at VD = VG = 1.1 V is obtained.

  9. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  10. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  11. Multimode Silicon Nanowire Transistors

    PubMed Central

    2014-01-01

    The combined capabilities of both a nonplanar design and nonconventional carrier injection mechanisms are subject to recent scientific investigations to overcome the limitations of silicon metal oxide semiconductor field effect transistors. In this Letter, we present a multimode field effect transistors device using silicon nanowires that feature an axial n-type/intrinsic doping junction. A heterostructural device design is achieved by employing a self-aligned nickel-silicide source contact. The polymorph operation of the dual-gate device enabling the configuration of one p- and two n-type transistor modes is demonstrated. Not only the type but also the carrier injection mode can be altered by appropriate biasing of the two gate terminals or by inverting the drain bias. With a combined band-to-band and Schottky tunneling mechanism, in p-type mode a subthreshold swing as low as 143 mV/dec and an ON/OFF ratio of up to 104 is found. As the device operates in forward bias, a nonconventional tunneling transistor is realized, enabling an effective suppression of ambipolarity. Depending on the drain bias, two different n-type modes are distinguishable. The carrier injection is dominated by thermionic emission in forward bias with a maximum ON/OFF ratio of up to 107 whereas in reverse bias a Schottky tunneling mechanism dominates the carrier transport. PMID:25303290

  12. High-Performance Ultrathin Organic-Inorganic Hybrid Silicon Solar Cells via Solution-Processed Interface Modification.

    PubMed

    Zhang, Jie; Zhang, Yinan; Song, Tao; Shen, Xinlei; Yu, Xuegong; Lee, Shuit-Tong; Sun, Baoquan; Jia, Baohua

    2017-07-05

    Organic-inorganic hybrid solar cells based on n-type crystalline silicon and poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) exhibited promising efficiency along with a low-cost fabrication process. In this work, ultrathin flexible silicon substrates, with a thickness as low as tens of micrometers, were employed to fabricate hybrid solar cells to reduce the use of silicon materials. To improve the light-trapping ability, nanostructures were built on the thin silicon substrates by a metal-assisted chemical etching method (MACE). However, nanostructured silicon resulted in a large amount of surface-defect states, causing detrimental charge recombination. Here, the surface was smoothed by solution-processed chemical treatment to reduce the surface/volume ratio of nanostructured silicon. Surface-charge recombination was dramatically suppressed after surface modification with a chemical, associated with improved minority charge-carrier lifetime. As a result, a power conversion efficiency of 9.1% was achieved in the flexible hybrid silicon solar cells, with a substrate thickness as low as ∼14 μm, indicating that interface engineering was essential to improve the hybrid junction quality and photovoltaic characteristics of the hybrid devices.

  13. Critical Role of Diels-Adler Adducts to Realise Stretchable Transparent Electrodes Based on Silver Nanowires and Silicone Elastomer

    NASA Astrophysics Data System (ADS)

    Heo, Gaeun; Pyo, Kyoung-Hee; Lee, Da Hee; Kim, Youngmin; Kim, Jong-Woong

    2016-05-01

    This paper presents the successful fabrication of a transparent electrode comprising a sandwich structure of silicone/Ag nanowires (AgNWs)/silicone equipped with Diels-Alder (DA) adducts as crosslinkers to realise highly stable stretchability. Because of the reversible DA reaction, the crosslinked silicone successfully bonds with the silicone overcoat, which should completely seal the electrode. Thus, any surrounding liquid cannot leak through the interfaces among the constituents. Furthermore, the nanowires are protected by the silicone cover when they are stressed by mechanical loads such as bending, folding, and stretching. After delicate optimisation of the layered silicone/AgNW/silicone sandwich structure, a stretchable transparent electrode which can withstand 1000 cycles of 50% stretching-releasing with an exceptionally high stability and reversibility was fabricated. This structure can be used as a transparent strain sensor; it possesses a strong piezoresistivity with a gauge factor greater than 11.

  14. Enhanced lithium ion battery cycling of silicon nanowire anodes by template growth to eliminate silicon underlayer islands.

    PubMed

    Cho, Jeong-Hyun; Picraux, S Tom

    2013-01-01

    It is well-known that one-dimensional nanostructures reduce pulverization of silicon (Si)-based anode materials during Li ion cycling because they allow lateral relaxation. However, even with improved designs, Si nanowire-based structures still exhibit limited cycling stability for extended numbers of cycles, with the specific capacity retention with cycling not showing significant improvements over commercial carbon-based anode materials. We have found that one important reason for the lack of long cycling stability can be the presence of milli- and microscale Si islands which typically form under nanowire arrays during their growth. Stress buildup in these Si island underlayers with cycling results in cracking, and the loss of specific capacity for Si nanowire anodes, due to progressive loss of contact with current collectors. We show that the formation of these parasitic Si islands for Si nanowires grown directly on metal current collectors can be avoided by growth through anodized aluminum oxide templates containing a high density of sub-100 nm nanopores. Using this template approach we demonstrate significantly enhanced cycling stability for Si nanowire-based lithium-ion battery anodes, with retentions of more than ~1000 mA·h/g discharge capacity over 1100 cycles.

  15. Enhanced light absorption in an ultrathin silicon solar cell utilizing plasmonic nanostructures

    NASA Astrophysics Data System (ADS)

    Xiao, Sanshui; Mortensen, Niels A.

    2012-10-01

    Nowadays, bringing photovoltaics to the market is mainly limited by high cost of electricity produced by the photovoltaic solar cell. Thin-film photovoltaics offers the potential for a significant cost reduction compared to traditional photovoltaics. However, the performance of thin-film solar cells is generally limited by poor light absorption. We propose an ultrathin-film silicon solar cell configuration based on SOI structure, where the light absorption is enhanced by use of plasmonic nanostructures. By placing a one-dimensional plasmonic nanograting on the bottom of the solar cell, the generated photocurrent for a 200 nm-thickness crystalline silicon solar cell can be enhanced by 90% in the considered wavelength range. These results are paving a promising way for the realization of high-efficiency thin-film solar cells.

  16. Monolithically Integrated High-β Nanowire Lasers on Silicon.

    PubMed

    Mayer, B; Janker, L; Loitsch, B; Treu, J; Kostenbader, T; Lichtmannecker, S; Reichert, T; Morkötter, S; Kaniber, M; Abstreiter, G; Gies, C; Koblmüller, G; Finley, J J

    2016-01-13

    Reliable technologies for the monolithic integration of lasers onto silicon represent the holy grail for chip-level optical interconnects. In this context, nanowires (NWs) fabricated using III-V semiconductors are of strong interest since they can be grown site-selectively on silicon using conventional epitaxial approaches. Their unique one-dimensional structure and high refractive index naturally facilitate low loss optical waveguiding and optical recirculation in the active NW-core region. However, lasing from NWs on silicon has not been achieved to date, due to the poor modal reflectivity at the NW-silicon interface. We demonstrate how, by inserting a tailored dielectric interlayer at the NW-Si interface, low-threshold single mode lasing can be achieved in vertical-cavity GaAs-AlGaAs core-shell NW lasers on silicon as measured at low temperature. By exploring the output characteristics along a detection direction parallel to the NW-axis, we measure very high spontaneous emission factors comparable to nanocavity lasers (β = 0.2) and achieve ultralow threshold pump energies ≤11 pJ/pulse. Analysis of the input-output characteristics of the NW lasers and the power dependence of the lasing emission line width demonstrate the potential for high pulsation rates ≥250 GHz. Such highly efficient nanolasers grown monolithically on silicon are highly promising for the realization of chip-level optical interconnects.

  17. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Llobet, Jordi; Pérez-Murano, Francesc, E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk; Krali, Emiljana

    2015-11-30

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions,more » in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations.« less

  18. Seeds screening aqueous synthesis, multiphase interfacial separation and in situ optical characterization of invisible ultrathin silver nanowires.

    PubMed

    Zhang, Xiao-Yang; Xue, Xiao-Mei; Zhou, Huan-Li; Zhao, Ning; Shan, Feng; Su, Dan; Liu, Yi-Ran; Zhang, Tong

    2018-06-21

    We report a multi-step synthetic method to obtain ultrathin silver nanowires (Ag NWs) from an aqueous solution with a ∼17 nm diameter average, and where some of them decreased down to 9 nm. Carefully designed seed screening processes including LED irradiation at high temperature for a short time, and then continuous H2O2 etching, and relative growth mechanisms of high-yield five-twinned pentagonal seeds and ultrathin Ag NWs in aqueous environment are detailed. Then, a rapid and simple multiphase interfacial assembly method particularly suitable for the separation of ultrathin Ag NWs from various by-products was demonstrated with a clear mechanism explanation. Next, a unique optical interaction between light and individual AG NWs, as well as feature structures in the AG NWs film, was investigated by a micro-domain optical confocal microscope measurement in situ together with a theoretical explanation using modal transmission theory. That revealed that the haze problem of AG NWs films was not only arising from the interaction between light and individual or crossed Ag NWs but was also greatly dependent on a weak coupling effect of leaky modes supported by adjacent Ag NWs with large distances which had not been considered before. We then provided direct experimental evidence and concluded how to obtain haze-free films with 100% transparency in the whole visible range based on ultrathin Ag NWs. This breakthrough in diameter confinement and purification of Ag NWs is a highly expected step to overcome the well-focused light diffusion and absorption problems of Ag NWs-based devices applied in various fields such as flexible electronics, high-clarity displays, visible transparent heaters, photovoltaics and various optoelectronic technologies.

  19. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Geyer, Nadine; Wollschläger, Nicole; Fuhrmann, Bodo; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Jungmann, Marco; Krause-Rehberg, Reinhard; Leipner, Hartmut S.

    2015-06-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H2O2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology.

  20. Fabrication of silicon nanowires based on-chip micro-supercapacitor

    NASA Astrophysics Data System (ADS)

    Soam, Ankur; Arya, Nitin; Singh, Aniruddh; Dusane, Rajiv

    2017-06-01

    An on-chip micro-supercapacitor (μ-SC) based on Silicon nanowires (SiNWs) has been developed by Hot-wire chemical vapor process. First, finger patterned electrodes of Al were made on a silicon nitride coated Si wafer and SiNWs were then grown selectively on the Al electrodes. μ-SC performance has been tested in an ionic electrolyte and a capacitance of 13 μF/cm2 has been obtained by the μ-SC. The resulted μ-SC can be exploited to store the harvesting energy in micro-electro-mechanical-systems and coupled with battery for peak power leveling. Low temperature growth of SiNWs at 350 °C makes it suitable for prospective flexible electronics applications.

  1. Multiscale Study of Plasmonic Scattering and Light Trapping Effect in Silicon Nanowire Array Solar Cells.

    PubMed

    Meng, Lingyi; Zhang, Yu; Yam, ChiYung

    2017-02-02

    Nanometallic structures that support surface plasmons provide new ways to confine light at deep-subwavelength scales. The effect of light scattering in nanowire array solar cells is studied by a multiscale approach combining classical electromagnetic (EM) and quantum mechanical simulations. A photovoltaic device is constructed by integrating a silicon nanowire array with a plasmonic silver nanosphere. The light scatterings by plasmonic element and nanowire array are obtained via classical EM simulations, while current-voltage characteristics and optical properties of the nanowire cells are evaluated quantum mechanically. We found that the power conversion efficiency (PCE) of photovoltaic device is substantially improved due to the local field enhancement of the plasmonic effect and light trapping by the nanowire array. In addition, we showed that there exists an optimal nanowire number density in terms of optical confinement and solar cell PCE.

  2. Superior Robust Ultrathin Single-Crystalline Silicon Carbide Membrane as a Versatile Platform for Biological Applications.

    PubMed

    Nguyen, Tuan-Khoa; Phan, Hoang-Phuong; Kamble, Harshad; Vadivelu, Raja; Dinh, Toan; Iacopi, Alan; Walker, Glenn; Hold, Leonie; Nguyen, Nam-Trung; Dao, Dzung Viet

    2017-12-06

    Micromachined membranes are promising platforms for cell culture thanks to their miniaturization and integration capabilities. Possessing chemical inertness, biocompatibility, and integration, silicon carbide (SiC) membranes have attracted great interest toward biological applications. In this paper, we present the batch fabrication, mechanical characterizations, and cell culture demonstration of robust ultrathin epitaxial deposited SiC membranes. The as-fabricated ultrathin SiC membranes, with an ultrahigh aspect ratio (length/thickness) of up to 20 000, possess high a fracture strength up to 2.95 GPa and deformation up to 50 μm. A high optical transmittance of above 80% at visible wavelengths was obtained for 50 nm membranes. The as-fabricated membranes were experimentally demonstrated as an excellent substrate platform for bio-MEMS/NEMS cell culture with the cell viability rate of more than 92% after 72 h. The ultrathin SiC membrane is promising for in vitro observations/imaging of bio-objects with an extremely short optical access.

  3. Laser-induced Greenish-Blue Photoluminescence of Mesoporous Silicon Nanowires

    PubMed Central

    Choi, Yan-Ru; Zheng, Minrui; Bai, Fan; Liu, Junjun; Tok, Eng-Soon; Huang, Zhifeng; Sow, Chorng-Haur

    2014-01-01

    Solid silicon nanowires and their luminescent properties have been widely studied, but lesser is known about the optical properties of mesoporous silicon nanowires (mp-SiNWs). In this work, we present a facile method to generate greenish-blue photoluminescence (GB-PL) by fast scanning a focused green laser beam (wavelength of 532 nm) on a close-packed array of mp-SiNWs to carry out photo-induced chemical modification. The threshold of laser power is 5 mW to excite the GB-PL, whose intensity increases with laser power in the range of 5–105 mW. The quenching of GB-PL comes to occur beyond 105 mW. The in-vacuum annealing effectively excites the GB-PL in the pristine mp-SiNWs and enhances the GB-PL of the laser-modified mp-SiNWs. A complex model of the laser-induced surface modification is proposed to account for the laser-power and post-annealing effect. Moreover, the fast scanning of focused laser beam enables us to locally tailor mp-SiNWs en route to a wide variety of micropatterns with different optical functionality, and we demonstrate the feasibility in the application of creating hidden images. PMID:24820533

  4. A novel passivation process of silicon nanowires by a low-cost PECVD technique for deposition of hydrogenated silicon nitride using SiH4 and N2 as precursor gases

    NASA Astrophysics Data System (ADS)

    Bouaziz, Lamia; Dridi, Donia; Karyaoui, Mokhtar; Angelova, Todora; Sanchez Plaza, Guillermo; Chtourou, Radhouane

    2017-03-01

    In this work, a different SiNx passivation process of silicon nanowires has been opted for the deposition of a hydrogenated silicon nitride (SiNx:H) by a low-cost plasma enhanced chemical vapor deposition (PECVD) using silane ( SiH4 and nitrogen ( N2 as reactive gases. This study is focused on the effect of the gas flow ratio on chemical composition, morphological, optical and optoelectronic properties of silicon nanowires. The existence of Si-N and Si-H bonds was proven by the Fourier transmission infrared (FTIR) spectrum. Morphological structures were shown by scanning electron microscopy (SEM), and the roughness was investigated by atomic force microscopy (AFM). A low reflectivity less than 6% in the wavelength range 250-1200nm has been shown by UV-visible spectroscopy. Furthermore, the thickness and the refractive index of the passivation layer is determined by ellipsometry measurements. As a result, an improvement in minority carrier lifetime has been obtained by reducing surface recombination of silicon nanowires.

  5. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  6. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  7. Effect of tetramethylammonium hydroxide/isopropyl alcohol wet etching on geometry and surface roughness of silicon nanowires fabricated by AFM lithography

    PubMed Central

    Yusoh, Siti Noorhaniah

    2016-01-01

    Summary The optimization of etchant parameters in wet etching plays an important role in the fabrication of semiconductor devices. Wet etching of tetramethylammonium hydroxide (TMAH)/isopropyl alcohol (IPA) on silicon nanowires fabricated by AFM lithography is studied herein. TMAH (25 wt %) with different IPA concentrations (0, 10, 20, and 30 vol %) and etching time durations (30, 40, and 50 s) were investigated. The relationships between etching depth and width, and etching rate and surface roughness of silicon nanowires were characterized in detail using atomic force microscopy (AFM). The obtained results indicate that increased IPA concentration in TMAH produced greater width of the silicon nanowires with a smooth surface. It was also observed that the use of a longer etching time causes more unmasked silicon layers to be removed. Importantly, throughout this study, wet etching with optimized parameters can be applied in the design of the devices with excellent performance for many applications. PMID:27826521

  8. Effective passivation of silicon surfaces by ultrathin atomic-layer deposited niobium oxide

    NASA Astrophysics Data System (ADS)

    Macco, B.; Bivour, M.; Deijkers, J. H.; Basuvalingam, S. B.; Black, L. E.; Melskens, J.; van de Loo, B. W. H.; Berghuis, W. J. H.; Hermle, M.; Kessels, W. M. M. Erwin

    2018-06-01

    This letter reports on effective surface passivation of n-type crystalline silicon by ultrathin niobium oxide (Nb2O5) films prepared by atomic layer deposition (ALD) and subjected to a forming gas anneal at 300 °C. A champion recombination parameter J0 of 20 fA/cm2 and a surface recombination velocity Seff of 4.8 cm/s have been achieved for ultrathin films of 1 nm. The surface pretreatment was found to have a strong impact on the passivation. Good passivation can be achieved on both HF-treated c-Si surfaces and c-Si surfaces with a wet-chemically grown interfacial silicon oxide layer. On HF-treated surfaces, a minimum film thickness of 3 nm is required to achieve a high level of surface passivation, whereas the use of a wet chemically-grown interfacial oxide enables excellent passivation even for Nb2O5 films of only 1 nm. This discrepancy in passivation between both surface types is attributed to differences in the formation and stoichiometry of interfacial silicon oxide, resulting in different levels of chemical passivation. On both surface types, the high level of passivation of ALD Nb2O5 is aided by field-effect passivation originating from a high fixed negative charge density of 1-2 × 1012 cm-3. Furthermore, it is demonstrated that the passivation level provided by 1 nm of Nb2O5 can be further enhanced through light-soaking. Finally, initial explorations show that a low contact resistivity can be obtained using Nb2O5-based contacts. Together, these properties make ALD Nb2O5 a highly interesting building block for high-efficiency c-Si solar cells.

  9. Electrical Control of g-Factor in a Few-Hole Silicon Nanowire MOSFET.

    PubMed

    Voisin, B; Maurand, R; Barraud, S; Vinet, M; Jehl, X; Sanquer, M; Renard, J; De Franceschi, S

    2016-01-13

    Hole spins in silicon represent a promising yet barely explored direction for solid-state quantum computation, possibly combining long spin coherence, resulting from a reduced hyperfine interaction, and fast electrically driven qubit manipulation. Here we show that a silicon-nanowire field-effect transistor based on state-of-the-art silicon-on-insulator technology can be operated as a few-hole quantum dot. A detailed magnetotransport study of the first accessible hole reveals a g-factor with unexpectedly strong anisotropy and gate dependence. We infer that these two characteristics could enable an electrically driven g-tensor-modulation spin resonance with Rabi frequencies exceeding several hundred mega-Hertz.

  10. Effects of Asymmetric Local Joule Heating on Silicon Nanowire-Based Devices Formed by Dielectrophoresis Alignment Across Pt Electrodes

    NASA Astrophysics Data System (ADS)

    Ho, Hsiang-Hsi; Lin, Chun-Lung; Tsai, Wei-Che; Hong, Liang-Zheng; Lyu, Cheng-Han; Hsu, Hsun-Feng

    2018-01-01

    We demonstrate the fabrication and characterization of silicon nanowire-based devices in metal-nanowire-metal configuration using direct current dielectrophoresis. The current-voltage characteristics of the devices were found rectifying, and their direction of rectification could be determined by voltage sweep direction due to the asymmetric Joule heating effect that occurred in the electrical measurement process. The photosensing properties of the rectifying devices were investigated. It reveals that when the rectifying device was in reverse-biased mode, the excellent photoresponse was achieved due to the strong built-in electric field at the junction interface. It is expected that rectifying silicon nanowire-based devices through this novel and facile method can be potentially applied to other applications such as logic gates and sensors.

  11. Micro-architecture embedding ultra-thin interlayer to bond diamond and silicon via direct fusion

    NASA Astrophysics Data System (ADS)

    Kim, Jong Cheol; Kim, Jongsik; Xin, Yan; Lee, Jinhyung; Kim, Young-Gyun; Subhash, Ghatu; Singh, Rajiv K.; Arjunan, Arul C.; Lee, Haigun

    2018-05-01

    The continuous demand on miniaturized electronic circuits bearing high power density illuminates the need to modify the silicon-on-insulator-based chip architecture. This is because of the low thermal conductivity of the few hundred nanometer-thick insulator present between the silicon substrate and active layers. The thick insulator is notorious for releasing the heat generated from the active layers during the operation of devices, leading to degradation in their performance and thus reducing their lifetime. To avoid the heat accumulation, we propose a method to fabricate the silicon-on-diamond (SOD) microstructure featured by an exceptionally thin silicon oxycarbide interlayer (˜3 nm). While exploiting the diamond as an insulator, we employ spark plasma sintering to render the silicon directly fused to the diamond. Notably, this process can manufacture the SOD microarchitecture via a simple/rapid way and incorporates the ultra-thin interlayer for minute thermal resistance. The method invented herein expects to minimize the thermal interfacial resistance of the devices and is thus deemed as a breakthrough appealing to the current chip industry.

  12. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  13. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  14. Highly Flexible and Transparent Ag Nanowire Electrode Encapsulated with Ultra-Thin Al2O3: Thermal, Ambient, and Mechanical Stabilities

    PubMed Central

    Hwang, Byungil; An, Youngseo; Lee, Hyangsook; Lee, Eunha; Becker, Stefan; Kim, Yong-Hoon; Kim, Hyoungsub

    2017-01-01

    There is an increasing demand in the flexible electronics industry for highly robust flexible/transparent conductors that can withstand high temperatures and corrosive environments. In this work, outstanding thermal and ambient stability is demonstrated for a highly transparent Ag nanowire electrode with a low electrical resistivity, by encapsulating it with an ultra-thin Al2O3 film (around 5.3 nm) via low-temperature (100 °C) atomic layer deposition. The Al2O3-encapsulated Ag nanowire (Al2O3/Ag) electrodes are stable even after annealing at 380 °C for 100 min and maintain their electrical and optical properties. The Al2O3 encapsulation layer also effectively blocks the permeation of H2O molecules and thereby enhances the ambient stability to greater than 1,080 h in an atmosphere with a relative humidity of 85% at 85 °C. Results from the cyclic bending test of up to 500,000 cycles (under an effective strain of 2.5%) confirm that the Al2O3/Ag nanowire electrode has a superior mechanical reliability to that of the conventional indium tin oxide film electrode. Moreover, the Al2O3 encapsulation significantly improves the mechanical durability of the Ag nanowire electrode, as confirmed by performing wiping tests using isopropyl alcohol. PMID:28128218

  15. pH-controlled silicon nanowires fluorescence switch

    NASA Astrophysics Data System (ADS)

    Mu, Lixuan; Shi, Wensheng; Zhang, Taiping; Zhang, Hongyan; She, Guangwei

    2010-08-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  16. APPLIED OPTICS. Voltage-tunable circular photogalvanic effect in silicon nanowires.

    PubMed

    Dhara, Sajal; Mele, Eugene J; Agarwal, Ritesh

    2015-08-14

    Electronic bands in crystals can support nontrivial topological textures arising from spin-orbit interactions, but purely orbital mechanisms can realize closely related dynamics without breaking spin degeneracies, opening up applications in materials containing only light elements. One such application is the circular photogalvanic effect (CPGE), which is the generation of photocurrents whose magnitude and polarity depend on the chirality of optical excitation. We show that the CPGE can arise from interband transitions at the metal contacts to silicon nanowires, where inversion symmetry is locally broken by an electric field. Bias voltage that modulates this field further controls the sign and magnitude of the CPGE. The generation of chirality-dependent photocurrents in silicon with a purely orbital-based mechanism will enable new functionalities in silicon that can be integrated with conventional electronics. Copyright © 2015, American Association for the Advancement of Science.

  17. Vertical Silicon Nanowire Field Effect Transistors with Nanoscale Gate-All-Around

    NASA Astrophysics Data System (ADS)

    Guerfi, Youssouf; Larrieu, Guilhem

    2016-04-01

    Nanowires are considered building blocks for the ultimate scaling of MOS transistors, capable of pushing devices until the most extreme boundaries of miniaturization thanks to their physical and geometrical properties. In particular, nanowires' suitability for forming a gate-all-around (GAA) configuration confers to the device an optimum electrostatic control of the gate over the conduction channel and then a better immunity against the short channel effects (SCE). In this letter, a large-scale process of GAA vertical silicon nanowire (VNW) MOSFETs is presented. A top-down approach is adopted for the realization of VNWs with an optimum reproducibility followed by thin layer engineering at nanoscale. Good overall electrical performances were obtained, with excellent electrostatic behavior (a subthreshold slope (SS) of 95 mV/dec and a drain induced barrier lowering (DIBL) of 25 mV/V) for a 15-nm gate length. Finally, a first demonstration of dual integration of n-type and p-type VNW transistors for the realization of CMOS inverter is proposed.

  18. Fabrication of CoFe2O4 ferrite nanowire arrays in porous silicon template and their local magnetic properties

    NASA Astrophysics Data System (ADS)

    Hui, Zheng; Man-Gui, Han; Long-Jiang, Deng

    2016-02-01

    CoFe2O4 ferrite nanowire arrays are fabricated in porous silicon templates. The porous silicon templates are prepared via metal-assisted chemical etching with gold (Au) nanoparticles as the catalyst. Subsequently, CoFe2O4 ferrite nanowires are successfully synthesized into porous silicon templates by the sol-gel method. The magnetic hysteresis loop of nanowire array shows an isotropic feature of magnetic properties. The coercivity and squareness ratio (Mr/Ms) of ensemble nanowires are found to be 630 Oe (1 Oe, = 79.5775 A·m-1 and 0.4 respectively. However, the first-order reversal curve (FORC) is adopted to reveal the probability density function of local magnetostatic properties (i.e., interwire interaction field and coercivity). The FORC diagram shows an obvious distribution feature for interaction field and coercivity. The local coercivity with a value of about 1000 Oe is found to have the highest probability. Project supported by the National Natural Science Foundation of China (Grant No. 61271039), the Scientific Projects of Sichuan Province, China (Grant No. 2015HH0016), and the Natural Science Foundations of Zhejiang Province, China (Grant Nos. LQ12E02001 and Y107255).

  19. Self-bridging of vertical silicon nanowires and a universal capacitive force model for spontaneous attraction in nanostructures.

    PubMed

    Sun, Zhelin; Wang, Deli; Xiang, Jie

    2014-11-25

    Spontaneous attractions between free-standing nanostructures have often caused adhesion or stiction that affects a wide range of nanoscale devices, particularly nano/microelectromechanical systems. Previous understandings of the attraction mechanisms have included capillary force, van der Waals/Casimir forces, and surface polar charges. However, none of these mechanisms universally applies to simple semiconductor structures such as silicon nanowire arrays that often exhibit bunching or adhesions. Here we propose a simple capacitive force model to quantitatively study the universal spontaneous attraction that often causes stiction among semiconductor or metallic nanostructures such as vertical nanowire arrays with inevitably nonuniform size variations due to fabrication. When nanostructures are uniform in size, they share the same substrate potential. The presence of slight size differences will break the symmetry in the capacitive network formed between the nanowires, substrate, and their environment, giving rise to electrostatic attraction forces due to the relative potential difference between neighboring wires. Our model is experimentally verified using arrays of vertical silicon nanowire pairs with varied spacing, diameter, and size differences. Threshold nanowire spacing, diameter, or size difference between the nearest neighbors has been identified beyond which the nanowires start to exhibit spontaneous attraction that leads to bridging when electrostatic forces overcome elastic restoration forces. This work illustrates a universal understanding of spontaneous attraction that will impact the design, fabrication, and reliable operation of nanoscale devices and systems.

  20. Characterization of dilute species within CVD-grown silicon nanowires doped using trimethylboron: protected lift-out specimen preparation for atom probe tomography.

    PubMed

    Prosa, T J; Alvis, R; Tsakalakos, L; Smentkowski, V S

    2010-08-01

    Three-dimensional quantitative compositional analysis of nanowires is a challenge for standard techniques such as secondary ion mass spectrometry because of specimen size and geometry considerations; however, it is precisely the size and geometry of nanowires that makes them attractive candidates for analysis via atom probe tomography. The resulting boron composition of various trimethylboron vapour-liquid-solid grown silicon nanowires were measured both with time-of-flight secondary ion mass spectrometry and pulsed-laser atom probe tomography. Both characterization techniques yielded similar results for relative composition. Specialized specimen preparation for pulsed-laser atom probe tomography was utilized and is described in detail whereby individual silicon nanowires are first protected, then lifted out, trimmed, and finally wet etched to remove the protective layer for subsequent three-dimensional analysis.

  1. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  2. High efficiency silicon nanowire/organic hybrid solar cells with two-step surface treatment.

    PubMed

    Wang, Jianxiong; Wang, Hao; Prakoso, Ari Bimo; Togonal, Alienor Svietlana; Hong, Lei; Jiang, Changyun; Rusli

    2015-03-14

    A simple two-step surface treatment process is proposed to boost the efficiency of silicon nanowire/PEDOT:PSS hybrid solar cells. The Si nanowires (SiNWs) are first subjected to a low temperature ozone treatment to form a surface sacrificial oxide, followed by a HF etching process to partially remove the oxide. TEM investigation demonstrates that a clean SiNW surface is achieved after the treatment, in contrast to untreated SiNWs that have Ag nanoparticles left on the surface from the metal-catalyzed etching process that is used to form the SiNWs. The cleaner SiNW surface achieved and the thin layer of residual SiO2 on the SiNWs have been found to improve the performance of the hybrid solar cells. Overall, the surface recombination of the hybrid SiNW solar cells is greatly suppressed, resulting in a remarkably improved open circuit voltage of 0.58 V. The power conversion efficiency has also increased from about 10% to 12.4%. The two-step surface treatment method is promising in enhancing the photovoltaic performance of the hybrid silicon solar cells, and can also be applied to other silicon nanostructure based solar cells.

  3. Zeroth order Fabry-Perot resonance enabled ultra-thin perfect light absorber using percolation aluminum and silicon nanofilms

    DOE PAGES

    Mirshafieyan, Seyed Sadreddin; Luk, Ting S.; Guo, Junpeng

    2016-03-04

    Here, we demonstrated perfect light absorption in optical nanocavities made of ultra-thin percolation aluminum and silicon films deposited on an aluminum surface. The total layer thickness of the aluminum and silicon films is one order of magnitude less than perfect absorption wavelength in the visible spectral range. The ratio of silicon cavity layer thickness to perfect absorption wavelength decreases as wavelength decreases due to the increased phase delays at silicon-aluminum boundaries at shorter wavelengths. It is explained that perfect light absorption is due to critical coupling of incident wave to the fundamental Fabry-Perot resonance mode of the structure where themore » round trip phase delay is zero. Simulations were performed and the results agree well with the measurement results.« less

  4. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  5. Template-free fabrication of silicon micropillar/nanowire composite structure by one-step etching

    PubMed Central

    2012-01-01

    A template-free fabrication method for silicon nanostructures, such as silicon micropillar (MP)/nanowire (NW) composite structure is presented. Utilizing an improved metal-assisted electroless etching (MAEE) of silicon in KMnO4/AgNO3/HF solution and silicon composite nanostructure of the long MPs erected in the short NWs arrays were generated on the silicon substrate. The morphology evolution of the MP/NW composite nanostructure and the role of self-growing K2SiF6 particles as the templates during the MAEE process were investigated in detail. Meanwhile, a fabrication mechanism based on the etching of silver nanoparticles (catalyzed) and the masking of K2SiF6 particles is proposed, which gives guidance for fabricating different silicon nanostructures, such as NW and MP arrays. This one-step method provides a simple and cost-effective way to fabricate silicon nanostructures. PMID:23043719

  6. Ultra-thin distributed Bragg reflectors via stacked single-crystal silicon nanomembranes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Minkyu; Seo, Jung-Hun; Lee, Jaeseong

    2015-05-04

    In this paper, we report ultra-thin distributed Bragg reflectors (DBRs) via stacked single-crystal silicon (Si) nanomembranes (NMs). Mesh hole-free single-crystal Si NMs were released from a Si-on-insulator substrate and transferred to quartz and Si substrates. Thermal oxidation was applied to the transferred Si NM to form high-quality SiO{sub 2} and thus a Si/SiO{sub 2} pair with uniform and precisely controlled thicknesses. The Si/SiO{sub 2} layers, as smooth as epitaxial grown layers, minimize scattering loss at the interface and in between the layers. As a result, a reflection of 99.8% at the wavelength range from 1350 nm to 1650 nm can be measuredmore » from a 2.5-pair DBR on a quartz substrate and 3-pair DBR on a Si substrate with thickness of 0.87 μm and 1.14 μm, respectively. The high reflection, ultra-thin DBRs developed here, which can be applied to almost any devices and materials, holds potential for application in high performance optoelectronic devices and photonics applications.« less

  7. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    NASA Astrophysics Data System (ADS)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  8. Performance characteristics of supercapacitor electrodes made of silicon carbide nanowires grown on carbon fabric

    NASA Astrophysics Data System (ADS)

    Gu, Lin; Wang, Yewu; Fang, Yanjun; Lu, Ren; Sha, Jian

    2013-12-01

    In this paper, we report the supercapacitor electrodes with excellent cycle stability, which are made of silicon carbide nanowires (SiC NWs) grown on flexible carbon fabric. A high areal capacitance of 23 mF cm-2 is achieved at a scan rate of 50 mV s-1 at room temperature and capacitances increase with the rise of the working temperature. Owing to the excellent thermal stability of SiC NWs and carbon fabric, no observable decrease of capacitance occurs at room temperature (20 °C) after 105 cycles, which satisfies the demands of the commercial applications. Further increasing the measurement temperature to 60 °C, 90% of the initial capacitance is still retained after 105 cycles. This study shows that silicon carbide nanowires on carbon fabric are a promising electrode material for high temperature and stable micro-supercapacitors.

  9. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    NASA Astrophysics Data System (ADS)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  10. Fabrication of flexible and vertical silicon nanowire electronics.

    PubMed

    Weisse, Jeffrey M; Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2012-06-13

    Vertical silicon nanowire (SiNW) array devices directly connected on both sides to metallic contacts were fabricated on various non-Si-based substrates (e.g., glass, plastics, and metal foils) in order to fully exploit the nanomaterial properties for final applications. The devices were realized with uniform length Ag-assisted electroless etched SiNW arrays that were detached from their fabrication substrate, typically Si wafers, reattached to arbitrary substrates, and formed with metallic contacts on both sides of the NW array. Electrical characterization of the SiNW array devices exhibits good current-voltage characteristics consistent with the SiNW morphology.

  11. Nonlinear Dynamics of Silicon Nanowire Resonator Considering Nonlocal Effect.

    PubMed

    Jin, Leisheng; Li, Lijie

    2017-12-01

    In this work, nonlinear dynamics of silicon nanowire resonator considering nonlocal effect has been investigated. For the first time, dynamical parameters (e.g., resonant frequency, Duffing coefficient, and the damping ratio) that directly influence the nonlinear dynamics of the nanostructure have been derived. Subsequently, by calculating their response with the varied nonlocal coefficient, it is unveiled that the nonlocal effect makes more obvious impacts at the starting range (from zero to a small value), while the impact of nonlocal effect becomes weaker when the nonlocal term reaches to a certain threshold value. Furthermore, to characterize the role played by nonlocal effect in exerting influence on nonlinear behaviors such as bifurcation and chaos (typical phenomena in nonlinear dynamics of nanoscale devices), we have calculated the Lyapunov exponents and bifurcation diagram with and without nonlocal effect, and results shows the nonlocal effect causes the most significant effect as the device is at resonance. This work advances the development of nanowire resonators that are working beyond linear regime.

  12. Monolithically Integrated InGaAs Nanowires on 3D Structured Silicon-on-Insulator as a New Platform for Full Optical Links.

    PubMed

    Kim, Hyunseok; Farrell, Alan C; Senanayake, Pradeep; Lee, Wook-Jae; Huffaker, Diana L

    2016-03-09

    Monolithically integrated III-V semiconductors on a silicon-on-insulator (SOI) platform can be used as a building block for energy-efficient on-chip optical links. Epitaxial growth of III-V semiconductors on silicon, however, has been challenged by the large mismatches in lattice constants and thermal expansion coefficients between epitaxial layers and silicon substrates. Here, we demonstrate for the first time the monolithic integration of InGaAs nanowires on the SOI platform and its feasibility for photonics and optoelectronic applications. InGaAs nanowires are grown not only on a planar SOI layer but also on a 3D structured SOI layer by catalyst-free metal-organic chemical vapor deposition. The precise positioning of nanowires on 3D structures, including waveguides and gratings, reveals the versatility and practicality of the proposed platform. Photoluminescence measurements exhibit that the composition of ternary InGaAs nanowires grown on the SOI layer has wide tunability covering all telecommunication wavelengths from 1.2 to 1.8 μm. We also show that the emission from an optically pumped single nanowire is effectively coupled and transmitted through an SOI waveguide, explicitly showing that this work lays the foundation for a new platform toward energy-efficient optical links.

  13. Dynamics of Charge Carriers in Silicon Nanowire Photoconductors Revealed by Photo Hall Effect Measurements.

    PubMed

    Chen, Kaixiang; Zhao, Xiaolong; Mesli, Abdelmadjid; He, Yongning; Dan, Yaping

    2018-04-24

    Photoconductors have extraordinarily high gain in quantum efficiency, but the origin of the gain has remained in dispute for decades. In this work, we employ photo Hall effect to reveal the gain mechanisms by probing the dynamics of photogenerated charge carriers in silicon nanowire photoconductors. The results reveal that a large number of photogenerated minority electrons are localized in the surface depletion region and surface trap states. The same number of excess hole counterparts is left in the nanowire conduction channel, resulting in the fact that excess holes outnumber the excess electrons in the nanowire conduction channel by orders of magnitude. The accumulation of the excess holes broadens the conduction channel by narrowing down the depletion region, which leads to the experimentally observed high photo gain.

  14. Conductance of kinked nanowires

    NASA Astrophysics Data System (ADS)

    Cook, B. G.; Varga, K.

    2011-01-01

    The conductance properties of kinked nanowires are studied by first-principles transport calculations within a recently developed complex potential framework. Using prototypical examples of monoatomic Au chains as well as small diameter single-crystalline silicon nanowires we show that transmission strongly depends on the kink geometry and one can tune the conductance properties by the kink angle and other geometrical factors. In the case of a silicon nanowire the presence of a kink drastically reduces the conductance.

  15. Optimal design of aperiodic, vertical silicon nanowire structures for photovoltaics.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2011-09-12

    We design a partially aperiodic, vertically-aligned silicon nanowire array that maximizes photovoltaic absorption. The optimal structure is obtained using a random walk algorithm with transfer matrix method based electromagnetic forward solver. The optimal, aperiodic structure exhibits a 2.35 times enhancement in ultimate efficiency compared to its periodic counterpart. The spectral behavior mimics that of a periodic array with larger lattice constant. For our system, we find that randomly-selected, aperiodic structures invariably outperform the periodic array.

  16. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    PubMed Central

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  17. High-performance silicon nanowire bipolar phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  18. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer

    PubMed Central

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-01-01

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/PEDOT:PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/PEDOT:PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/PEDOT:PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells. PMID:26610848

  19. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer.

    PubMed

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-11-27

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) ( PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/ PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/ PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/ PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells.

  20. Silicon Nanowire Field Effect Transistor Sensors with Minimal Sensor to Sensor Variations and Enhanced Sensing Characteristics.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Jagtiani, Ashish; Kratschmer, Ernst; Miao, Xin; Zhu, Yu; Mo, Renee; Sosa, Norma; Hamann, Hendrik F; Shahidi, Ghavam; Riel, Heike

    2018-06-22

    Silicon nanowire field effect transistor (FET) sensors have demonstrated their ability for rapid and label free detection of proteins, nucleotide sequences, and viruses at ultralow concentrations with the potential to be a transformative diagnostic technology. Their nanoscale size gives them their unique ultralow detection ability but also makes their fabrication challenging with large sensor to sensor variations, thus limiting their commercial applications. In this work, a combined approach of nanofabrication, device simulation, materials and electrical characterization is applied towards identifying and improving fabrication steps that induce sensor to sensor variations. An enhanced complementary metal-oxide-semiconductor (CMOS) compatible process for fabricating silicon nanowire FET sensors is demonstrated. Nanowire (30 nm width) FETs with aqueous solution as gates are shown to have the Nernst limit sub-threshold swing SS = 60 mV/decade with ~1.7% variations, whereas literature values for SS are ≥ 80 mV/decade with larger (>10 times) variations. Also, their threshold voltage variations are significantly (~3 times) reduced, compared to literature values. Furthermore, these improved FETs have significantly reduced drain current hysteresis (~0.6 mV) and enhanced on-current to off-current ratios (~10 6 ). These improvements resulted in nanowire FET sensors with lowest (~3%) reported sensor to sensor variations, compared to literature studies. Also, these improved nanowire sensors have the highest reported sensitivity and enhanced signal to noise ratio with the lowest reported defect density of 1x10 18 eV -1 cm -3 in comparison to literature data. In summary, this work brings the nanowire sensor technology a step closer to commercial products for early diagnosis and monitoring of diseases.

  1. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode.

    PubMed

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-06-30

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current-voltage (I-V) measurements. Nonlinear and rectifying I-V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  2. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    PubMed Central

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-01-01

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current−voltage (I−V) measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions. PMID:28773656

  3. A facile fluorescent sensor based on silicon nanowires for dithionite

    NASA Astrophysics Data System (ADS)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  4. Development and ultra-structure of an ultra-thin silicone epidermis of bioengineered alternative tissue.

    PubMed

    Wessels, Quenton; Pretorius, Etheresia

    2015-08-01

    Burn wound care today has a primary objective of temporary or permanent wound closure. Commercially available engineered alternative tissues have become a valuable adjunct to the treatment of burn injuries. Their constituents can be biological, alloplastic or a combination of both. Here the authors describe the aspects of the development of a siloxane epidermis for a collagen-glycosaminoglycan and for nylon-based artificial skin replacement products. A method to fabricate an ultra-thin epidermal equivalent is described. Pores, to allow the escape of wound exudate, were punched and a tri-filament nylon mesh or collagen scaffold was imbedded and silicone polymerisation followed at 120°C for 5 minutes. The ultra-structure of these bilaminates was assessed through scanning electron microscopy. An ultra-thin biomedical grade siloxane film was reliably created through precision coating on a pre-treated polyethylene terephthalate carrier. © 2013 The Authors. International Wound Journal © 2013 Medicalhelplines.com Inc and John Wiley & Sons Ltd.

  5. Stress analysis of ultra-thin silicon chip-on-foil electronic assembly under bending

    NASA Astrophysics Data System (ADS)

    Wacker, Nicoleta; Richter, Harald; Hoang, Tu; Gazdzicki, Pawel; Schulze, Mathias; Angelopoulos, Evangelos A.; Hassan, Mahadi-Ul; Burghartz, Joachim N.

    2014-09-01

    In this paper we investigate the bending-induced uniaxial stress at the top of ultra-thin (thickness \\leqslant 20 μm) single-crystal silicon (Si) chips adhesively attached with the aid of an epoxy glue to soft polymeric substrate through combined theoretical and experimental methods. Stress is first determined analytically and numerically using dedicated models. The theoretical results are validated experimentally through piezoresistive measurements performed on complementary metal-oxide-semiconductor (CMOS) transistors built on specially designed chips, and through micro-Raman spectroscopy investigation. Stress analysis of strained ultra-thin chips with CMOS circuitry is crucial, not only for the accurate evaluation of the piezoresistive behavior of the built-in devices and circuits, but also for reliability and deformability analysis. The results reveal an uneven bending-induced stress distribution at the top of the Si-chip that decreases from the central area towards the chip's edges along the bending direction, and increases towards the other edges. Near these edges, stress can reach very high values, facilitating the emergence of cracks causing ultimate chip failure.

  6. Lithium-assisted electrochemical welding in silicon nanowire battery electrodes.

    PubMed

    Karki, Khim; Epstein, Eric; Cho, Jeong-Hyun; Jia, Zheng; Li, Teng; Picraux, S Tom; Wang, Chunsheng; Cumings, John

    2012-03-14

    From in situ transmission electron microscopy (TEM) observations, we present direct evidence of lithium-assisted welding between physically contacted silicon nanowires (SiNWs) induced by electrochemical lithiation and delithiation. This electrochemical weld between two SiNWs demonstrates facile transport of lithium ions and electrons across the interface. From our in situ observations, we estimate the shear strength of the welded region after delithiation to be approximately 200 MPa, indicating that a strong bond is formed at the junction of two SiNWs. This welding phenomenon could help address the issue of capacity fade in nanostructured silicon battery electrodes, which is typically caused by fracture and detachment of active materials from the current collector. The process could provide for more robust battery performance either through self-healing of fractured components that remain in contact or through the formation of a multiconnected network architecture. © 2012 American Chemical Society

  7. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  8. Interaction of Light with Metallized Ultrathin Silicon Membrane

    NASA Astrophysics Data System (ADS)

    Shome, Krishanu

    Freestanding metallized structures, a few tens of nanometer thick, show promise in creating flow-through sensors, single molecule detectors and novel solar cells. In this thesis we study test structures that are a step towards creating such devices. Finite- difference time-domain simulations have been used to understand and predict the interaction of light with such devices. Porous nanocrystalline silicon membrane is a novel freestanding layer structure that has been used as a platform to fabricate and study sensors and novel slot nanohole devices. Optical mode studies of the sensing structures, together with the method of fabrication inspired the creation of ultrathin freestanding hydrogenated amorphous silicon p-i-n junctions solar cells. All the freestanding structures used in this thesis are just a few tens of nanometers in thicknesses. In the first part of the thesis the sensing properties of the metallized porous nanocrystalline structure are studied. The surprising blueshift associated with the sensing peak is observed experimentally and predicted theoretically with the help of simulations. Polarization dependence of the membranes is predicted and confirmed for angled deposition of metal on the membranes. In the next part, a novel slot structure is fabricated and modeled to study the slot effect in nanohole metal-insulator-metal structures. Atomic layer deposition of alumina is used to conformally deposit alumina within the nanohole to create the slot structure. Simulation models were used to calculate the lowest modal volume of 4x10-5 mum3 for an optimized structure. In the last part of the thesis, freestanding solar cells are fabricated by effectively replacing the porous nanocrystalline silicon layer of the membranes with a hydrogenated amorphous silicon p-i-n junction with metal layers on both sides of the p-i-n junction. The metal layers act both as electrical contacts as well as mirrors for a Fabry Perot cavity resonator. This helps in tuning the

  9. Structural Flexibility and Alloying in Ultrathin Transition-Metal Chalcogenide Nanowires

    DOE PAGES

    Lin, Junhao; Zhang, Yuyang; Zhou, Wu; ...

    2016-01-18

    Metallic transition-metal chalcogenide (TMC) nanowires are an important building block for 2D electronics that may be fabricated within semiconducting transition-metal dichalcogenide (TMDC) monolayers. Tuning the geometric structure and electronic properties of such nanowires is a promising way to pattern diverse functional channels for wiring multiple units inside a 2D electronic circuit. Nevertheless, few experimental investigations have been reported exploring the structural and compositional tunability of these nanowires, due to difficulties in manipulating the structure and chemical composition of an individual nanowire. Here, using a combination of scanning transmission electron microscopy (STEM) and density functional theory (DFT), we report that TMCmore » nanowires have substantial intrinsic structural flexibility and their chemical composition can be manipulated.« less

  10. Nanofabrication of Arrays of Silicon Field Emitters with Vertical Silicon Nanowire Current Limiters and Self-Aligned Gates

    DTIC Science & Technology

    2016-08-19

    in a dielectric matrix. This paper explores the electronic device applications of dense arrays of silicon nanowires that are embedded in Nanotechnology ... Nanotechnology 27 (2016) 295302 (11pp) doi:10.1088/0957-4484/27/29/295302 Original content from this work may be used under the terms of the Creative...compared 2 Nanotechnology 27 (2016) 295302 S A Guerrera and A I Akinwande to the device reported by Velasquez-Garcia et al, but it also reduces the

  11. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  12. Effects of Defects on the Mechanical Properties of Kinked Silicon Nanowires.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; He, Yunbo; Wong, Ching-Ping

    2017-12-01

    Kinked silicon nanowires (KSiNWs) have many special properties that make them attractive for a number of applications. The mechanical properties of KSiNWs play important roles in the performance of sensors. In this work, the effects of defects on the mechanical properties of KSiNWs are studied using molecular dynamics simulations and indirectly validated by experiments. It is found that kinks are weak points in the nanowire (NW) because of inharmonious deformation, resulting in a smaller elastic modulus than that of straight NWs. In addition, surface defects have more significant effects on the mechanical properties of KSiNWs than internal defects. The effects of the width or the diameter of the defects are larger than those of the length of the defects. Overall, the elastic modulus of KSiNWs is not sensitive to defects; therefore, KSiNWs have a great potential as strain or stress sensors in special applications.

  13. Writing and Reading of Ultrathin Ferroelectric Domains on Commensurate SrTiO3 on Silicon

    NASA Astrophysics Data System (ADS)

    Levy, Jeremy; Cen, Cheng; Sleasman, Charles R.; Warusawithana, Maitri; Schlom, Darrell G.

    2008-03-01

    Ferroelectricity in ultrathin epitaxial SrTiO3 grown commensurately by oxide-molecular beam epitaxy (MBE) on silicon substrates was investigated using piezoforce microscopy (PFM). A series of samples containing n molecular layers (ML) of SrTiO3 (n = 3, 4, 5, 6, 8, 10, 20) was grown on silicon substrates. Room-temperature ferroelectricity was observed for samples containing n = 5, 6, 8, 10 ML. Temperature-dependent measurements indicate that the sample with n = 5 exhibits a ferroelectric phase transition at Tc˜317 K. Sample with n = 6 remains ferroelectric up to at least 393K. Polar domains created on the n = 6 was found to be stable at room temperature for more than 72 hours. The implications of these results for fundamental and device-related applications will be discussed briefly.

  14. A Resonant Tunneling Nanowire Field Effect Transistor with Physical Contractions: A Negative Differential Resistance Device for Low Power Very Large Scale Integration Applications

    NASA Astrophysics Data System (ADS)

    Molaei Imen Abadi, Rouzbeh; Saremi, Mehdi

    2018-02-01

    In this paper, the influence of ultra-scaled physical symmetrical contraction on electrical characteristics of ultra-thin silicon-on-insulator nanowires with circular gate-all-around structure is investigated by using a 3D Atlas numerical quantum simulator based on non-equilibrium green's function formalism. It is demonstrated that local cross-section variation in a nanowire transistor results in the establishment of tunnel energy barriers at the source-channel and drain-channel junctions which change device physics and cause a transmission from a quantum wire (1-D) to a floating quantum dot nanowire (0-D) introducing a resonant tunneling nanowire FET (RT-NWFET) as an interesting concept of nanoscale MOSFETs. The barriers construct resonance energy levels in the channel region of nanowires because of the longitudinal confinement in three directions causing some fluctuation in I D- V GS characteristic. In addition, these barriers remarkably improve the subthreshold swing and minimize the ON/OFF-current ratio degradation at a low operation voltage of 0.5 V. As a result, RT-NWFETs are intrinsically preserved from drain-source tunneling and are an interesting candidate for developing the roadmap below 10 nm.

  15. Vertical silicon nanowires as a universal platform for delivering biomolecules into living cells

    PubMed Central

    Shalek, Alex K.; Robinson, Jacob T.; Karp, Ethan S.; Lee, Jin Seok; Ahn, Dae-Ro; Yoon, Myung-Han; Sutton, Amy; Jorgolli, Marsela; Gertner, Rona S.; Gujral, Taranjit S.; MacBeath, Gavin; Yang, Eun Gyeong; Park, Hongkun

    2010-01-01

    A generalized platform for introducing a diverse range of biomolecules into living cells in high-throughput could transform how complex cellular processes are probed and analyzed. Here, we demonstrate spatially localized, efficient, and universal delivery of biomolecules into immortalized and primary mammalian cells using surface-modified vertical silicon nanowires. The method relies on the ability of the silicon nanowires to penetrate a cell’s membrane and subsequently release surface-bound molecules directly into the cell’s cytosol, thus allowing highly efficient delivery of biomolecules without chemical modification or viral packaging. This modality enables one to assess the phenotypic consequences of introducing a broad range of biological effectors (DNAs, RNAs, peptides, proteins, and small molecules) into almost any cell type. We show that this platform can be used to guide neuronal progenitor growth with small molecules, knock down transcript levels by delivering siRNAs, inhibit apoptosis using peptides, and introduce targeted proteins to specific organelles. We further demonstrate codelivery of siRNAs and proteins on a single substrate in a microarray format, highlighting this technology’s potential as a robust, monolithic platform for high-throughput, miniaturized bioassays. PMID:20080678

  16. Conformational Phase Diagram for Polymers Adsorbed on Ultrathin Nanowires

    NASA Astrophysics Data System (ADS)

    Vogel, Thomas; Bachmann, Michael

    2010-05-01

    We study the conformational behavior of a polymer adsorbed at an attractive stringlike nanowire and construct the complete structural phase diagram in dependence of the binding strength and effective thickness of the nanowire. For this purpose, Monte Carlo optimization techniques are employed to identify lowest-energy structures for a coarse-grained model of a polymer in contact with the nanowire. Among the representative conformations in the different phases are, for example, compact droplets attached to the wire and also nanotubelike monolayer films wrapping it in a very ordered way. We here systematically analyze low-energy shapes and structural order parameters to elucidate the transitions between the structural phases.

  17. Conformational phase diagram for polymers adsorbed on ultrathin nanowires.

    PubMed

    Vogel, Thomas; Bachmann, Michael

    2010-05-14

    We study the conformational behavior of a polymer adsorbed at an attractive stringlike nanowire and construct the complete structural phase diagram in dependence of the binding strength and effective thickness of the nanowire. For this purpose, Monte Carlo optimization techniques are employed to identify lowest-energy structures for a coarse-grained model of a polymer in contact with the nanowire. Among the representative conformations in the different phases are, for example, compact droplets attached to the wire and also nanotubelike monolayer films wrapping it in a very ordered way. We here systematically analyze low-energy shapes and structural order parameters to elucidate the transitions between the structural phases.

  18. Enhanced nickelidation rate in silicon nanowires with interfacial lattice disorder

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shuichiro; Yokogawa, Ryo; Oba, Shunsuke; Asada, Shuhei; Xu, Taiyu; Tomita, Motohiro; Ogura, Atsushi; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2017-10-01

    We demonstrate that the nickelidation (nickel silicidation) reaction rate of silicon nanowires (SiNWs) surrounded by a thermally grown silicon dioxide (SiO2) film is enhanced by post-oxidation annealing (POA). The SiNWs are fabricated by electron beam lithography, and some of the SiNWs are subjected to the POA process. The nickelidation reaction rate of the SiNWs is enhanced in the samples subjected to the POA treatment. Ultraviolet Raman spectroscopy measurements reveal that POA enhances compressive strain and lattice disorder in the SiNWs. By considering these experimental results in conjunction with our molecular dynamics simulation analysis, we conclude that the oxide-induced lattice disorder is the dominant origin of the increase in the nickelidation rate in smaller width SiNWs. This study sheds light on the pivotal role of lattice disorders in controlling metallic contact formation in SiNW devices.

  19. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  20. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching

    PubMed Central

    2013-01-01

    We demonstrated a novel, simple, and low-cost method to fabricate silicon nanowire (SiNW) arrays and silicon nanohole (SiNH) arrays based on thin silver (Ag) film dewetting process combined with metal-assisted chemical etching. Ag mesh with holes and semispherical Ag nanoparticles can be prepared by simple thermal annealing of Ag thin film on a silicon substrate. Both the diameter and the distribution of mesh holes as well as the nanoparticles can be manipulated by the film thickness and the annealing temperature. The silicon underneath Ag coverage was etched off with the catalysis of metal in an aqueous solution containing HF and an oxidant, which form silicon nanostructures (either SiNW or SiNH arrays). The morphologies of the corresponding etched SiNW and SiNH arrays matched well with that of Ag holes and nanoparticles. This novel method allows lithography-free fabrication of the SiNW and SiNH arrays with control of the size and distribution. PMID:23557325

  1. Shadow-casted ultrathin surface coatings of titanium and titanium/silicon oxide sol particles via ultrasound-assisted deposition.

    PubMed

    Karahan, H Enis; Birer, Özgür; Karakuş, Kerem; Yıldırım, Cansu

    2016-07-01

    Ultrasound-assisted deposition (USAD) of sol nanoparticles enables the formation of uniform and inherently stable thin films. However, the technique still suffers in coating hard substrates and the use of fast-reacting sol-gel precursors still remains challenging. Here, we report on the deposition of ultrathin titanium and titanium/silicon hybrid oxide coatings using hydroxylated silicon wafers as a model hard substrate. We use acetic acid as the catalyst which also suppresses the reactivity of titanium tetraisopropoxide while increasing the reactivity of tetraethyl orthosilicate through chemical modifications. Taking the advantage of this peculiar behavior, we successfully prepared titanium and titanium/silicon hybrid oxide coatings by USAD. Varying the amount of acetic acid in the reaction media, we managed to modulate thickness and surface roughness of the coatings in nanoscale. Field-emission scanning electron microscopy and atomic force microscopy studies showed the formation of conformal coatings having nanoroughness. Quantitative chemical state maps obtained by x-ray photoelectron spectroscopy (XPS) suggested the formation of ultrathin (<10nm) coatings and thickness measurements by rotating analyzer ellipsometry supported this observation. For the first time, XPS chemical maps revealed the transport effect of ultrasonic waves since coatings were directly cast on rectangular substrates as circular shadows of the horn with clear thickness gradient from the center to the edges. In addition to the progress made in coating hard substrates, employing fast-reacting precursors and achieving hybrid coatings; this report provides the first visual evidence on previously suggested "acceleration and smashing" mechanism as the main driving force of USAD. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  3. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-06-01

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of

  4. Cold welding of ultrathin gold nanowires.

    PubMed

    Lu, Yang; Huang, Jian Yu; Wang, Chao; Sun, Shouheng; Lou, Jun

    2010-03-01

    The welding of metals at the nanoscale is likely to have an important role in the bottom-up fabrication of electrical and mechanical nanodevices. Existing welding techniques use local heating, requiring precise control of the heating mechanism and introducing the possibility of damage. The welding of metals without heating (or cold welding) has been demonstrated, but only at macroscopic length scales and under large applied pressures. Here, we demonstrate that single-crystalline gold nanowires with diameters between 3 and 10 nm can be cold-welded together within seconds by mechanical contact alone, and under relatively low applied pressures. High-resolution transmission electron microscopy and in situ measurements reveal that the welds are nearly perfect, with the same crystal orientation, strength and electrical conductivity as the rest of the nanowire. The high quality of the welds is attributed to the nanoscale sample dimensions, oriented-attachment mechanisms and mechanically assisted fast surface-atom diffusion. Welds are also demonstrated between gold and silver, and silver and silver, indicating that the technique may be generally applicable.

  5. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays.

    PubMed

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-07-21

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.

  6. Growth and characterization of Pt-Si droplets for silicon nanowires synthesis

    NASA Astrophysics Data System (ADS)

    Khumalo, Z. M.; Topić, M.; Mtshali, C. B.; Blumenthal, M.

    2018-02-01

    The formation of platinum silicide phases as a function of the annealing temperature was investigated using in-situ real-time Rutherford backscattering spectrometry. The in-situ real-time RBS revealed the reaction of platinum and silicon to start at about 220 °C to form platinum silicide phases, Pt2Si and PtSi in sequence. Scanning electron microscope revealed the morphological change in the platinum layer (formation of droplets) at 800 °C. The particle induced X-ray emission analysis showed the variation of platinum intensity, in the droplets areas, between 1600 and 2000 counts. The surrounding areas are left almost uncovered due to platinum film dewetting. In-plane as well as out-of-plane silicon nanowires were observed to form at 800 °C and 1000 °C using pulsed laser ablation and thermal annealing techniques, respectively.

  7. Self-aligned nanoforest in silicon nanowire for sensitive conductance modulation.

    PubMed

    Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu

    2012-11-14

    A self-aligned and localized nanoforest structure is constructed in a top-down fabricated silicon nanowire (SiNW). The surface-to-volume ratio (SVR) of the SiNW is enhanced due to the local nanoforest formation. The conductance modulation property of the SiNWs, which is an important characteristic in sensor and charge transfer based applications, can be largely enhanced. For the selective modification of the channel region, localized Joule-heating and subsequent metal-assisted chemical etching (mac-etch) are employed. The nanoforest is formed only in the channel region without misalignment due to the self-aligned process of Joule-heating. The modified SiNW is applied to a porphyrin-silicon hybrid device to verify the enhanced conductance modulation. The charge transfer efficiency between the porphyrin and the SiNW, which is caused by external optical excitation, is clearly increased compared to the initial SiNW. The effect of the local nanoforest formation is enhanced when longer etching times and larger widths are used.

  8. Synthesis and Characterization of Silicon Nanowires by Electroless Etching

    NASA Astrophysics Data System (ADS)

    Bhujel, Rabina; Rizal, Umesh; Agarwal, Amit; Swain, Bhabani S.; Swain, Bibhu P.

    2018-02-01

    Silicon nanowires (SiNWs) were synthesized by two-step electroless etching of p-type Si (100) wafer and characterized by field emission scanning electron microscopy, UV-Vis spectroscopy, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The vibrational signature at 1108 and 2087 cm-1 confirmed SiNWs were passivated by both oxygen and hydrogen atoms. Raman peak at 517 cm-1 indicated crystalline SiNWs with tailing toward redshift due to Fano effect. The Si(2p) and Si(2s) core orbital spectra of SiNWs were found at 99.8 and 150.5 eV, respectively. Moreover, the reflection of SiNWs is minimized to 1 to 5% in the 650-nm wavelength.

  9. Flip-chip bonded optoelectronic integration based on ultrathin silicon (UTSi) CMOS

    NASA Astrophysics Data System (ADS)

    Hong, Sunkwang; Ho, Tawei; Zhang, Liping; Sawchuk, Alexander A.

    2003-06-01

    We describe the design and test of flip-chip bonded optoelectronic CMOS devices based on Peregrine Semiconductor's 0.5 micron Ultra-Thin Silicon on sapphire (UTSi) technology. The UTSi process eliminates the substrate leakage that typically results in crosstalk and reduces parasitic capacitance to the substrate, providing many benefits compared to bulk silicon CMOS. The low-loss synthetic sapphire substrate is optically transparent and has a coefficient of thermal expansion suitable for flip-chip bonding of vertical cavity surface emitting lasers (VCSELs) and detectors. We have designed two different UTSi CMOS chips. One contains a flip-chip bonded 1 x 4 photodiode array, a receiver array, a double edge triggered D-flip flop-based 2047-pattern pseudo random bit stream (PRBS) generator and a quadrature-phase LC-voltage controlled oscillator (VCO). The other chip contains a flip-chip bonded 1 x 4 VCSEL array, a driver array based on high-speed low-voltage differential signals (LVDS) and a full-balanced differential LC-VCO. Each VCSEL driver and receiver has individual input and bias voltage adjustments. Each UTSi chip is mounted on different printed circuit boards (PCBs) which have holes with about 1 mm radius for optical output and input paths through the sapphire substrate. We discuss preliminary testing of these chips.

  10. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  11. Comparison of Ti-Based Coatings on Silicon Nanowires for Phosphopeptide Enrichment and Their Laser Assisted Desorption/Ionization Mass Spectrometry Detection

    PubMed Central

    Kurylo, Ievgen; Hamdi, Abderrahmane; Addad, Ahmed; Coffinier, Yannick

    2017-01-01

    We created different TiO2-based coatings on silicon nanowires (SiNWs) by using either thermal metallization or atomic layer deposition (ALD). The fabricated surfaces were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), and reflectivity measurements. Surfaces with different TiO2 based coating thicknesses were then used for phosphopeptide enrichment and subsequent detection by laser desorption/ionization mass spectrometry (LDI-MS). Results showed that the best enrichment and LDI-MS detection were obtained using the silicon nanowires covered with 10 nm of oxidized Ti deposited by means of thermal evaporation. This sample was also able to perform phosphopeptide enrichment and MS detection from serum. PMID:28914806

  12. Congenital cheek teratoma with temporo-mandibular joint ankylosis managed with ultra-thin silicone sheet interpositional arthroplasty.

    PubMed

    Bhatnagar, Ankur; Verma, Vinay Kumar; Purohit, Vishal

    2013-01-01

    Primary cheek teratomas are rare with < 5 reported cases. None had associated temporo mandibular joint ankylosis (TMJA). The fundamental aim in the treatment of TMJA is the successful surgical resection of ankylotic bone, prevention of recurrence, and aesthetic improvement by ensuring functional occlusion. Early treatment is necessary to promote proper growth and function of mandible and to facilitate the positive psychological development of child. Inter-positional arthroplasty with ultra-thin silicone sheet was performed. Advantages include short operative time, less foreign material in the joint space leading to negligible foreign body reactions and least chances of implant extrusion. Instead of excising a large bony segment, a thin silicone sheet was interposed and then sutured ensuring preservation of mandibular height. Aggressive post-operative physiotherapy with custom made dynamic jaw exerciser was used to prevent recurrence.

  13. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  14. Self-transducing silicon nanowire electromechanical systems at room temperature.

    PubMed

    He, Rongrui; Feng, X L; Roukes, M L; Yang, Peidong

    2008-06-01

    Electronic readout of the motions of genuinely nanoscale mechanical devices at room temperature imposes an important challenge for the integration and application of nanoelectromechanical systems (NEMS). Here, we report the first experiments on piezoresistively transduced very high frequency Si nanowire (SiNW) resonators with on-chip electronic actuation at room temperature. We have demonstrated that, for very thin (~90 nm down to ~30 nm) SiNWs, their time-varying strain can be exploited for self-transducing the devices' resonant motions at frequencies as high as approximately 100 MHz. The strain of wire elongation, which is only second-order in doubly clamped structures, enables efficient displacement transducer because of the enhanced piezoresistance effect in these SiNWs. This intrinsically integrated transducer is uniquely suited for a class of very thin wires and beams where metallization and multilayer complex patterning on devices become impractical. The 30 nm thin SiNW NEMS offer exceptional mass sensitivities in the subzeptogram range. This demonstration makes it promising to advance toward NEMS sensors based on ultrathin and even molecular-scale SiNWs, and their monolithic integration with microelectronics on the same chip.

  15. Surface Characteristics of Silicon Nanowires/Nanowalls Subjected to Octadecyltrichlorosilane Deposition and n-octadecane Coating

    PubMed Central

    Yilbas, Bekir Sami; Salhi, Billel; Yousaf, Muhammad Rizwan; Al-Sulaiman, Fahad; Ali, Haider; Al-Aqeeli, Nasser

    2016-01-01

    In this study, nanowires/nanowalls were generated on a silicon wafer through a chemical etching method. Octadecyltrichlorosilane (OTS) was deposited onto the nanowire/nanowall surfaces to alter their hydrophobicity. The hydrophobic characteristics of the surfaces were further modified via a 1.5-μm-thick layer of n-octadecane coating on the OTS-deposited surface. The hydrophobic characteristics of the resulting surfaces were assessed using the sessile water droplet method. Scratch and ultraviolet (UV)-visible reflectivity tests were conducted to measure the friction coefficient and reflectivity of the surfaces. The nanowires formed were normal to the surface and uniformly extended 10.5 μm to the wafer surface. The OTS coating enhanced the hydrophobic state of the surface, and the water contact angle increased from 27° to 165°. The n-octadecane coating formed on the OTS-deposited nanowires/nanowalls altered the hydrophobic state of the surface. This study provides the first demonstration that the surface wetting characteristics change from hydrophobic to hydrophilic after melting of the n-octadecane coating. In addition, this change is reversible; i.e., the hydrophilic surface becomes hydrophobic after the n-octadecane coating solidifies at the surface, and the process again occurs in the opposite direction after the n-octadecane coating melts. PMID:27934970

  16. Effective light absorption and its enhancement factor for silicon nanowire-based solar cell.

    PubMed

    Duan, Zhiqiang; Li, Meicheng; Mwenya, Trevor; Fu, Pengfei; Li, Yingfeng; Song, Dandan

    2016-01-01

    Although nanowire (NW) antireflection coating can enhance light trapping capability, which is generally used in crystal silicon (CS) based solar cells, whether it can improve light absorption in the CS body depends on the NW geometrical shape and their geometrical parameters. In order to conveniently compare with the bare silicon, two enhancement factors E(T) and E(A) are defined and introduced to quantitatively evaluate the efficient light trapping capability of NW antireflective layer and the effective light absorption capability of CS body. Five different shapes (cylindrical, truncated conical, convex conical, conical, and concave conical) of silicon NW arrays arranged in a square are studied, and the theoretical results indicate that excellent light trapping does not mean more light can be absorbed in the CS body. The convex conical NW has the best light trapping, but the concave conical NW has the best effective light absorption. Furthermore, if the cross section of silicon NW is changed into a square, both light trapping and effective light absorption are enhanced, and the Eiffel Tower shaped NW arrays have optimal effective light absorption.

  17. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  18. Congenital cheek teratoma with temporo-mandibular joint ankylosis managed with ultra-thin silicone sheet interpositional arthroplasty

    PubMed Central

    Bhatnagar, Ankur; Verma, Vinay Kumar; Purohit, Vishal

    2013-01-01

    Primary cheek teratomas are rare with < 5 reported cases. None had associated temporo mandibular joint ankylosis (TMJA). The fundamental aim in the treatment of TMJA is the successful surgical resection of ankylotic bone, prevention of recurrence, and aesthetic improvement by ensuring functional occlusion. Early treatment is necessary to promote proper growth and function of mandible and to facilitate the positive psychological development of child. Inter-positional arthroplasty with ultra-thin silicone sheet was performed. Advantages include short operative time, less foreign material in the joint space leading to negligible foreign body reactions and least chances of implant extrusion. Instead of excising a large bony segment, a thin silicone sheet was interposed and then sutured ensuring preservation of mandibular height. Aggressive post-operative physiotherapy with custom made dynamic jaw exerciser was used to prevent recurrence. PMID:24163567

  19. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  20. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation

    PubMed Central

    Xue, Zhaoguo; Xu, Mingkun; Zhao, Yaolong; Wang, Jimmy; Jiang, Xiaofan; Yu, Linwei; Wang, Junzhuan; Xu, Jun; Shi, Yi; Chen, Kunji; Roca i Cabarrocas, Pere

    2016-01-01

    The ability to program highly modulated morphology upon silicon nanowires (SiNWs) has been fundamental to explore new phononic and electronic functionalities. We here exploit a nanoscale locomotion of metal droplets to demonstrate a large and readily controllable morphology engineering of crystalline SiNWs, from straight ones into continuous or discrete island-chains, at temperature <350 °C. This has been accomplished via a tin (Sn) droplet mediated in-plane growth where amorphous Si thin film is consumed as precursor to produce crystalline SiNWs. Thanks to a significant interface-stretching effect, a periodic Plateau-Rayleigh instability oscillation can be stimulated in the liquid Sn droplet, and the temporal oscillation of the Sn droplets is translated faithfully, via the deformable liquid/solid deposition interface, into regular spatial modulation upon the SiNWs. Combined with a unique self-alignment and positioning capability, this new strategy could enable a rational design and single-run fabrication of a wide variety of nanowire-based optoelectronic devices. PMID:27682161

  1. Horizontal silicon nanowires for surface-enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Gebavi, Hrvoje; Ristić, Davor; Baran, Nikola; Mikac, Lara; Mohaček-Grošev, Vlasta; Gotić, Marijan; Šikić, Mile; Ivanda, Mile

    2018-01-01

    The main purpose of this paper is to focus on details of the fabrication process of horizontally and vertically oriented silicon nanowires (SiNWs) substrates for the application of surface-enhanced Raman spectroscopy (SERS). The fabrication process is based on the vapor-liquid-solid method and electroless-assisted chemical etching, which, as the major benefit, resulting in the development of economical, easy-to-prepare SERS substrates. Furthermore, we examined the fabrication of Au coated Ag nanoparticles (NPs) on the SiNWs substrates in such a way as to diminish the influence of silver NPs corrosion, which, in turn, enhanced the SERS time stability, thus allowing for wider commercial applications. The substances on which high SERS sensitivity was proved are rhodamine (R6G) and 4-mercaptobenzoic acid (MBA), with the detection limits of 10-8 M and 10-6 M, respectively.

  2. Light-emitting silicon nanowires obtained by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Irrera, Alessia; Josè Lo Faro, Maria; D'Andrea, Cristiano; Alessio Leonardi, Antonio; Artoni, Pietro; Fazio, Barbara; Picca, Rosaria Anna; Cioffi, Nicola; Trusso, Sebastiano; Franzò, Giorgia; Musumeci, Paolo; Priolo, Francesco; Iacona, Fabio

    2017-04-01

    This review reports on a new process for the synthesis of Si nanowires (NWs), based on the wet etching of Si substrates assisted by a thin metal film. The approach exploits the thickness-dependent morphology of the metal layers to define uncovered nanometric Si regions, which behave as precursor sites for the formation of very dense (up to 1 × 1012 NW cm-2) arrays of long (up to several μm) and ultrathin (diameter of 5-9 nm) NWs. Intense photoluminescence (PL) peaks, characterized by maxima in the 640-750 nm range and by an external quantum efficiency of 0.5%, are observed when the Si NWs are excited at room temperature. The spectra show a blueshift if the size of the NW is decreased, in agreement with the occurrence of quantum confinement effects. The same etching process can be used to obtain ultrathin Si/Ge NWs from a Si/Ge multi-quantum well. The Si/Ge NWs exhibit—in addition to the Si-related PL peak—a signal at about 1240 nm due to Ge nanostructures. The huge surface area of the Si NW arrays can be exploited for sensing and analytical applications. The dependence of the PL intensity on the chemical composition of the surface indeed suggests interesting perspectives for the detection of gaseous molecules. Moreover, Si NWs decorated with Ag nanoparticles can be effectively employed in the interference-free laser desorption-ionization mass spectrometry of low-molecular-weight analytes. A device based on conductive Si NWs, showing intense and stable electroluminescence at an excitation voltage as low as 2 V, is also presented. The unique features of the proposed synthesis (the process is cheap, fast, maskless and compatible with Si technology) and the unusual optical properties of the material open the route towards new and unexpected perspectives for semiconductor NWs in photonics.

  3. Probing the low thermal conductivity of single-crystalline porous Si nanowires

    NASA Astrophysics Data System (ADS)

    Zhao, Yunshan; Lina Yang Collaboration; Lingyu Kong Collaboration; Baowen Li Collaboration; John T L Thong Collaboration; Kedar Hippalgaonkar Collaboration

    Pore-like structures provide a novel way to reduce the thermal conductivity of silicon nanowires, compared to both smooth-surface VLS nanowires and rough EE nanowires. Because of enhanced phonon scattering with interface and decrease in phonon transport path, the porous nanostructures show reduction in thermal conductance by few orders of magnitude. It proves to be extremely challenging to evaluate porosity accurately in an experimental manner and further understand its effect on thermal transport. In this study, we use the newly developed electron-beam based micro-electrothermal device technique to study the porosity dependent thermal conductivity of mesoporous silicon nanowires that have single-crystalline scaffolding. Based on the Casino simulation, the power absorbed by the nanowire, coming from the loss of travelling electron energy, has a linear relationship with it cross section. The relationship has been verified experimentally as well. Monte Carlo simulation is carried out to theoretically predict the thermal conductivity of silicon nanowires with a specific value of porosity. These single-crystalline porous silicon nanowires show extremely low thermal conductivity, even below the amorphous limit. These structures together with our experimental techniques provide a particularly intriguing platform to understand the phonon transport in nanoscale and aid the performance improvement in future nanowires-based devices.

  4. High-performance silicon nanowire field-effect transistor with silicided contacts

    NASA Astrophysics Data System (ADS)

    Rosaz, G.; Salem, B.; Pauc, N.; Gentile, P.; Potié, A.; Solanki, A.; Baron, T.

    2011-08-01

    Undoped silicon nanowire (Si NW) field-effect transistors (FETs) with a back-gate configuration have been fabricated and characterized. A thick (200 nm) Si3N4 layer was used as a gate insulator and a p++ silicon substrate as a back gate. Si NWs have been grown by the chemical vapour deposition method using the vapour-liquid-solid mechanism and gold as a catalyst. Metallic contacts have been deposited using Ni/Al (80 nm/120 nm) and characterized before and after an optimized annealing step at 400 °C, which resulted in a great decrease in the contact resistance due to the newly formed nickel silicide/Si interface at source and drain. These optimized devices show a good hole mobility of around 200 cm2 V-1 s-1, in the same range as the bulk material, with a good ON current density of about 28 kA cm-2. Finally, hysteretic behaviour of NW channel conductance is discussed to explain the importance of NW surface passivation.

  5. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  6. Effect of temperature on the characteristics of silicon nanowire transistor.

    PubMed

    Hashim, Yasir; Sidek, Othman

    2012-10-01

    This paper presents the temperature characteristics of silicon nanowire transistors (SiNWTs) and examines the effect of temperature on transfer characteristics, threshold voltage, I(ON)/I(OFF) ratio, drain-induced barrier lowering (DIBL), and sub-threshold swing (SS). The (MuGFET) simulation tool was used to investigate the temperature characteristics of a transistor. The findings reveal the negative effect of higher working temperature on the use of SiNWTs in electronic circuits, such as digital circuits and amplifiers circuits, because of the lower I(ON)/I(OFF) ratio, higher DIBL, and higher SS at higher temperature. Moreover, the ON state is the optimum condition for using a transistor as a temperature nano-sensor.

  7. Photoconductivity of Macroporous and Nonporous Silicon with Ultrathin Oxide Layers

    NASA Astrophysics Data System (ADS)

    Konin, K. P.; Goltvyansky, Yu. V.; Karachevtseva, L. A.; Karas, M. I.; Morozovs'ka, D. V.

    2018-06-01

    The photoconductivity of macroporous silicon with ultrathin oxide layers of 2.7-30 nm in thickness at short-wave optical excitation was studied. The following feature was revealed: a nonmonotonic change in the photoconductivity as a function of the oxide thickness. At a minimum thickness, the photoconductivity is negative; in the interval 6.8-15 nm, it is very much suppressed; at 15-30 nm, it is positive. Suppression of photoconductivity over a wide thickness range indicates an abnormally high concentration of traps and capture centers for charge carriers of both signs. Such a change in the photoconductivity corresponds to the known results on the continuous morphological rearrangement of the oxide in the thickness range from 6-7 nm to 12-15 nm from the coesite-like (4-membered SiO4 tetrahedra rings) to the tridymite-like (6-membered SiO4 tetrahedra rings). The suppression of photoconductivity in the intermediate range probably demonstrates the collective, antisynergetic action of these coexisting oxide forms on the nonequilibrium charge carriers. These coexisting oxide forms manifest themselves as an unusual collective defect.

  8. Surface effects on the thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  9. Ultrathin Pt xSn 1–x Nanowires for Methanol and Ethanol Oxidation Reactions: Tuning Performance by Varying Chemical Composition

    DOE PAGES

    Li, Luyao; Liu, Haiqing; Qin, Chao; ...

    2018-02-28

    Pt-based alloys denote promising catalysts for the methanol oxidation reaction (MOR) and the ethanol oxidation reaction (EOR), due to their enhanced activity toward alcohol-oxidation reactions and reduced cost as compared with Pt alone. Among all of these binary systems, PtSn has been reported to exhibit superior methanol/ethanol oxidation activity. In this paper, we deliberatively tailor chemical composition, reduce size, and optimize morphology of the catalyst in an effort to understand structure–property correlations that can be used to improve upon the electrocatalytic activity of these systems. Previous work performed by our group suggested that Pt-based catalysts, possessing an ultrathin one-dimensional (1D)more » structure, dramatically promote both cathodic and anodic reactions with respect to their zero-dimensional (0D) counterparts. Herein, a novel set of ultrathin binary Pt–Sn 1D nanowire (NW) catalysts with rationally controlled chemical compositions, i.e., Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3, has been synthesized using a facile, room-temperature, wet-solution-based method. The crystallinity and chemical composition of these as-prepared samples were initially characterized using XRD, XPS, and EDX. Results revealed that this synthetic protocol could successfully generate PtSn alloys with purposely tunable chemical compositions. TEM and HRTEM verified the structural integrity of our ultrathin 1D NW morphology for our Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3 samples. The effects of varying Sn content within these alloy samples toward the electro-oxidation reaction of methanol and ethanol were probed using cyclic voltammetry (CV) in acidic media. Finally, within this series, we find that the optimized chemical composition for both the MOR and the EOR is Pt 7Sn 3.« less

  10. Ultrathin Pt xSn 1–x Nanowires for Methanol and Ethanol Oxidation Reactions: Tuning Performance by Varying Chemical Composition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Luyao; Liu, Haiqing; Qin, Chao

    Pt-based alloys denote promising catalysts for the methanol oxidation reaction (MOR) and the ethanol oxidation reaction (EOR), due to their enhanced activity toward alcohol-oxidation reactions and reduced cost as compared with Pt alone. Among all of these binary systems, PtSn has been reported to exhibit superior methanol/ethanol oxidation activity. In this paper, we deliberatively tailor chemical composition, reduce size, and optimize morphology of the catalyst in an effort to understand structure–property correlations that can be used to improve upon the electrocatalytic activity of these systems. Previous work performed by our group suggested that Pt-based catalysts, possessing an ultrathin one-dimensional (1D)more » structure, dramatically promote both cathodic and anodic reactions with respect to their zero-dimensional (0D) counterparts. Herein, a novel set of ultrathin binary Pt–Sn 1D nanowire (NW) catalysts with rationally controlled chemical compositions, i.e., Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3, has been synthesized using a facile, room-temperature, wet-solution-based method. The crystallinity and chemical composition of these as-prepared samples were initially characterized using XRD, XPS, and EDX. Results revealed that this synthetic protocol could successfully generate PtSn alloys with purposely tunable chemical compositions. TEM and HRTEM verified the structural integrity of our ultrathin 1D NW morphology for our Pt 9Sn 1, Pt 8Sn 2, and Pt 7Sn 3 samples. The effects of varying Sn content within these alloy samples toward the electro-oxidation reaction of methanol and ethanol were probed using cyclic voltammetry (CV) in acidic media. Finally, within this series, we find that the optimized chemical composition for both the MOR and the EOR is Pt 7Sn 3.« less

  11. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires

    PubMed Central

    2012-01-01

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet–visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties. PMID:22799265

  12. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires.

    PubMed

    Nafie, Nesma; Lachiheb, Manel Abouda; Bouaicha, Mongi

    2012-07-16

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet-visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties.

  13. Organically Modified Silicas on Metal Nanowires

    PubMed Central

    2010-01-01

    Organically modified silica coatings were prepared on metal nanowires using a variety of silicon alkoxides with different functional groups (i.e., carboxyl groups, polyethylene oxide, cyano, dihydroimidazole, and hexyl linkers). Organically modified silicas were deposited onto the surface of 6-μm-long, ∼300-nm-wide, cylindrical metal nanowires in suspension by the hydrolysis and polycondensation of silicon alkoxides. Syntheses were performed at several ratios of tetraethoxysilane to an organically modified silicon alkoxide to incorporate desired functional groups into thin organosilica shells on the nanowires. These coatings were characterized using transmission electron microscopy, X-ray photoelectron spectroscopy, and infrared spectroscopy. All of the organically modified silicas prepared here were sufficiently porous to allow the removal of the metal nanowire cores by acid etching to form organically modified silica nanotubes. Additional functionality provided to the modified silicas as compared to unmodified silica prepared using only tetraethoxysilane precursors was demonstrated by chromate adsorption on imidazole-containing silicas and resistance to protein adsorption on polyethyleneoxide-containing silicas. Organically modified silica coatings on nanowires and other nano- and microparticles have potential application in fields such as biosensing or nanoscale therapeutics due to the enhanced properties of the silica coatings, for example, the prevention of biofouling. PMID:20715881

  14. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    PubMed Central

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  15. Ultrathin CsPbX3 Nanowire Arrays with Strong Emission Anisotropy.

    PubMed

    Gao, Yan; Zhao, Liyun; Shang, Qiuyu; Zhong, Yangguang; Liu, Zhen; Chen, Jie; Zhang, Zhepeng; Shi, Jia; Du, Wenna; Zhang, Yanfeng; Chen, Shulin; Gao, Peng; Liu, Xinfeng; Wang, Xina; Zhang, Qing

    2018-06-19

    1D nanowires of all-inorganic lead halide perovskites represent a good architecture for the development of polarization-sensitive optoelectronic devices due to their high absorption efficient, emission yield, and dielectric constants. However, among as-fabricated perovskite nanowires with the lateral dimensions of hundreds nanometers so far, the optical anisotropy is hindered and rarely explored owing to the invalidating of electrostatic dielectric mismatch in the physical dimensions. Here, well-aligned CsPbBr 3 and CsPbCl 3 nanowires with thickness T down to 15 and 7 nm, respectively, are synthesized using a vapor phase van der Waals epitaxial method. Strong emission anisotropy with polarization ratio up to ≈0.78 is demonstrated in the nanowires with T < 40 nm due to the electrostatic dielectric confinement. With the increasing of thickness, the polarization ratio remarkably reduces monotonously to ≈0.17 until T ≈140 nm; and further oscillates in a small amplitude owing to the wave characteristic of light. These findings not only represent a demonstration of perovskite-based polarization-sensitive light sources, but also advance fundamental understanding of their polarization properties of perovskite nanowires. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Advances in nanowire bioelectronics

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Dai, Xiaochuan; Lieber, Charles M.

    2017-01-01

    Semiconductor nanowires represent powerful building blocks for next generation bioelectronics given their attractive properties, including nanometer-scale footprint comparable to subcellular structures and bio-molecules, configurable in nonstandard device geometries readily interfaced with biological systems, high surface-to-volume ratios, fast signal responses, and minimum consumption of energy. In this review article, we summarize recent progress in the field of nanowire bioelectronics with a focus primarily on silicon nanowire field-effect transistor biosensors. First, the synthesis and assembly of semiconductor nanowires will be described, including the basics of nanowire FETs crucial to their configuration as biosensors. Second, we will introduce and review recent results in nanowire bioelectronics for biomedical applications ranging from label-free sensing of biomolecules, to extracellular and intracellular electrophysiological recording.

  17. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO2/Si interfaces with low defect densities

    NASA Astrophysics Data System (ADS)

    Stegemann, Bert; Gad, Karim M.; Balamou, Patrice; Sixtensson, Daniel; Vössing, Daniel; Kasemann, Martin; Angermann, Heike

    2017-02-01

    Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO2/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO2/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO2/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO2/Si interfaces have been shown to generate less interface defect states.

  18. Chemical Strategies for Enhancing Activity and Charge Transfer in Ultrathin Pt Nanowires Immobilized onto Nanotube Supports for the Oxygen Reduction Reaction

    DOE PAGES

    Li, Luyao; Liu, Haiqing; Wang, Lei; ...

    2016-12-12

    Multiwalled carbon nanotubes (MWNTs) represent a promising support medium for electrocatalysts, especially Pt nanoparticles (NPs). The advantages of using MWNTs include their large surface area, high conductivity, as well as long-term stability. Surface functionalization of MWNTs with various terminal groups, such as -COOH, -SH, and -NH 2, allows for rational electronic tuning of catalyst–support interactions. But, several issues still need to be addressed for such systems. Over the course of an electrochemical run, catalyst durability can decrease, due in part to metal NP dissolution, a process facilitated by the inherently high surface defect concentration within the support. Second, the covalentmore » functionalization treatment of MWNTs adopted by most groups tends to lead to a loss of structural integrity of the nanotubes (NTs). In order to mitigate for all of these issues, we have utilized two different attachment approaches (i.e., covalent versus noncovalent) to functionalize the outer walls of pristine MWNTs and compared the catalytic performance of as-deposited ultrathin (<2 nm) 1D Pt nanowires with that of conventional Pt NPs toward the oxygen reduction reaction (ORR). Our results demonstrated that the electrochemical activity of Pt nanostructures immobilized onto functionalized carbon nanotube (CNT) supports could be dramatically improved by using ultrathin Pt nanowires (instead of NPs) with noncovalently (as opposed to covalently) functionalized CNT supports. Spectroscopic evidence corroborated the definitive presence of charge transfer between the metal catalysts and the underlying NT support, whose direction and magnitude are a direct function of (i) the terminal chemistry as well as (ii) the attachment methodology, both of which simultaneously impact upon the observed electrocatalytic performance. Specifically, the use of a noncovalent π–π stacking method coupled with a -COOH terminal moiety yielded the highest performance results, reported

  19. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  20. Reversible Strain-Induced Electron-Hole Recombination in Silicon Nanowires Observed with Femtosecond Pump-Probe Microscopy

    DTIC Science & Technology

    2014-01-01

    devices with indirect-bandgap materials such as silicon . KEYWORDS: Ultrafast imaging , strained nanomaterials, spectroscopy Lattice strain produced by...photogenerated charge cloud as a result of carrier diffusion . Normalized carrier profiles, generated by integrating the images along the direction normal to the...To test this idea, Figure 2. Charge carrier diffusion in a Si NW locally strained by a bending deformation (A) SEM image of a bent Si nanowire ∼100

  1. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    PubMed

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  2. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    PubMed

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along <110> crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in <111> directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  3. Electrical characterization of strained and unstrained silicon nanowires with nickel silicide contacts.

    PubMed

    Habicht, S; Zhao, Q T; Feste, S F; Knoll, L; Trellenkamp, S; Ghyselen, B; Mantl, S

    2010-03-12

    We present electrical characterization of nickel monosilicide (NiSi) contacts formed on strained and unstrained silicon nanowires (NWs), which were fabricated by top-down processing of initially As(+) implanted and activated strained and unstrained silicon-on-insulator (SOI) substrates. The resistivity of doped Si NWs and the contact resistivity of the NiSi to Si NW contacts are studied as functions of the As(+) ion implantation dose and the cross-sectional area of the wires. Strained silicon NWs show lower resistivity for all doping concentrations due to their enhanced electron mobility compared to the unstrained case. An increase in resistivity with decreasing cross section of the NWs was observed for all implantation doses. This is ascribed to the occurrence of dopant deactivation. Comparing the silicidation of uniaxially tensile strained and unstrained Si NWs shows no difference in silicidation speed and in contact resistivity between NiSi/Si NW. Contact resistivities as low as 1.2 x 10(-8) Omega cm(-2) were obtained for NiSi contacts to both strained and unstrained Si NWs. Compared to planar contacts, the NiSi/Si NW contact resistivity is two orders of magnitude lower.

  4. Shear-driven phase transformation in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Vincent, L.; Djomani, D.; Fakfakh, M.; Renard, C.; Belier, B.; Bouchier, D.; Patriarche, G.

    2018-03-01

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  5. Shear-driven phase transformation in silicon nanowires.

    PubMed

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  6. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    PubMed

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  7. Ultra-localized single cell electroporation using silicon nanowires.

    PubMed

    Jokilaakso, Nima; Salm, Eric; Chen, Aaron; Millet, Larry; Guevara, Carlos Duarte; Dorvel, Brian; Reddy, Bobby; Karlstrom, Amelie Eriksson; Chen, Yu; Ji, Hongmiao; Chen, Yu; Sooryakumar, Ratnasingham; Bashir, Rashid

    2013-02-07

    Analysis of cell-to-cell variation can further the understanding of intracellular processes and the role of individual cell function within a larger cell population. The ability to precisely lyse single cells can be used to release cellular components to resolve cellular heterogeneity that might be obscured when whole populations are examined. We report a method to position and lyse individual cells on silicon nanowire and nanoribbon biological field effect transistors. In this study, HT-29 cancer cells were positioned on top of transistors by manipulating magnetic beads using external magnetic fields. Ultra-rapid cell lysis was subsequently performed by applying 600-900 mV(pp) at 10 MHz for as little as 2 ms across the transistor channel and the bulk substrate. We show that the fringing electric field at the device surface disrupts the cell membrane, leading to lysis from irreversible electroporation. This methodology allows rapid and simple single cell lysis and analysis with potential applications in medical diagnostics, proteome analysis and developmental biology studies.

  8. Fabrication and electrical characterization of silicon nanowires based resistors

    NASA Astrophysics Data System (ADS)

    Ni, L.; Demami, F.; Rogel, R.; Salaün, A. C.; Pichon, L.

    2009-11-01

    Silicon nanowires (SiNWs) are synthesized via the Vapor-Liquid-Solid (VLS) mechanism using gold (Au) as metal catalyst and silane (SiH4) as precursor gas. Au nanoparticles are employed as liquid droplets catalysis during the SiNWs growth performed in a hot wall LPCVD reactor at 480°C and 40 Pa. SiNWs local synthesis at micron scale is demonstrated using classical optical photolithography process. SiNWs grow with high density anchored at the dedicated catalyst islands. This resulting network is used to interconnect two heavily doped polysilicon interdigitated electrodes leading to the formation of electrical resistors in a coplanar structure. Current-voltage (I-V) characteristics highlight a symmetric shape. The temperature dependence of the electrical resistance is activated, with activation energy of 0.47 eV at temperatures greater than 300K.

  9. Processing-Induced Electrically Active Defects in Black Silicon Nanowire Devices.

    PubMed

    Carapezzi, Stefania; Castaldini, Antonio; Mancarella, Fulvio; Poggi, Antonella; Cavallini, Anna

    2016-04-27

    Silicon nanowires (Si NWs) are widely investigated nowadays for implementation in advanced energy conversion and storage devices, as well as many other possible applications. Black silicon (BSi)-NWs are dry etched NWs that merge the advantages related to low-dimensionality with the special industrial appeal connected to deep reactive ion etching (RIE). In fact, RIE is a well established technique in microelectronics manufacturing. However, RIE processing could affect the electrical properties of BSi-NWs by introducing deep states into their forbidden gap. This work applies deep level transient spectroscopy (DLTS) to identify electrically active deep levels and the associated defects in dry etched Si NW arrays. Besides, the successful fitting of DLTS spectra of BSi-NWs-based Schottky barrier diodes is an experimental confirmation that the same theoretical framework of dynamic electronic behavior of deep levels applies in bulk as well as in low dimensional structures like NWs, when quantum confinement conditions do not occur. This has been validated for deep levels associated with simple pointlike defects as well as for deep levels associated with defects with richer structures, whose dynamic electronic behavior implies a more complex picture.

  10. Organophosphonate-based PNA-functionalization of silicon nanowires for label-free DNA detection.

    PubMed

    Cattani-Scholz, Anna; Pedone, Daniel; Dubey, Manish; Neppl, Stefan; Nickel, Bert; Feulner, Peter; Schwartz, Jeffrey; Abstreiter, Gerhard; Tornow, Marc

    2008-08-01

    We investigated hydroxyalkylphosphonate monolayers as a novel platform for the biofunctionalization of silicon-based field effect sensor devices. This included a detailed study of the thin film properties of organophosphonate films on Si substrates using several surface analysis techniques, including AFM, ellipsometry, contact angle, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity, and current-voltage characteristics in electrolyte solution. Our results indicate the formation of a dense monolayer on the native silicon oxide that has excellent passivation properties. The monolayer was biofunctionalized with 12 mer peptide nucleic acid (PNA) receptor molecules in a two-step procedure using the heterobifunctional linker, 3-maleimidopropionic-acid-N-hydroxysuccinimidester. Successful surface modification with the probe PNA was verified by XPS and contact angle measurements, and hybridization with DNA was determined by fluorescence measurements. Finally, the PNA functionalization protocol was translated to 2 microm long, 100 nm wide Si nanowire field effect devices, which were successfully used for label-free DNA/PNA hybridization detection.

  11. Low temperature solution synthesis of silicon, germanium and Si-Ge axial heterostructures in nanorod and nanowire form.

    PubMed

    Flynn, G; Stokes, K; Ryan, K M

    2018-05-31

    Herein, we report the formation of silicon, germanium and more complex Si-SixGe1-x and Si-Ge axial 1D heterostructures, at low temperatures in solution. These nanorods/nanowires are grown using phenylated compounds of silicon and germanium as reagents, with precursor decomposition achieved at substantially reduced temperatures (200 °C for single crystal nanostructures and 300 °C for heterostructures), through the addition of a reducing agent. This low energy route for the production of these functional nanostructures as a wet chemical in high yield is attractive to meet the processing needs for next generation photovoltaics, batteries and electronics.

  12. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    NASA Astrophysics Data System (ADS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  13. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  14. Fabrication and Gas-Sensing Properties of Ni-Silicide/Si Nanowires.

    PubMed

    Hsu, Hsun-Feng; Chen, Chun-An; Liu, Shang-Wu; Tang, Chun-Kai

    2017-12-01

    Ni-silicide/Si nanowires were fabricated by atomic force microscope nano-oxidation on silicon-on-insulator substrates, selective wet etching, and reactive deposition epitaxy. Ni-silicide nanocrystal-modified Si nanowire and Ni-silicide/Si heterostructure multi-stacked nanowire were formed by low- and high-coverage depositions of Ni, respectively. The Ni-silicide/Si Schottky junction and Ni-silicide region were attributed high- and low-resistance parts of nanowire, respectively, causing the resistance of the Ni-silicide nanocrystal-modified Si nanowire and the Ni-silicide/Si heterostructure multi-stacked nanowire to be a little higher and much lower than that of Si nanowire. An O 2 sensing device was formed from a nanowire that was mounted on Pt electrodes. When the nanowires exposed to O 2 , the increase in current in the Ni-silicide/Si heterostructure multi-stacked nanowire was much larger than that in the other nanowires. The Ni-silicide nanocrystal-modified Si nanowire device had the highest sensitivity. The phenomenon can be explained by the formation of a Schottky junction at the Ni-silicide/Si interface in these two types of Ni-Silicide/Si nanowire and the formation of a hole channel at the silicon nanowire/native oxide interface after exposing the nanowires to O 2 .

  15. Generation of Reactive Oxygen Species from Silicon Nanowires

    PubMed Central

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor–liquid–solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals. PMID:25452695

  16. Generation of reactive oxygen species from silicon nanowires.

    PubMed

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  17. Silicon nanowires reliability and robustness investigation using AFM-based techniques

    NASA Astrophysics Data System (ADS)

    Bieniek, Tomasz; Janczyk, Grzegorz; Janus, Paweł; Grabiec, Piotr; Nieprzecki, Marek; Wielgoszewski, Grzegorz; Moczała, Magdalena; Gotszalk, Teodor; Buitrago, Elizabeth; Badia, Montserrat F.; Ionescu, Adrian M.

    2013-07-01

    Silicon nanowires (SiNWs) have undergone intensive research for their application in novel integrated systems such as field effect transistor (FET) biosensors and mass sensing resonators profiting from large surface-to-volume ratios (nano dimensions). Such devices have been shown to have the potential for outstanding performances in terms of high sensitivity, selectivity through surface modification and unprecedented structural characteristics. This paper presents the results of mechanical characterization done for various types of suspended SiNWs arranged in a 3D array. The characterization has been performed using techniques based on atomic force microscopy (AFM). This investigation is a necessary prerequisite for the reliable and robust design of any biosensing system. This paper also describes the applied investigation methodology and reports measurement results aggregated during series of AFM-based tests.

  18. Demonstration of highly efficient forward stimulated Brillouin scattering in partly suspended silicon nanowire racetrack resonators

    NASA Astrophysics Data System (ADS)

    Zhang, Ruiwen; Sun, Junqiang; Chen, Guodong; Cheng, Ming; Jiang, Jialin

    2017-07-01

    We demonstrate the forward stimulated Brillouin scattering (FSBS) in a partly suspended silicon nanowire racetrack resonator. To realize the tight confinement of the transverse acoustic modes in the nanoscale silicon core, the racetrack resonator is supported by the tiny pillar. The Brillouin amplification of 2.25 dB is achieved with the resonator radius of 100 μm under a low-power pump laser of 8 mW. The influences of the waveguide width and the top width of the tiny pillar on the Brillouin frequency shift and Brillouin gain are presented and analyzed. The Brillouin frequency shift is conveniently manipulated by the changes in waveguide widths. Our proposed approach furnishes an alternative towards harnessing FSBS in integrated photonic circuits.

  19. Lateral heat flow distribution and defect-dependent thermal resistance in an individual silicon nanowire.

    PubMed

    Lee, Seung-Yong; Lee, Won-Yong; Thong, John T L; Kim, Gil-Sung; Lee, Sang-Kwon

    2016-03-18

    Studies aiming to significantly improve thermal properties, such as figure-of-merit, of silicon nanowires (SiNW) have focused on diameter reduction and surface or interface roughness control. However, the mechanism underlying thermal conductivity enhancement of roughness controlled NWs remains unclear. Here, we report a significant influence of stacking faults (SFs) on the lateral thermal conductivity of a single SiNW, using a combination of newly developed in situ spatially-resolved thermal resistance experiments and high-resolution transmission electron microscopy measurements. We used as-grown SiNWs tapered along the growth direction with progressively lower roughness and SFs density. The results clearly confirmed that both surface roughness and twins or SFs densities suppress the thermal conductivity of an individual SiNW. The results and measurement techniques presented here hold great potential for inspecting minute changes in thermal resistance along an individual SiNW, caused by induced SFs on the nanostructure, and for improving one-dimensional nanowire-based thermoelectric device performance.

  20. Exact comprehensive equations for the photon management properties of silicon nanowire

    PubMed Central

    Li, Yingfeng; Li, Meicheng; Li, Ruike; Fu, Pengfei; Wang, Tai; Luo, Younan; Mbengue, Joseph Michel; Trevor, Mwenya

    2016-01-01

    Unique photon management (PM) properties of silicon nanowire (SiNW) make it an attractive building block for a host of nanowire photonic devices including photodetectors, chemical and gas sensors, waveguides, optical switches, solar cells, and lasers. However, the lack of efficient equations for the quantitative estimation of the SiNW’s PM properties limits the rational design of such devices. Herein, we establish comprehensive equations to evaluate several important performance features for the PM properties of SiNW, based on theoretical simulations. Firstly, the relationships between the resonant wavelengths (RW), where SiNW can harvest light most effectively, and the size of SiNW are formulized. Then, equations for the light-harvesting efficiency at RW, which determines the single-frequency performance limit of SiNW-based photonic devices, are established. Finally, equations for the light-harvesting efficiency of SiNW in full-spectrum, which are of great significance in photovoltaics, are established. Furthermore, using these equations, we have derived four extra formulas to estimate the optimal size of SiNW in light-harvesting. These equations can reproduce majority of the reported experimental and theoretical results with only ~5% error deviations. Our study fills up a gap in quantitatively predicting the SiNW’s PM properties, which will contribute significantly to its practical applications. PMID:27103087

  1. TOPICAL REVIEW: Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems

    NASA Astrophysics Data System (ADS)

    Stoldt, Conrad R.; Bright, Victor M.

    2006-05-01

    A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film.

  2. Low-Temperature Molten-Salt Production of Silicon Nanowires by the Electrochemical Reduction of CaSiO3.

    PubMed

    Dong, Yifan; Slade, Tyler; Stolt, Matthew J; Li, Linsen; Girard, Steven N; Mai, Liqiang; Jin, Song

    2017-11-13

    Silicon is an extremely important technological material, but its current industrial production by the carbothermic reduction of SiO 2 is energy intensive and generates CO 2 emissions. Herein, we developed a more sustainable method to produce silicon nanowires (Si NWs) in bulk quantities through the direct electrochemical reduction of CaSiO 3 , an abundant and inexpensive Si source soluble in molten salts, at a low temperature of 650 °C by using low-melting-point ternary molten salts CaCl 2 -MgCl 2 -NaCl, which still retains high CaSiO 3 solubility, and a supporting electrolyte of CaO, which facilitates the transport of O 2- anions, drastically improves the reaction kinetics, and enables the electrolysis at low temperatures. The Si nanowire product can be used as high-capacity Li-ion battery anode materials with excellent cycling performance. This environmentally friendly strategy for the practical production of Si at lower temperatures can be applied to other molten salt systems and is also promising for waste glass and coal ash recycling. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Fullerene C60 coated silicon nanowires as anode materials for lithium secondary batteries.

    PubMed

    Arie, Arenst Andreas; Lee, Joong Kee

    2012-04-01

    A Fullerene C60 film was introduced as a coating layer for silicon nanowires (Si NWs) by a plasma assisted thermal evaporation technique. The morphology and structural characteristics of the materials were studied by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). SEM observations showed that the shape of the nanowire structure was maintained after the C60 coating and the XPS analysis confirmed the presence of the carbon coating layer. The electrochemical characteristics of C60 coated Si NWs as anode materials were examined by charge-discharge tests and electrochemical impedance measurements. With the C60 film coating, Si NW electrodes exhibited a higher initial coulombic efficiency of 77% and a higher specific capacity of 2020 mA h g(-1) after the 30th cycle at a current density of 100 microA cm(-2) with cut-off voltage between 0-1.5 V. These improved electrochemical characteristics are attributed to the presence of the C60 coating layer which suppresses side reaction with the electrolyte and maintains the structural integrity of the Si NW electrodes during cycle tests.

  4. Intermediate Bandgap Solar Cells From Nanostructured Silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, Marcie

    2014-10-30

    This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.

  5. Directed assembly of gold nanowires on silicon via reorganization and simultaneous fusion of randomly distributed gold nanoparticles.

    PubMed

    Reinhardt, Hendrik M; Bücker, Kerstin; Hampp, Norbert A

    2015-05-04

    Laser-induced reorganization and simultaneous fusion of nanoparticles is introduced as a versatile concept for pattern formation on surfaces. The process takes advantage of a phenomenon called laser-induced periodic surface structures (LIPSS) which originates from periodically alternating photonic fringe patterns in the near-field of solids. Associated photonic fringe patterns are shown to reorganize randomly distributed gold nanoparticles on a silicon wafer into periodic gold nanostructures. Concomitant melting due to optical heating facilitates the formation of continuous structures such as periodic gold nanowire arrays. Generated patterns can be converted into secondary structures using directed assembly or self-organization. This includes for example the rotation of gold nanowire arrays by arbitrary angles or their fragmentation into arrays of aligned gold nanoparticles.

  6. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  7. Statistical variability study of random dopant fluctuation on gate-all-around inversion-mode silicon nanowire field-effect transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Jun-Sik; Rim, Taiuk; Kim, Jungsik; Kim, Kihyun; Baek, Chang-Ki; Jeong, Yoon-Ha

    2015-03-01

    Random dopant fluctuation effects of gate-all-around inversion-mode silicon nanowire field-effect transistors (FETs) with different diameters and extension lengths are investigated. The nanowire FETs with smaller diameter and longer extension length reduce average values and variations of subthreshold swing and drain-induced barrier lowering, thus improving short channel immunity. Relative variations of the drain currents increase as the diameter decreases because of decreased current drivability from narrower channel cross-sections. Absolute variations of the drain currents decrease critically as the extension length increases due to decreasing the number of arsenic dopants penetrating into the channel region. To understand variability origins of the drain currents, variations of source/drain series resistance and low-field mobility are investigated. All these two parameters affect the variations of the drain currents concurrently. The nanowire FETs having extension lengths sufficient to prevent dopant penetration into the channel regions and maintaining relatively large cross-sections are suggested to achieve suitable short channel immunity and small variations of the drain currents.

  8. A high performance three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection.

    PubMed

    Xu, Chenlong; Song, Zhiqian; Xiang, Qun; Jin, Jian; Feng, Xinjian

    2016-04-14

    We describe here a high performance oxygen-rich three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection. We demonstrate that its linear detection upper limit is 30 mM, more than 15 times higher than that can be obtained on the normal enzyme-electrode. Notably, the three-phase enzyme electrode output is insensitive to the significant oxygen level fluctuation in analyte solution.

  9. Perovskite/silicon-based heterojunction tandem solar cells with 14.8% conversion efficiency via adopting ultrathin Au contact

    NASA Astrophysics Data System (ADS)

    Fan, Lin; Wang, Fengyou; Liang, Junhui; Yao, Xin; Fang, Jia; Zhang, Dekun; Wei, Changchun; Zhao, Ying; Zhang, Xiaodan

    2017-01-01

    A rising candidate for upgrading the performance of an established narrow-bandgap solar technology without adding much cost is to construct the tandem solar cells from a crystalline silicon bottom cell and a high open-circuit voltage top cell. Here, we present a four-terminal tandem solar cell architecture consisting of a self-filtered planar architecture perovskite top cell and a silicon heterojunction bottom cell. A transparent ultrathin gold electrode has been used in perovskite solar cells to achieve a semi-transparent device. The transparent ultrathin gold contact could provide a better electrical conductivity and optical reflectance-scattering to maintain the performance of the top cell compared with the traditional metal oxide contact. The four-terminal tandem solar cell yields an efficiency of 14.8%, with contributions of the top (8.98%) and the bottom cell (5.82%), respectively. We also point out that in terms of optical losses, the intermediate contact of self-filtered tandem architecture is the uppermost problem, which has been addressed in this communication, and the results show that reducing the parasitic light absorption and improving the long wavelength range transmittance without scarifying the electrical properties of the intermediate hole contact layer are the key issues towards further improving the efficiency of this architecture device. Project supported by the International Cooperation Projects of the Ministry of Science and Technology (No. 2014DFE60170), the National Natural Science Foundation of China (Nos. 61474065, 61674084), the Tianjin Research Key Program of Application Foundation and Advanced Technology (No. 15JCZDJC31300), the Key Project in the Science & Technology Pillar Program of Jiangsu Province (No. BE2014147-3), and the 111 Project (No. B16027).

  10. Magneto-optical Kerr rotation and color in ultrathin lossy dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Wang, Hai; Qu, Xin; Zhou, Yun song; Li, Li na

    2017-05-01

    Ultra-thin optical coating comprising nanometer-thick silicon absorbing films on iron substrates can display strong optical interference effects. A resonance peak of ∼1.6^\\circ longitudinal Kerr rotation with the silicon thickness of ∼47 \\text{nm} was found at the wavelength of 660 nm. The optical properties of silicon thin films were well controlled by the sputtering power. Non-iridescence color exhibition and Kerr rotation enhancement can be manipulated and encoded individually.

  11. Ultrathin Nanocrystalline Diamond Films with Silicon Vacancy Color Centers via Seeding by 2 nm Detonation Nanodiamonds.

    PubMed

    Stehlik, Stepan; Varga, Marian; Stenclova, Pavla; Ondic, Lukas; Ledinsky, Martin; Pangrac, Jiri; Vanek, Ondrej; Lipov, Jan; Kromka, Alexander; Rezek, Bohuslav

    2017-11-08

    Color centers in diamonds have shown excellent potential for applications in quantum information processing, photonics, and biology. Here we report chemical vapor deposition (CVD) growth of nanocrystalline diamond (NCD) films as thin as 5-6 nm with photoluminescence (PL) from silicon-vacancy (SiV) centers at 739 nm. Instead of conventional 4-6 nm detonation nanodiamonds (DNDs), we prepared and employed hydrogenated 2 nm DNDs (zeta potential = +36 mV) to form extremely dense (∼1.3 × 10 13 cm -2 ), thin (2 ± 1 nm), and smooth (RMS roughness < 0.8 nm) nucleation layers on an Si/SiO x substrate, which enabled the CVD growth of such ultrathin NCD films in two different and complementary microwave (MW) CVD systems: (i) focused MW plasma with an ellipsoidal cavity resonator and (ii) pulsed MW plasma with a linear antenna arrangement. Analytical ultracentrifuge, infrared and Raman spectroscopies, atomic force microscopy, and scanning electron microscopy are used for detailed characterization of the 2 nm H-DNDs and the nucleation layer as well as the ultrathin NCD films. We also demonstrate on/off switching of the SiV center PL in the NCD films thinner than 10 nm, which is achieved by changing their surface chemistry.

  12. Tailoring the composition of ultrathin, ternary alloy PtRuFe nanowires for the methanol oxidation reaction and formic acid oxidation reaction

    DOE PAGES

    Scofield, Megan E.; Koenigsmann, Christopher; Wang, Lei; ...

    2014-11-25

    In the search for alternatives to conventional Pt electrocatalysts, we have synthesized ultrathin, ternary PtRuFe nanowires (NW), possessing different chemical compositions in order to probe their CO tolerance as well as electrochemical activity as a function of composition for both (i) the methanol oxidation reaction (MOR) and (ii) the formic acid oxidation reaction (FAOR). As-prepared ‘multifunctional’ ternary NW catalysts exhibited both higher MOR and FAOR activity as compared with binary Pt₇Ru₃ NW, monometallic Pt NW, and commercial catalyst control samples. In terms of synthetic novelty, we utilized a sustainably mild, ambient wet-synthesis method never previously applied to the fabrication ofmore » crystalline, pure ternary systems in order to fabricate ultrathin, homogeneous alloy PtRuFe NWs with a range of controlled compositions. Thus, these NWs were subsequently characterized using a suite of techniques including XRD, TEM, SAED, and EDAX in order to verify not only the incorporation of Ru and Fe into the Pt lattice but also their chemical homogeneity, morphology, as well as physical structure and integrity. Lastly, these NWs were electrochemically tested in order to deduce the appropriateness of conventional explanations such as (i) the bi-functional mechanism as well as (ii) the ligand effect to account for our MOR and FAOR reaction data. Specifically, methanol oxidation appears to be predominantly influenced by the Ru content, whereas formic acid oxidation is primarily impacted by the corresponding Fe content within the ternary metal alloy catalyst itself.« less

  13. Ultrashort channel silicon nanowire transistors with nickel silicide source/drain contacts.

    PubMed

    Tang, Wei; Dayeh, Shadi A; Picraux, S Tom; Huang, Jian Yu; Tu, King-Ning

    2012-08-08

    We demonstrate the shortest transistor channel length (17 nm) fabricated on a vapor-liquid-solid (VLS) grown silicon nanowire (NW) by a controlled reaction with Ni leads on an in situ transmission electron microscope (TEM) heating stage at a moderate temperature of 400 °C. NiSi(2) is the leading phase, and the silicide-silicon interface is an atomically sharp type-A interface. At such channel lengths, high maximum on-currents of 890 (μA/μm) and a maximum transconductance of 430 (μS/μm) were obtained, which pushes forward the performance of bottom-up Si NW Schottky barrier field-effect transistors (SB-FETs). Through accurate control over the silicidation reaction, we provide a systematic study of channel length dependent carrier transport in a large number of SB-FETs with channel lengths in the range of 17 nm to 3.6 μm. Our device results corroborate with our transport simulations and reveal a characteristic type of short channel effects in SB-FETs, both in on- and off-state, which is different from that in conventional MOSFETs, and that limits transport parameter extraction from SB-FETs using conventional field-effect transconductance measurements.

  14. Electrical characteristics of silicon nanowire CMOS inverters under illumination.

    PubMed

    Yoo, Jeuk; Kim, Yoonjoong; Lim, Doohyeok; Kim, Sangsig

    2018-02-05

    In this study, we examine the electrical characteristics of complementary metal-oxide-semiconductor (CMOS) inverters with silicon nanowire (SiNW) channels on transparent substrates under illumination. The electrical characteristics vary with the wavelength and power of light due to the variation in the generation rates of the electric-hole pairs. Compared to conventional optoelectronic devices that sense the on/off states by the variation in the current, our device achieves the sensing of the on/off states with more precision by using the voltage variation induced by the wavelength or intensity of light. The device was fabricated on transparent substrates to maximize the light absorption using conventional CMOS technologies. The key difference between our SiNW CMOS inverters and conventional optoelectronic devices is the ability to control the flow of charge carriers more effectively. The improved sensitivity accomplished with the use of SiNW CMOS inverters allows better control of the on/off states.

  15. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor.

    PubMed

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Kim, Dong Myong; Kim, Dae Hwan; Choi, Sung-Jin

    2015-07-21

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 10(5) times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 10(5) with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density.

  16. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    PubMed Central

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Myong Kim, Dong; Hwan Kim, Dae; Choi, Sung-Jin

    2015-01-01

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 105 times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 105 with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density. PMID:26197105

  17. Label-Free Direct Detection of miRNAs with Poly-Silicon Nanowire Biosensors

    PubMed Central

    Gong, Changguo; Qi, Jiming; Xiao, Han; Jiang, Bin; Zhao, Yulan

    2015-01-01

    Background The diagnostic and prognostic value of microRNAs (miRNAs) in a variety of diseases is promising. The novel silicon nanowire (SiNW) biosensors have advantages in molecular detection because of their high sensitivity and fast response. In this study, poly-crystalline silicon nanowire field-effect transistor (poly-SiNW FET) device was developed to achieve specific and ultrasensitive detection of miRNAs without labeling and amplification. Methods The poly-SiNW FET was fabricated by a top–down Complementary Metal Oxide Semiconductor (CMOS) wafer fabrication based technique. Single strand DNA (ssDNA) probe was bind to the surface of the poly-SiNW device which was silanated and aldehyde-modified. By comparing the difference of resistance value before and after ssDNA and miRNA hybridization, poly-SiNW device can be used to detect standard and real miRNA samples. Results Poly-SiNW device with different structures (different line width and different pitch) was applied to detect standard Let-7b sample with a detection limitation of 1 fM. One-base mismatched sequence could be distinguished meanwhile. Furthermore, these poly-SiNW arrays can detect snRNA U6 in total RNA samples extracted from HepG2 cells with a detection limitation of 0.2 μg/mL. In general, structures with pitch showed better results than those without pitch in detection of both Let-7b and snRNA U6. Moreover, structures with smaller pitch showed better detection efficacy. Conclusion Our findings suggest that poly-SiNW arrays could detect standard and real miRNA sample without labeling or amplification. Poly-SiNW biosensor device is promising for miRNA detection. PMID:26709827

  18. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    PubMed

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  19. Telecom-Wavelength Bottom-up Nanobeam Lasers on Silicon-on-Insulator.

    PubMed

    Kim, Hyunseok; Lee, Wook-Jae; Farrell, Alan C; Balgarkashi, Akshay; Huffaker, Diana L

    2017-09-13

    Semiconductor nanowire lasers are considered promising ultracompact and energy-efficient light sources in the field of nanophotonics. Although the integration of nanowire lasers onto silicon photonic platforms is an innovative path toward chip-scale optical communications and photonic integrated circuits, operating nanowire lasers at telecom-wavelengths remains challenging. Here, we report on InGaAs nanowire array lasers on a silicon-on-insulator platform operating up to 1440 nm at room temperature. Bottom-up photonic crystal nanobeam cavities are formed by growing nanowires as ordered arrays using selective-area epitaxy, and single-mode lasing by optical pumping is demonstrated. We also show that arrays of nanobeam lasers with individually tunable wavelengths can be integrated on a single chip by the simple adjustment of the lithographically defined growth pattern. These results exemplify a practical approach toward nanowire lasers for silicon photonics.

  20. Laser desorption/ionization from nanostructured surfaces: nanowires, nanoparticle films and silicon microcolumn arrays

    NASA Astrophysics Data System (ADS)

    Chen, Yong; Luo, Guanghong; Diao, Jiajie; Chornoguz, Olesya; Reeves, Mark; Vertes, Akos

    2007-04-01

    Due to their optical properties and morphology, thin films formed of nanoparticles are potentially new platforms for soft laser desorption/ionization (SLDI) mass spectrometry. Thin films of gold nanoparticles (with 12±1 nm particle size) were prepared by evaporation-driven vertical colloidal deposition and used to analyze a series of directly deposited polypeptide samples. In this new SLDI method, the required laser fluence for ion detection was equal or less than what was needed for matrix-assisted laser desorption/ionization (MALDI) but the resulting spectra were free of matrix interferences. A silicon microcolumn array-based substrate (a.k.a. black silicon) was developed as a new matrix-free laser desorption ionization surface. When low-resistivity silicon wafers were processed with a 22 ps pulse length 3×ω Nd:YAG laser in air, SF6 or water environment, regularly arranged conical spikes emerged. The radii of the spike tips varied with the processing environment, ranging from approximately 500 nm in water, to ~2 µm in SF6 gas and to ~5 µm in air. Peptide mass spectra directly induced by a nitrogen laser showed the formation of protonated ions of angiotensin I and II, substance P, bradykinin fragment 1-7, synthetic peptide, pro14-arg, and insulin from the processed silicon surfaces but not from the unprocessed areas. Threshold fluences for desorption/ionization were similar to those used in MALDI. Although compared to silicon nanowires the threshold laser pulse energy for ionization is significantly (~10×) higher, the ease of production and robustness of microcolumn arrays offer complementary benefits.

  1. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Zhuomin

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiativemore » properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be

  2. Atomistics of vapour–liquid–solid nanowire growth

    PubMed Central

    Wang, Hailong; Zepeda-Ruiz, Luis A.; Gilmer, George H.; Upmanyu, Moneesh

    2013-01-01

    Vapour–liquid–solid route and its variants are routinely used for scalable synthesis of semiconducting nanowires, yet the fundamental growth processes remain unknown. Here we employ atomic-scale computations based on model potentials to study the stability and growth of gold-catalysed silicon nanowires. Equilibrium studies uncover segregation at the solid-like surface of the catalyst particle, a liquid AuSi droplet, and a silicon-rich droplet–nanowire interface enveloped by heterogeneous truncating facets. Supersaturation of the droplets leads to rapid one-dimensional growth on the truncating facets and much slower nucleation-controlled two-dimensional growth on the main facet. Surface diffusion is suppressed and the excess Si flux occurs through the droplet bulk which, together with the Si-rich interface and contact line, lowers the nucleation barrier on the main facet. The ensuing step flow is modified by Au diffusion away from the step edges. Our study highlights key interfacial characteristics for morphological and compositional control of semiconducting nanowire arrays. PMID:23752586

  3. A room temperature method for the formation of ultrathin silicon oxide films

    NASA Astrophysics Data System (ADS)

    Muisener, Richard John

    Growing interest surrounds the use of thin films to impart unique surface properties without adversely affecting those of the bulk. One such example is the formation of a stable high-energy silicon oxide surface on polymers. Thin silicon oxide films have been used to tailor the surface properties of many materials. Conventional methods for SiOx film fabrication such as chemical vapor deposition require either high temperature or expensive vacuum chambers. This research focuses on the intrinsically inexpensive process of UV-ozone to form ultrathin SiOx films from polysiloxane precursors at room temperature and atmospheric pressure. Chemical evidence suggests a complete conversion from organic polymer to inorganic ceramic. Through XPS, the UV-ozone treatment oxidizes over 95% of the silicone's organic side groups with a resulting stoichiometry Of Si 1O2.2C0.08. The silicon oxidation state changes from 2+ in poly(dimethylsiloxane) to 93% 4+ corresponding to SiO2. IR studies show a total loss of methyl bands and the growth of a new Si-O band centered at 1225 cm-1. Gas phase reaction products suggest a radical driven process. The physical properties also suggest a complete conversion to SiO x. Excellent control of film thickness, as low as 2 nm, has been demonstrated by variable angle spectroscopic ellipsometry. The ellipsometrically determined thickness loss of 55% during treatment corresponds to an SiOx film density of 1.9 g/cm3. The continuity of the film is demonstrated by electrical properties and a very low water contact angle consistent with SiOx. The later property ensures that the SiOx films are anti-fogging in nature. Unique hydrophilic-hydrophobic structures were formed through photo-patterning. The reaction has been successfully modeled as self-limiting based on the diffusion of ozone. The chief reactant, atomic oxygen, is generated by the photochemical dissociation of ozone and quickly generates radical species within the polymer film. The reaction proceeds

  4. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell.

    PubMed

    Petterson, Maureen K; Lemaitre, Maxime G; Shen, Yu; Wadhwa, Pooja; Hou, Jie; Vasilyeva, Svetlana V; Kravchenko, Ivan I; Rinzler, Andrew G

    2015-09-30

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separated there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm(2) AM1.5G illumination, results in a short-circuit current density of 35 mA/cm(2) and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. A deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.

  5. Plasma Enabled Fabrication of Silicon Carbide Nanostructures

    NASA Astrophysics Data System (ADS)

    Fang, Jinghua; Levchenko, Igor; Aramesh, Morteza; Rider, Amanda E.; Prawer, Steven; Ostrikov, Kostya (Ken)

    Silicon carbide is one of the promising materials for the fabrication of various one- and two-dimensional nanostructures. In this chapter, we discuss experimental and theoretical studies of the plasma-enabled fabrication of silicon carbide quantum dots, nanowires, and nanorods. The discussed fabrication methods include plasma-assisted growth with and without anodic aluminium oxide membranes and with or without silane as a source of silicon. In the silane-free experiments, quartz was used as a source of silicon to synthesize the silicon carbide nanostructures in an environmentally friendly process. The mechanism of the formation of nanowires and nanorods is also discussed.

  6. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  7. A pH sensor with a double-gate silicon nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Ahn, Jae-Hyuk; Kim, Jee-Yeon; Seol, Myeong-Lok; Baek, David J.; Guo, Zheng; Kim, Chang-Hoon; Choi, Sung-Jin; Choi, Yang-Kyu

    2013-02-01

    A pH sensor composed of a double-gate silicon nanowire field-effect transistor (DG Si-NW FET) is demonstrated. The proposed DG Si-NW FET allows the independent addressing of the gate voltage and hence improves the sensing capability through an application of asymmetric gate voltage between the two gates. One gate is a driving gate which controls the current flow, and the other is a supporting gate which amplifies the shift of the threshold voltage, which is a sensing metric, and which arises from changes in the pH. The pH signal is also amplified through modulation of the gate oxide thickness.

  8. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    NASA Astrophysics Data System (ADS)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  9. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  10. Design of Ultrathin Pt-Based Multimetallic Nanostructures for Efficient Oxygen Reduction Electrocatalysis.

    PubMed

    Lai, Jianping; Guo, Shaojun

    2017-12-01

    Nanocatalysts with high platinum (Pt) utilization efficiency are attracting extensive attention for oxygen reduction reactions (ORR) conducted at the cathode of fuel cells. Ultrathin Pt-based multimetallic nanostructures show obvious advantages in accelerating the sluggish cathodic ORR due to their ultrahigh Pt utilization efficiency. A focus on recent important developments is provided in using wet chemistry techniques for making/tuning the multimetallic nanostructures with high Pt utilization efficiency for boosting ORR activity and durability. First, new synthetic methods for multimetallic core/shell nanoparticles with ultrathin shell sizes for achieving highly efficient ORR catalysts are reviewed. To obtain better ORR activity and stability, multimetallic nanowires or nanosheets with well-defined structure and surface are further highlighted. Furthermore, ultrathin Pt-based multimetallic nanoframes that feature 3D molecularly accessible surfaces for achieving more efficient ORR catalysis are discussed. Finally, the remaining challenges and outlooks for the future will be provided for this promising research field. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Functionalization of silicon nanowires by conductive and non-conductive polymers

    NASA Astrophysics Data System (ADS)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  12. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching

    NASA Astrophysics Data System (ADS)

    Moumni, Besma; Jaballah, Abdelkader Ben

    2017-12-01

    Silicon porosification by silver assisted chemical etching (Ag-ACE) for a short range of H2O2 concentration is reported. We experimentally show that porous silicon (PSi) is obtained for 1% H2O2, whereas silicon nanowires (SiNWs) appeared by simply tuning the concentration of H2O2 to relatively high concentrations up to 8%. The morphological aspects are claimed by scanning electron microscopy proving that the kinetics of SiNWs formation display nonlinear relationships versus H2O2 concentration and etching time. A semi-qualitative electrochemical etching model based on local anodic, Ic, and cathodic, Ia, currents is proposed to explain the different morphological changes, and to unveil the formation pathways of both PS and SiNWs. More importantly, an efficient antireflective character for silicon solar cell (reflectance close to 2%) is realized at 8% H2O2. In addition, the luminescence of the prepared Si-nanostructures is claimed by photoluminescence which exhibit a large enhancement of the intensity and a blue shift for narrow and deep SiNWs.

  13. The growth of ultralong and highly blue luminescent gallium oxide nanowires and nanobelts, and direct horizontal nanowire growth on substrates.

    PubMed

    Kuo, Chi-Liang; Huang, Michael H

    2008-04-16

    We report the growth of ultralong β-Ga(2)O(3) nanowires and nanobelts on silicon substrates using a vapor phase transport method. The growth was carried out in a tube furnace, with gallium metal serving as the gallium source. The nanowires and nanobelts can grow to lengths of hundreds of nanometers and even millimeters. Their full lengths have been captured by both scanning electron microscope (SEM) and optical images. X-ray diffraction (XRD) patterns and transmission electron microscope (TEM) images have been used to study the crystal structures of these nanowires and nanobelts. Strong blue emission from these ultralong nanostructures can be readily observed by irradiation with an ultraviolet (UV) lamp. Diffuse reflectance spectroscopy measurements gave a band gap of 4.56 eV for these nanostructures. The blue emission shows a band maximum at 470 nm. Interestingly, by annealing the silicon substrates in an oxygen atmosphere to form a thick SiO(2) film, and growing Ga(2)O(3) nanowires over the sputtered gold patterned regions, horizontal Ga(2)O(3) nanowire growth in the non-gold-coated regions can be observed. These horizontal nanowires can grow to as long as over 10 µm in length. Their composition has been confirmed by TEM characterization. This represents one of the first examples of direct horizontal growth of oxide nanowires on substrates.

  14. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    NASA Astrophysics Data System (ADS)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.; Feng, J.; Qadri, S. N.; Caldwell, J. D.

    2015-09-01

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reaction between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.

  15. Low-cost ultra-thin broadband terahertz beam-splitter.

    PubMed

    Ung, Benjamin S-Y; Fumeaux, Christophe; Lin, Hungyen; Fischer, Bernd M; Ng, Brian W-H; Abbott, Derek

    2012-02-27

    A low-cost terahertz beam-splitter is fabricated using ultra-thin LDPE plastic sheeting coated with a conducting silver layer. The beam splitting ratio is determined as a function of the thickness of the silver layer--thus any required splitting ratio can be printed on demand with a suitable rapid prototyping technology. The low-cost aspect is a consequence of the fact that ultra-thin LDPE sheeting is readily obtainable, known more commonly as domestic plastic wrap or cling wrap. The proposed beam-splitter has numerous advantages over float zone silicon wafers commonly used within the terahertz frequency range. These advantages include low-cost, ease of handling, ultra-thin thickness, and any required beam splitting ratio can be readily fabricated. Furthermore, as the beam-splitter is ultra-thin, it presents low loss and does not suffer from Fabry-Pérot effects. Measurements performed on manufactured prototypes with different splitting ratios demonstrate a good agreement with our theoretical model in both P and S polarizations, exhibiting nearly frequency-independent splitting ratios in the terahertz frequency range.

  16. Growth and applicability of radiation-responsive silica nanowires

    NASA Astrophysics Data System (ADS)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  17. Axial buckling and transverse vibration of ultrathin nanowires: low symmetry and surface elastic effect

    NASA Astrophysics Data System (ADS)

    Lei, Xiao; Narsu, B.; Yun, Guohong; Li, Jiangang; Yao, Haiyan

    2016-05-01

    Surface effects play a deterministic role in the physical and mechanical properties of nanosized materials and structures. In this paper, we present a self-consistent theoretical scheme for describing the elasticity of nanowires. The natural frequency and the critical compression force of axial buckling are obtained analytically, taking into consideration the influences of lower symmetry, additional elastic parameters, surface reconstruction, surface elasticity, and residual surface stress. Applications of the present theory to elastic systems for the  <1 0 0 >  axially oriented Si and Cu nanowires and Ag  <1 1 0 >  axially oriented nanowires yield good agreement with experimental data and calculated results. The larger positive value of the new elastic parameter c12α taken into account for Si  <1 0 0 >  oriented nanowires drives the curves of natural frequency and critical compression force versus thickness towards the results obtained from density functional theory simulation. Negative surface stress decreases the critical load for axial buckling, thus making the nanowires very easy to bend into various structures. The present study is envisaged to provide useful insights for the design and application of nanowire-based devices.

  18. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petterson, Maureen K.; Lemaitre, Maxime G.; Shen, Yu

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separatedmore » there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm 2 AM1.5G illumination, results in a short-circuit current density of 35 mA/cm 2 and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. Finally, a deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.« less

  19. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell

    DOE PAGES

    Petterson, Maureen K.; Lemaitre, Maxime G.; Shen, Yu; ...

    2015-09-09

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separatedmore » there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm 2 AM1.5G illumination, results in a short-circuit current density of 35 mA/cm 2 and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. Finally, a deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.« less

  20. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  1. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  2. Stretchable Conductive Composites from Cu-Ag Nanowire Felt.

    PubMed

    Catenacci, Matthew J; Reyes, Christopher; Cruz, Mutya A; Wiley, Benjamin J

    2018-04-24

    Materials that retain a high conductivity under strain are essential for wearable electronics. This article describes a conductive, stretchable composite consisting of a Cu-Ag core-shell nanowire felt infiltrated with a silicone elastomer. This composite exhibits a retention of conductivity under strain that is superior to any composite with a conductivity greater than 1000 S cm -1 . This work also shows how the mechanical properties, conductivity, and deformation mechanism of the composite changes as a function of the stiffness of the silicone matrix. The retention of conductivity under strain was found to decrease as the Young's modulus of the matrix increased. This was attributed to void formation as a result of debonding between the nanowire felt and the elastomer. The nanowire composite was also patterned to create serpentine circuits with a stretchability of 300%.

  3. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  4. Template-assisted electrodeposition of Ni and Ni/Au nanowires on planar and curved substrates

    NASA Astrophysics Data System (ADS)

    Guiliani, Jason; Cadena, John; Monton, Carlos

    2018-02-01

    We present a variant of the template-assisted electrodeposition method that enables the synthesis of large arrays of nanowires (NWs) on flat and curved substrates. This method uses ultra-thin (50 nm-10 μm) anodic aluminum oxide membranes as a template. We have developed a procedure that uses a two-polymer protective layer to transfer these templates onto almost any surface. We have applied this technique to the fabrication of large arrays of Ni and segmented composition Ni/Au NWs on silicon wafers, Cu tapes, and thin (0.2 mm) Cu wires. In all cases, a complete coverage with NWs is achieved. The magnetic properties of these samples show an accentuated in-plane anisotropy which is affected by the form of the substrate (flat or curve) and the length of the NWs. Unlike current lithography techniques, the fabrication method proposed here allows the integration of complex nanostructures into devices, which can be fabricated on unconventional surfaces.

  5. Characterization of nanometer-thick polycrystalline silicon with phonon-boundary scattering enhanced thermoelectric properties and its application in infrared sensors.

    PubMed

    Zhou, Huchuan; Kropelnicki, Piotr; Lee, Chengkuo

    2015-01-14

    Although significantly reducing the thermal conductivity of silicon nanowires has been reported, it remains a challenge to integrate silicon nanowires with structure materials and electrodes in the complementary metal-oxide-semiconductor (CMOS) process. In this paper, we investigated the thermal conductivity of nanometer-thick polycrystalline silicon (poly-Si) theoretically and experimentally. By leveraging the phonon-boundary scattering, the thermal conductivity of 52 nm thick poly-Si was measured as low as around 12 W mK(-1) which is only about 10% of the value of bulk single crystalline silicon. The ZT of n-doped and p-doped 52 nm thick poly-Si was measured as 0.067 and 0.024, respectively, while most previously reported data had values of about 0.02 and 0.01 for a poly-Si layer with a thickness of 0.5 μm and above. Thermopile infrared sensors comprising 128 pairs of thermocouples made of either n-doped or p-doped nanometer-thick poly-Si strips in a series connected by an aluminium (Al) metal interconnect layer are fabricated using microelectromechanical system (MEMS) technology. The measured vacuum specific detectivity (D*) of the n-doped and p-doped thermopile infrared (IR) sensors are 3.00 × 10(8) and 1.83 × 10(8) cm Hz(1/2) W(-1) for sensors of 52 nm thick poly-Si, and 5.75 × 10(7) and 3.95 × 10(7) cm Hz(1/2) W(-1) for sensors of 300 nm thick poly-Si, respectively. The outstanding thermoelectric properties indicate our approach is promising for diverse applications using ultrathin poly-Si technology.

  6. Selective synthesis of secondary amines by Pt nanowire catalyzed reductive amination of aldehydes and ketones with ammonia.

    PubMed

    Qi, Fenqiang; Hu, Lei; Lu, Shuanglong; Cao, Xueqin; Gu, Hongwei

    2012-10-07

    The process of the reductive amination of aldehydes or ketones in the presence of ammonia using unsupported ultra-thin Pt nanowires has been developed. This catalytic system shows high activity and selectivity under mild reaction conditions.

  7. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires.

    PubMed

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-12-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  8. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires

    NASA Astrophysics Data System (ADS)

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-07-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  9. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.

    2015-09-14

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reactionmore » between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.« less

  10. Tuning Light Emission of a Pressure-Sensitive Silicon/ZnO Nanowires Heterostructure Matrix through Piezo-phototronic Effects.

    PubMed

    Chen, Mengxiao; Pan, Caofeng; Zhang, Taiping; Li, Xiaoyi; Liang, Renrong; Wang, Zhong Lin

    2016-06-28

    Based on white light emission at silicon (Si)/ZnO hetrerojunction, a pressure-sensitive Si/ZnO nanowires heterostructure matrix light emitting diode (LED) array is developed. The light emission intensity of a single heterostructure LED is tuned by external strain: when the applied stress keeps increasing, the emission intensity first increases and then decreases with a maximum value at a compressive strain of 0.15-0.2%. This result is attributed to the piezo-phototronic effect, which can efficiently modulate the LED emission intensity by utilizing the strain-induced piezo-polarization charges. It could tune the energy band diagrams at the junction area and regulate the optoelectronic processes such as charge carriers generation, separation, recombination, and transport. This study achieves tuning silicon based devices through piezo-phototronic effect.

  11. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  12. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  13. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  14. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  15. Rhodium Nanoparticle-mesoporous Silicon Nanowire Nanohybrids for Hydrogen Peroxide Detection with High Selectivity

    PubMed Central

    Song, Zhiqian; Chang, Hucheng; Zhu, Weiqin; Xu, Chenlong; Feng, Xinjian

    2015-01-01

    Developing nanostructured electrocatalysts, with low overpotential, high selectivity and activity has fundamental and technical importance in many fields. We report here rhodium nanoparticle and mesoporous silicon nanowire (RhNP@mSiNW) hybrids for hydrogen peroxide (H2O2) detection with high electrocatalytic activity and selectivity. By employing electrodes that loaded with RhNP@mSiNW nanohybrids, interference caused from both many electroactive substances and dissolved oxygen were eliminated by electrochemical assaying at an optimal potential of +75 mV. Furthermore, the electrodes exhibited a high detection sensitivity of 0.53 μA/mM and fast response (< 5 s). This high-performance nanohybrid electrocatalyst has great potential for future practical application in various oxidase-base biosensors. PMID:25588953

  16. Spatiotemporal Imaging of the Acoustic Field Emitted by a Single Copper Nanowire

    NASA Astrophysics Data System (ADS)

    Jean, Cyril; Belliard, Laurent; Cornelius, Thomas W.; Thomas, Olivier; Pennec, Yan; Cassinelli, Marco; Toimil-Molares, Maria Eugenia; Perrin, Bernard

    2016-10-01

    The monochromatic and geometrically anisotropic acoustic field generated by 400 nm and 120 nm diameter copper nanowires simply dropped on a 10 $\\mu$m silicon membrane is investigated in transmission using three-dimensional time-resolved femtosecond pump-probe experiments. Two pump-probe time-resolved experiments are carried out at the same time on both side of the silicon substrate. In reflection, the first radial breathing mode of the nanowire is excited and detected. In transmission, the longitudinal and shear waves are observed. The longitudinal signal is followed by a monochromatic component associated with the relaxation of the nanowire's first radial breathing mode. Finite Difference Time Domain (FDTD) simulations are performed and accurately reproduce the diffracted field. A shape anisotropy resulting from the large aspect ratio of the nanowire is detected in the acoustic field. The orientation of the underlying nanowires is thus acoustically deduced.

  17. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  18. Workshop summary: New silicon cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, A.; Iles, P. A.

    1993-01-01

    The workshop on new silicon cells held during SPRAT12 is summarized. A smaller than average group attended this workshop reflecting the reduction in research dollars available to this portion of the photovoltaics community. Despite the maturity of the silicon technology, a core of the group maintained an excitement about new developments and potential opportunities. The group addressed both the implications and the applications of recent developments. Topics discussed include: light trapping and ultrathin silicon cells; different uses for silicon cells; new silicon cell developments; and radiation tolerant high efficiency cells.

  19. Efficient and scalable ionization of neutral atoms by an orderly array of gold-doped silicon nanowires

    NASA Astrophysics Data System (ADS)

    Bucay, Igal; Helal, Ahmed; Dunsky, David; Leviyev, Alex; Mallavarapu, Akhila; Sreenivasan, S. V.; Raizen, Mark

    2017-04-01

    Ionization of atoms and molecules is an important process in many applications and processes such as mass spectrometry. Ionization is typically accomplished by electron bombardment, and while it is scalable to large volumes, is also very inefficient due to the small cross section of electron-atom collisions. Photoionization methods can be highly efficient, but are not scalable due to the small ionization volume. Electric field ionization is accomplished using ultra-sharp conducting tips biased to a few kilovolts, but suffers from a low ionization volume and tip fabrication limitations. We report on our progress towards an efficient, robust, and scalable method of atomic and molecular ionization using orderly arrays of sharp, gold-doped silicon nanowires. As demonstrated in earlier work, the presence of the gold greatly enhances the ionization probability, which was attributed to an increase in available acceptor surface states. We present here a novel process used to fabricate the nanowire array, results of simulations aimed at optimizing the configuration of the array, and our progress towards demonstrating efficient and scalable ionization.

  20. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    NASA Astrophysics Data System (ADS)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  1. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    PubMed

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  2. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  3. Surface physics of semiconducting nanowires

    NASA Astrophysics Data System (ADS)

    Amato, Michele; Rurali, Riccardo

    2016-02-01

    Semiconducting nanowires (NWs) are firm candidates for novel nanoelectronic devices and a fruitful playground for fundamental physics. Ultra-thin nanowires, with diameters below 10 nm, present exotic quantum effects due to the confinement of the wave functions, e.g. widening of the electronic band-gap, deepening of the dopant states. However, although several reports of sub-10 nm wires exist to date, the most common NWs have diameters that range from 20 to 200 nm, where these quantum effects are absent or play a very minor role. Yet, the research activity on this field is very intense and these materials still promise to provide an important paradigm shift for the design of emerging electronic devices and different kinds of applications. A legitimate question is then: what makes a nanowire different from bulk systems? The answer is certainly the large surface-to-volume ratio. In this article we discuss the most salient features of surface physics and chemistry in group-IV semiconducting nanowires, focusing mostly on Si NWs. First we review the state-of-the-art of NW growth to achieve a smooth and controlled surface morphology. Next we discuss the importance of a proper surface passivation and its role on the NW electronic properties. Finally, stressing the importance of a large surface-to-volume ratio and emphasizing the fact that in a NW the surface is where most of the action takes place, we discuss molecular sensing and molecular doping.

  4. Long term stability of nanowire nanoelectronics in physiological environments.

    PubMed

    Zhou, Wei; Dai, Xiaochuan; Fu, Tian-Ming; Xie, Chong; Liu, Jia; Lieber, Charles M

    2014-03-12

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease.

  5. Long Term Stability of Nanowire Nanoelectronics in Physiological Environments

    PubMed Central

    2015-01-01

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease. PMID:24479700

  6. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology.

    PubMed

    Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F

    2018-04-02

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  7. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    NASA Astrophysics Data System (ADS)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  8. Tunnel junction enhanced nanowire ultraviolet light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarwar, A. T. M. Golam; May, Brelon J.; Deitz, Julia I.

    Polarization engineered interband tunnel junctions (TJs) are integrated in nanowire ultraviolet (UV) light emitting diodes (LEDs). A ∼6 V reduction in turn-on voltage is achieved by the integration of tunnel junction at the base of polarization doped nanowire UV LEDs. Moreover, efficient hole injection into the nanowire LEDs leads to suppressed efficiency droop in TJ integrated nanowire LEDs. The combination of both reduced bias voltage and increased hole injection increases the wall plug efficiency in these devices. More than 100 μW of UV emission at ∼310 nm is measured with external quantum efficiency in the range of 4–6 m%. The realization of tunnel junctionmore » within the nanowire LEDs opens a pathway towards the monolithic integration of cascaded multi-junction nanowire LEDs on silicon.« less

  9. Construction of highly ordered polyaniline nanowires and their applications in DNA sensing.

    PubMed

    Hao, Yuanqiang; Zhou, Binbin; Wang, Fangbin; Li, Juan; Deng, Liu; Liu, You-Nian

    2014-02-15

    A novel electrochemical active polyaniline (PANI) nanowire was fabricated and utilized for the construction of a highly sensitive and selective electrochemical sensor for hepatitis B virus gene. The uniform PANI nanowire was prepared by the enzymatic polymerization of aniline monomers on the amyloid-like nanofiber (AP nanowire), which was self-assembled from an aniline-attached nonapeptide, aniline-GGAAKLVFF (AP). The prepared PANI nanowires were characterized by electron microscopy, UV-vis absorption spectra, and cyclic voltammetry (CV). These ultra-thin nanowires displayed high electrochemical activity. Then the nucleic acid biosensor was constructed by modifying a glass carbon electrode with AP nanowires which were functionalized by a designed hair-pin loop DNA. Upon the presence of target nucleic acid and horseradish peroxidase (HRP) labeled oligonucleotide, the HRP will catalyze the polymerization of aniline monomers conjugated in AP nanowires, leading to the formation of PANI nanowires which can bring about a dramatical increase in the current response of the biosensor. The dynamic range of the sensor for hepatitis B virus gene is 2.0-800.0 fM with a low detection limit of 1.0 fM (3σ, n=10). The biosensor also displayed highly selectivity and stability. All these excellent performances of the developed biosensor indicate that this platform can be easily extended to the detection of other nucleic acids. © 2013 Elsevier B.V. All rights reserved.

  10. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    PubMed

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  11. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    NASA Astrophysics Data System (ADS)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  12. Ultrathin, transferred layers of thermally grown silicon dioxide as biofluid barriers for biointegrated flexible electronic systems.

    PubMed

    Fang, Hui; Zhao, Jianing; Yu, Ki Jun; Song, Enming; Farimani, Amir Barati; Chiang, Chia-Han; Jin, Xin; Xue, Yeguang; Xu, Dong; Du, Wenbo; Seo, Kyung Jin; Zhong, Yiding; Yang, Zijian; Won, Sang Min; Fang, Guanhua; Choi, Seo Woo; Chaudhuri, Santanu; Huang, Yonggang; Alam, Muhammad Ashraful; Viventi, Jonathan; Aluru, N R; Rogers, John A

    2016-10-18

    Materials that can serve as long-lived barriers to biofluids are essential to the development of any type of chronic electronic implant. Devices such as cardiac pacemakers and cochlear implants use bulk metal or ceramic packages as hermetic enclosures for the electronics. Emerging classes of flexible, biointegrated electronic systems demand similar levels of isolation from biofluids but with thin, compliant films that can simultaneously serve as biointerfaces for sensing and/or actuation while in contact with the soft, curved, and moving surfaces of target organs. This paper introduces a solution to this materials challenge that combines (i) ultrathin, pristine layers of silicon dioxide (SiO 2 ) thermally grown on device-grade silicon wafers, and (ii) processing schemes that allow integration of these materials onto flexible electronic platforms. Accelerated lifetime tests suggest robust barrier characteristics on timescales that approach 70 y, in layers that are sufficiently thin (less than 1 μm) to avoid significant compromises in mechanical flexibility or in electrical interface fidelity. Detailed studies of temperature- and thickness-dependent electrical and physical properties reveal the key characteristics. Molecular simulations highlight essential aspects of the chemistry that governs interactions between the SiO 2 and surrounding water. Examples of use with passive and active components in high-performance flexible electronic devices suggest broad utility in advanced chronic implants.

  13. Ultrathin, transferred layers of thermally grown silicon dioxide as biofluid barriers for biointegrated flexible electronic systems

    PubMed Central

    Fang, Hui; Yu, Ki Jun; Song, Enming; Farimani, Amir Barati; Chiang, Chia-Han; Jin, Xin; Xu, Dong; Du, Wenbo; Seo, Kyung Jin; Zhong, Yiding; Yang, Zijian; Won, Sang Min; Fang, Guanhua; Choi, Seo Woo; Chaudhuri, Santanu; Huang, Yonggang; Alam, Muhammad Ashraful; Viventi, Jonathan; Aluru, N. R.; Rogers, John A.

    2016-01-01

    Materials that can serve as long-lived barriers to biofluids are essential to the development of any type of chronic electronic implant. Devices such as cardiac pacemakers and cochlear implants use bulk metal or ceramic packages as hermetic enclosures for the electronics. Emerging classes of flexible, biointegrated electronic systems demand similar levels of isolation from biofluids but with thin, compliant films that can simultaneously serve as biointerfaces for sensing and/or actuation while in contact with the soft, curved, and moving surfaces of target organs. This paper introduces a solution to this materials challenge that combines (i) ultrathin, pristine layers of silicon dioxide (SiO2) thermally grown on device-grade silicon wafers, and (ii) processing schemes that allow integration of these materials onto flexible electronic platforms. Accelerated lifetime tests suggest robust barrier characteristics on timescales that approach 70 y, in layers that are sufficiently thin (less than 1 μm) to avoid significant compromises in mechanical flexibility or in electrical interface fidelity. Detailed studies of temperature- and thickness-dependent electrical and physical properties reveal the key characteristics. Molecular simulations highlight essential aspects of the chemistry that governs interactions between the SiO2 and surrounding water. Examples of use with passive and active components in high-performance flexible electronic devices suggest broad utility in advanced chronic implants. PMID:27791052

  14. Gunn-Hilsum Effect in Mechanically Strained Silicon Nanowires: Tunable Negative Differential Resistance.

    PubMed

    Shiri, Daryoush; Verma, Amit; Nekovei, Reza; Isacsson, Andreas; Selvakumar, C R; Anantram, M P

    2018-04-19

    Gunn (or Gunn-Hilsum) Effect and its associated negative differential resistivity (NDR) emanates from transfer of electrons between two different energy subbands. This effect was observed in semiconductors like GaAs which has a direct bandgap of very low effective mass and an indirect subband of high effective mass which lies ~300 meV above the former. In contrast to GaAs, bulk silicon has a very high energy spacing (~1 eV) which renders the initiation of transfer-induced NDR unobservable. Using Density Functional Theory (DFT), semi-empirical 10 orbital (sp 3 d 5 s * ) Tight Binding and Ensemble Monte Carlo (EMC) methods we show for the first time that (a) Gunn Effect can be induced in silicon nanowires (SiNW) with diameters of 3.1 nm under +3% strain and an electric field of 5000 V/cm, (b) the onset of NDR in the I-V characteristics is reversibly adjustable by strain and (c) strain modulates the resistivity by a factor 2.3 for SiNWs of normal I-V characteristics i.e. those without NDR. These observations are promising for applications of SiNWs in electromechanical sensors and adjustable microwave oscillators. It is noteworthy that the observed NDC is different in principle from Esaki-Diode and Resonant Tunneling Diodes (RTD) in which NDR originates from tunneling effect.

  15. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder.

    PubMed

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-14

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ∼5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of 'chaplet-like' structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ∼5 to ∼3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  16. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    NASA Astrophysics Data System (ADS)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  17. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    PubMed

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  18. Hierarchical silicon nanowires-carbon textiles matrix as a binder-free anode for high-performance advanced lithium-ion batteries

    PubMed Central

    Liu, Bin; Wang, Xianfu; Chen, Haitian; Wang, Zhuoran; Chen, Di; Cheng, Yi-Bing; Zhou, Chongwu; Shen, Guozhen

    2013-01-01

    Toward the increasing demands of portable energy storage and electric vehicle applications, the widely used graphite anodes with significant drawbacks become more and more unsuitable. Herein, we report a novel scaffold of hierarchical silicon nanowires-carbon textiles anodes fabricated via a facile method. Further, complete lithium-ion batteries based on Si and commercial LiCoO2 materials were assembled to investigate their corresponding across-the-aboard performances, demonstrating their enhanced specific capacity (2950 mAh g−1 at 0.2 C), good repeatability/rate capability (even >900 mAh g−1 at high rate of 5 C), long cycling life, and excellent stability in various external conditions (curvature, temperature, and humidity). Above results light the way to principally replacing graphite anodes with silicon-based electrodes which was confirmed to have better comprehensive performances. PMID:23572030

  19. Super-giant magnetoresistance at room-temperature in copper nanowires due to magnetic field modulation of potential barrier heights at nanowire-contact interfaces

    NASA Astrophysics Data System (ADS)

    Hossain, Md I.; Maksud, M.; Palapati, N. K. R.; Subramanian, A.; Atulasimha, J.; Bandyopadhyay, S.

    2016-07-01

    We have observed a super-giant (∼10 000 000%) negative magnetoresistance at 39 mT field in Cu nanowires contacted with Au contact pads. In these nanowires, potential barriers form at the two Cu/Au interfaces because of Cu oxidation that results in an ultrathin copper oxide layer forming between Cu and Au. Current flows when electrons tunnel through, and/or thermionically emit over, these barriers. A magnetic field applied transverse to the direction of current flow along the wire deflects electrons toward one edge of the wire because of the Lorentz force, causing electron accumulation at that edge and depletion at the other. This lowers the potential barrier at the accumulated edge and raises it at the depleted edge, causing a super-giant magnetoresistance at room temperature.

  20. Super-giant magnetoresistance at room-temperature in copper nanowires due to magnetic field modulation of potential barrier heights at nanowire-contact interfaces.

    PubMed

    Hossain, Md I; Maksud, M; Palapati, N K R; Subramanian, A; Atulasimha, J; Bandyopadhyay, S

    2016-07-29

    We have observed a super-giant (∼10 000 000%) negative magnetoresistance at 39 mT field in Cu nanowires contacted with Au contact pads. In these nanowires, potential barriers form at the two Cu/Au interfaces because of Cu oxidation that results in an ultrathin copper oxide layer forming between Cu and Au. Current flows when electrons tunnel through, and/or thermionically emit over, these barriers. A magnetic field applied transverse to the direction of current flow along the wire deflects electrons toward one edge of the wire because of the Lorentz force, causing electron accumulation at that edge and depletion at the other. This lowers the potential barrier at the accumulated edge and raises it at the depleted edge, causing a super-giant magnetoresistance at room temperature.

  1. Anisotropic Swelling and Fracture of Silicon Nanowires during Lithiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xiao Hua; Zheng, He; Zhong, Li

    2011-08-10

    We report direct observation of an unexpected anisotropic swelling of Si nanowires during lithiation against either a solid electrolyte with a lithium counter-electrode or a liquid electrolyte with a LiCoO2 counter-electrode. Such anisotropic expansion is attributed to the interfacial processes of accommodating large volumetric strains at the lithiation reaction front that depend sensitively on the crystallographic orientation. This anisotropic swelling results in lithiated Si nanowires with a remarkable dumbbell-shaped cross section, which develops due to plastic flow and an ensuing necking instability that is induced by the tensile hoop stress buildup in the lithiated shell. The plasticity-driven morphological instabilities oftenmore » lead to fracture in lithiated nanowires, now captured in video. These results provide important insight into the battery degradation mechanisms.« less

  2. Coaxial multishell nanowires with high-quality electronic interfaces and tunable optical cavities for ultrathin photovoltaics.

    PubMed

    Kempa, Thomas J; Cahoon, James F; Kim, Sun-Kyung; Day, Robert W; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2012-01-31

    Silicon nanowires (NWs) could enable low-cost and efficient photovoltaics, though their performance has been limited by nonideal electrical characteristics and an inability to tune absorption properties. We overcome these limitations through controlled synthesis of a series of polymorphic core/multishell NWs with highly crystalline, hexagonally-faceted shells, and well-defined coaxial (p/n) and p/intrinsic/n (p/i/n) diode junctions. Designed 200-300 nm diameter p/i/n NW diodes exhibit ultralow leakage currents of approximately 1 fA, and open-circuit voltages and fill-factors up to 0.5 V and 73%, respectively, under one-sun illumination. Single-NW wavelength-dependent photocurrent measurements reveal size-tunable optical resonances, external quantum efficiencies greater than unity, and current densities double those for silicon films of comparable thickness. In addition, finite-difference-time-domain simulations for the measured NW structures agree quantitatively with the photocurrent measurements, and demonstrate that the optical resonances are due to Fabry-Perot and whispering-gallery cavity modes supported in the high-quality faceted nanostructures. Synthetically optimized NW devices achieve current densities of 17 mA/cm(2) and power-conversion efficiencies of 6%. Horizontal integration of multiple NWs demonstrates linear scaling of the absolute photocurrent with number of NWs, as well as retention of the high open-circuit voltages and short-circuit current densities measured for single NW devices. Notably, assembly of 2 NW elements into vertical stacks yields short-circuit current densities of 25 mA/cm(2) with a backside reflector, and simulations further show that such stacking represents an attractive approach for further enhancing performance with projected efficiencies of > 15% for 1.2 μm thick 5 NW stacks.

  3. Method for fabricating pixelated silicon device cells

    DOEpatents

    Nielson, Gregory N.; Okandan, Murat; Cruz-Campa, Jose Luis; Nelson, Jeffrey S.; Anderson, Benjamin John

    2015-08-18

    A method, apparatus and system for flexible, ultra-thin, and high efficiency pixelated silicon or other semiconductor photovoltaic solar cell array fabrication is disclosed. A structure and method of creation for a pixelated silicon or other semiconductor photovoltaic solar cell array with interconnects is described using a manufacturing method that is simplified compared to previous versions of pixelated silicon photovoltaic cells that require more microfabrication steps.

  4. Facile electrosynthesis of silicon carbide nanowires from silica/carbon precursors in molten salt.

    PubMed

    Zou, Xingli; Ji, Li; Lu, Xionggang; Zhou, Zhongfu

    2017-08-30

    Silicon carbide nanowires (SiC NWs) have attracted intensive attention in recent years due to their outstanding performances in many applications. A large-scale and facile production of SiC NWs is critical to its successful application. Here, we report a simple method for the production of SiC NWs from inexpensive and abundantly available silica/carbon (SiO 2 /C) precursors in molten calcium chloride. The solid-to-solid electroreduction and dissolution-electrodeposition mechanisms can easily lead to the formation of homogenous SiC NWs. This template/catalyst-free approach greatly simplifies the synthesis procedure compared to conventional methods. This general strategy opens a direct electrochemical route for the conversion of SiO 2 /C into SiC NWs, and may also have implications for the electrosynthesis of other micro/nanostructured metal carbides/composites from metal oxides/carbon precursors.

  5. Optical properties of nanowire metamaterials with gain

    NASA Astrophysics Data System (ADS)

    Lima, Joaquim; Adam, Jost; Rego, Davi; Esquerre, Vitaly; Bordo, Vladimir

    2016-11-01

    The transmittance, reflectance and absorption of a nanowire metamaterial with optical gain are numerically simulated and investigated. It is assumed that the metamaterial is represented by aligned silver nanowires embedded into a semiconductor matrix, made of either silicon or gallium phosphide. The gain in the matrix is modeled by adding a negative imaginary part to the dielectric function of the semiconductor. It is found that the optical coefficients of the metamaterial depend on the gain magnitude in a non-trivial way: they can both increase and decrease with gain depending on the lattice constant of the metamaterial. This peculiar behavior is explained by the field redistribution between the lossy metal nanowires and the amplifying matrix material. These findings are significant for a proper design of nanowire metamaterials with low optical losses for diverse applications.

  6. Thermodynamics of the adsorption of flexible polymers on nanowires

    NASA Astrophysics Data System (ADS)

    Vogel, Thomas; Gross, Jonathan; Bachmann, Michael

    2015-03-01

    Generalized-ensemble simulations enable the study of complex adsorption scenarios of a coarse-grained model polymer near an attractive nanostring, representing an ultrathin nanowire. We perform canonical and microcanonical statistical analyses to investigate structural transitions of the polymer and discuss their dependence on the temperature and on model parameters such as effective wire thickness and attraction strength. The result is a complete hyperphase diagram of the polymer phases, whose locations and stability are influenced by the effective material properties of the nanowire and the strength of the thermal fluctuations. Major structural polymer phases in the adsorbed state include compact droplets attached to or wrapping around the wire, and tubelike conformations with triangular pattern that resemble ideal boron nanotubes. The classification of the transitions is performed by microcanonical inflection-point analysis.

  7. Thermodynamics of the adsorption of flexible polymers on nanowires.

    PubMed

    Vogel, Thomas; Gross, Jonathan; Bachmann, Michael

    2015-03-14

    Generalized-ensemble simulations enable the study of complex adsorption scenarios of a coarse-grained model polymer near an attractive nanostring, representing an ultrathin nanowire. We perform canonical and microcanonical statistical analyses to investigate structural transitions of the polymer and discuss their dependence on the temperature and on model parameters such as effective wire thickness and attraction strength. The result is a complete hyperphase diagram of the polymer phases, whose locations and stability are influenced by the effective material properties of the nanowire and the strength of the thermal fluctuations. Major structural polymer phases in the adsorbed state include compact droplets attached to or wrapping around the wire, and tubelike conformations with triangular pattern that resemble ideal boron nanotubes. The classification of the transitions is performed by microcanonical inflection-point analysis.

  8. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE PAGES

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor; ...

    2018-04-04

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  9. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  10. Effects of lithium insertion on thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-04-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.

  11. Ultrafast and sensitive photodetector based on a PtSe2/silicon nanowire array heterojunction with a multiband spectral response from 200 to 1550 nm

    NASA Astrophysics Data System (ADS)

    Zeng, Longhui; Lin, Shenghuang; Lou, Zhenhua; Yuan, Huiyu; Long, Hui; Li, Yanyong; Lu, Wei; Lau, Shu Ping; Wu, Di; Tsang, Yuen Hong

    2018-04-01

    The newly discovered Group-10 transition metal dichalcogenides (TMDs) like PtSe2 have promising applications in high-performance microelectronic and optoelectronic devices due to their high carrier mobilities, widely tunable bandages and ultrastabilities. However, the optoelectronic performance of broadband PtSe2 photodetectors integrated with silicon remains undiscovered. Here, we report the successful preparation of large-scale, uniform and vertically grown PtSe2 films by simple selenization method for the design of a PtSe2/Si nanowire array heterostructure, which exhibited a very good photoresponsivity of 12.65 A/W, a high specific detectivity of 2.5 × 1013 Jones at -5 V and fast rise/fall times of 10.1/19.5 μs at 10 kHz without degradation while being capable of responding to high frequencies of up to 120 kHz. Our work has demonstrated the compatibility of PtSe2 with the existing silicon technology and ultrabroad band detection ranging from deep ultraviolet to optical telecommunication wavelengths, which can largely cover the limitations of silicon detectors. Further investigation of the device revealed pronounced photovoltaic behavior at 0 V, making it capable of operating as a self-powered photodetector. Overall, this representative PtSe2/Si nanowire array-based photodetector offers great potential for applications in next-generation optoelectronic and electronic devices.

  12. Self-assembly of silicon nanowires studied by advanced transmission electron microscopy

    PubMed Central

    Agati, Marta; Amiard, Guillaume; Borgne, Vincent Le; Castrucci, Paola; Dolbec, Richard; De Crescenzi, Maurizio; El Khakani, My Alì

    2017-01-01

    Scanning transmission electron microscopy (STEM) was successfully applied to the analysis of silicon nanowires (SiNWs) that were self-assembled during an inductively coupled plasma (ICP) process. The ICP-synthesized SiNWs were found to present a Si–SiO2 core–shell structure and length varying from ≈100 nm to 2–3 μm. The shorter SiNWs (maximum length ≈300 nm) were generally found to possess a nanoparticle at their tip. STEM energy dispersive X-ray (EDX) spectroscopy combined with electron tomography performed on these nanostructures revealed that they contain iron, clearly demonstrating that the short ICP-synthesized SiNWs grew via an iron-catalyzed vapor–liquid–solid (VLS) mechanism within the plasma reactor. Both the STEM tomography and STEM-EDX analysis contributed to gain further insight into the self-assembly process. In the long-term, this approach might be used to optimize the synthesis of VLS-grown SiNWs via ICP as a competitive technique to the well-established bottom-up approaches used for the production of thin SiNWs. PMID:28326234

  13. Random access actuation of nanowire grid metamaterial

    NASA Astrophysics Data System (ADS)

    Cencillo-Abad, Pablo; Ou, Jun-Yu; Plum, Eric; Valente, João; Zheludev, Nikolay I.

    2016-12-01

    While metamaterials offer engineered static optical properties, future artificial media with dynamic random-access control over shape and position of meta-molecules will provide arbitrary control of light propagation. The simplest example of such a reconfigurable metamaterial is a nanowire grid metasurface with subwavelength wire spacing. Recently we demonstrated computationally that such a metadevice with individually controlled wire positions could be used as dynamic diffraction grating, beam steering module and tunable focusing element. Here we report on the nanomembrane realization of such a nanowire grid metasurface constructed from individually addressable plasmonic chevron nanowires with a 230 nm × 100 nm cross-section, which consist of gold and silicon nitride. The active structure of the metadevice consists of 15 nanowires each 18 μm long and is fabricated by a combination of electron beam lithography and ion beam milling. It is packaged as a microchip device where the nanowires can be individually actuated by control currents via differential thermal expansion.

  14. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  15. Experimental Study of the Detection Limit in Dual-Gate Biosensors Using Ultrathin Silicon Transistors

    DOE PAGES

    Wu, Ting; Alharbi, Abdullah; You, Kai-Dyi; ...

    2017-06-21

    Dual-gate field-effect biosensors (bioFETs) with asymmetric gate capacitances were shown to surpass the Nernst limit of 59 mV/pH. However, previous studies have conflicting findings on the effect of the capacitive amplification scheme on the sensor detection limit, which is inversely proportional to the signal-to-noise ratio (SNR). In this paper, we present a systematic experimental investigation of the SNR using ultrathin silicon transistors. Our sensors operate at low voltage and feature asymmetric front and back oxide capacitances with asymmetry factors of 1.4 and 2.3. We demonstrate that in the dual-gate configuration, the response of our bioFETs to the pH change increasesmore » proportional to the asymmetry factor and indeed exceeds the Nernst limit. Further, our results reveal that the noise amplitude also increases in proportion to the asymmetry factor. We establish that the commensurate increase of the noise amplitude originates from the intrinsic low-frequency characteristic of the sensor noise, dominated by number fluctuation. Finally, these findings suggest that this capacitive signal amplification scheme does not improve the intrinsic detection limit of the dual-gate biosensors.« less

  16. Experimental Study of the Detection Limit in Dual-Gate Biosensors Using Ultrathin Silicon Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Ting; Alharbi, Abdullah; You, Kai-Dyi

    Dual-gate field-effect biosensors (bioFETs) with asymmetric gate capacitances were shown to surpass the Nernst limit of 59 mV/pH. However, previous studies have conflicting findings on the effect of the capacitive amplification scheme on the sensor detection limit, which is inversely proportional to the signal-to-noise ratio (SNR). In this paper, we present a systematic experimental investigation of the SNR using ultrathin silicon transistors. Our sensors operate at low voltage and feature asymmetric front and back oxide capacitances with asymmetry factors of 1.4 and 2.3. We demonstrate that in the dual-gate configuration, the response of our bioFETs to the pH change increasesmore » proportional to the asymmetry factor and indeed exceeds the Nernst limit. Further, our results reveal that the noise amplitude also increases in proportion to the asymmetry factor. We establish that the commensurate increase of the noise amplitude originates from the intrinsic low-frequency characteristic of the sensor noise, dominated by number fluctuation. Finally, these findings suggest that this capacitive signal amplification scheme does not improve the intrinsic detection limit of the dual-gate biosensors.« less

  17. Experimental Study of the Detection Limit in Dual-Gate Biosensors Using Ultrathin Silicon Transistors.

    PubMed

    Wu, Ting; Alharbi, Abdullah; You, Kai-Dyi; Kisslinger, Kim; Stach, Eric A; Shahrjerdi, Davood

    2017-07-25

    Dual-gate field-effect biosensors (bioFETs) with asymmetric gate capacitances were shown to surpass the Nernst limit of 59 mV/pH. However, previous studies have conflicting findings on the effect of the capacitive amplification scheme on the sensor detection limit, which is inversely proportional to the signal-to-noise ratio (SNR). Here, we present a systematic experimental investigation of the SNR using ultrathin silicon transistors. Our sensors operate at low voltage and feature asymmetric front and back oxide capacitances with asymmetry factors of 1.4 and 2.3. We demonstrate that in the dual-gate configuration, the response of our bioFETs to the pH change increases proportional to the asymmetry factor and indeed exceeds the Nernst limit. Further, our results reveal that the noise amplitude also increases in proportion to the asymmetry factor. We establish that the commensurate increase of the noise amplitude originates from the intrinsic low-frequency characteristic of the sensor noise, dominated by number fluctuation. These findings suggest that this capacitive signal amplification scheme does not improve the intrinsic detection limit of the dual-gate biosensors.

  18. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  19. Silicon Nanowires with High-k Hafnium Oxide Dielectrics for Sensitive Detection of Small Nucleic Acid Oligomers

    PubMed Central

    Dorvel, Brian R.; Reddy, Bobby; Go, Jonghyun; Guevara, Carlos Duarte; Salm, Eric; Alam, Muhammad Ashraful; Bashir, Rashid

    2012-01-01

    Nanobiosensors based on silicon nanowire field effect transistors offer advantages of low cost, label-free detection, and potential for massive parallelization. As a result, these sensors have often been suggested as an attractive option for applications in Point-of-care (POC) medical diagnostics. Unfortunately, a number of performance issues such as gate leakage and current instability due to fluid contact, have prevented widespread adoption of the technology for routine use. High-k dielectrics, such as hafnium oxide (HfO2), have the known ability to address these challenges by passivating the exposed surfaces against destabilizing concerns of ion transport. With these fundamental stability issues addressed, a promising target for POC diagnostics and SiNWFET’s has been small oligonucleotides, more specifically microRNA (miRNA). MicroRNA’s are small RNA oligonucleotides which bind to messenger RNA’s, causing translational repression of proteins, gene silencing, and expressions are typically altered in several forms of cancer. In this paper, we describe a process for fabricating stable HfO2 dielectric based silicon nanowires for biosensing applications. Here we demonstrate sensing of single stranded DNA analogues to their microRNA cousins using miR-10b and miR-21 as templates, both known to be upregulated in breast cancer. We characterize the effect of surface functionalization on device performance using the miR-10b DNA analogue as the target sequence and different molecular weight poly-l-lysine as the functionalization layer. By optimizing the surface functionalization and fabrication protocol, we were able to achieve <100fM detection levels of miR-10b DNA analogue, with a theoretical limit of detection of 1fM. Moreover, the non-complementary DNA target strand, based on miR-21, showed very little response, indicating a highly sensitive and highly selective biosensing platform. PMID:22695179

  20. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography.

    PubMed

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-01-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  1. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  2. One-Dimensional Porous Silicon Nanowires with Large Surface Area for Fast Charge⁻Discharge Lithium-Ion Batteries.

    PubMed

    Chen, Xu; Bi, Qinsong; Sajjad, Muhammad; Wang, Xu; Ren, Yang; Zhou, Xiaowei; Xu, Wen; Liu, Zhu

    2018-04-27

    In this study, one-dimensional porous silicon nanowire (1D⁻PSiNW) arrays were fabricated by one-step metal-assisted chemical etching (MACE) to etch phosphorus-doped silicon wafers. The as-prepared mesoporous 1D⁻PSiNW arrays here had especially high specific surface areas of 323.47 m²·g -1 and were applied as anodes to achieve fast charge⁻discharge performance for lithium ion batteries (LIBs). The 1D⁻PSiNWs anodes with feature size of ~7 nm exhibited reversible specific capacity of 2061.1 mAh·g -1 after 1000 cycles at a high current density of 1.5 A·g -1 . Moreover, under the ultrafast charge⁻discharge current rate of 16.0 A·g -1 , the 1D⁻PSiNWs anodes still maintained 586.7 mAh·g -1 capacity even after 5000 cycles. This nanoporous 1D⁻PSiNW with high surface area is a potential anode candidate for the ultrafast charge⁻discharge in LIBs with high specific capacity and superior cycling performance.

  3. A silicon nanowire-reduced graphene oxide composite as a high-performance lithium ion battery anode material.

    PubMed

    Ren, Jian-Guo; Wang, Chundong; Wu, Qi-Hui; Liu, Xiang; Yang, Yang; He, Lifang; Zhang, Wenjun

    2014-03-21

    Toward the increasing demands of portable energy storage and electric vehicle applications, silicon has been emerging as a promising anode material for lithium-ion batteries (LIBs) owing to its high specific capacity. However, serious pulverization of bulk silicon during cycling limits its cycle life. Herein, we report a novel hierarchical Si nanowire (Si NW)-reduced graphene oxide (rGO) composite fabricated using a solvothermal method followed by a chemical vapor deposition process. In the composite, the uniform-sized [111]-oriented Si NWs are well dispersed on the rGO surface and in between rGO sheets. The flexible rGO enables us to maintain the structural integrity and to provide a continuous conductive network of the electrode, which results in over 100 cycles serving as an anode in half cells at a high lithium storage capacity of 2300 mA h g(-1). Due to its [111] growth direction and the large contact area with rGO, the Si NWs in the composite show substantially enhanced reaction kinetics compared with other Si NWs or Si particles.

  4. Study of Ag induced bimetallic (Au-Ag) nanowires on silicon (5 5 12) surfaces: Experiment and theoretical aspects

    NASA Astrophysics Data System (ADS)

    Bhukta, Anjan; Bagarti, Trilochan; Guha, Puspendu; Ravulapalli, Sathyavathi; Satpati, Biswarup; Rakshit, Bipul; Maiti, Paramita; Parlapalli, Venkata Satyam

    2017-10-01

    The reconstructed vicinal (high index) silicon surfaces, such as, Si (5 5 12) composes row-like structures that can be used as templates for growing aligned nanowires. By using a sub-monolayers of Ag, prior to Au deposition on reconstructed Si (5 512) surface, intermixing of Au and Ag, enhancement of aspect ratio of bimetallic Au-Ag nanowires with tunable morphology is reported. This is attributed to a combined effect of pre-grown Ag strips as nucleation centers for incoming Au ad-atoms and anisotropic Au-Ag intermixing. To achieve optimum conditions for the growth of larger aspect ratio Au-Ag nanostructures, the growth kinetics have been studied by varying growth and annealing temperatures. At ≈400 °C, the Ag diffused into silicon substrate and the inter-diffusion found to inhibit the formation of Au-Ag bimetallic nanostructures. Controlled experiments under ultra-high vacuum condition in a molecular beam epitaxy system and in-situ scanning tunneling microscopy measurements along with ex-situ scanning transmission and secondary electron microscopy measurements have been carried out to understand the bimetallic nanostructure growth. Kinetic Monte Carlo (KMC) simulations based on kinematics of ad-atoms on an anisotropic template with a solid on solid model in which the relative ratios of binding energies (that are obtained from the Density Functional Theory) have been used and the KMC simulations results agree with the experimental observations. Advantage of having bimetallic structures as effective substrates for Surface enhanced Raman spectroscopy application is demonstrated by detecting Rhodamine 6 G (R6G) molecule at the concentration of 10-7M.

  5. Design of an ultrathin cold neutron detector

    NASA Astrophysics Data System (ADS)

    Osovizky, A.; Pritchard, K.; Yehuda-Zada, Y.; Ziegler, J.; Binkley, E.; Tsai, P.; Thompson, A.; Hadad, N.; Jackson, M.; Hurlbut, C.; Baltic, G. M.; Majkrzak, C. F.; Maliszewskyj, N. C.

    2018-06-01

    We describe the design and performance of an ultrathin (<2 mm) cold neutron detector consisting of 6LiF:ZnS(Ag) scintillator in which wavelength shifting fibers have been embedded to conduct scintillation photons out of the medium to a silicon photomultiplier photosensor. The counter has a neutron sensitive volume of 12 mm wide × 30 mm high × 1.4 mm deep. Twenty-four 0.5 mm diameter wavelength shifting fibers conduct the scintillation light out of the plane of the detector and are concentrated onto a 3 mm × 3 mm silicon photomultiplier. The detector is demonstrated to possess a neutron detection efficiency of 93% for 3.27 meV neutrons with a gamma ray rejection ratio on the order of 10-7.

  6. Biased Target Ion Beam Deposition and Nanoskiving for Fabricating NiTi Alloy Nanowires

    NASA Astrophysics Data System (ADS)

    Hou, Huilong; Horn, Mark W.; Hamilton, Reginald F.

    2016-12-01

    Nanoskiving is a novel nanofabrication technique to produce shape memory alloy nanowires. Our previous work was the first to successfully fabricate NiTi alloy nanowires using the top-down approach, which leverages thin film technology and ultramicrotomy for ultra-thin sectioning. For this work, we utilized biased target ion beam deposition technology to fabricate nanoscale (i.e., sub-micrometer) NiTi alloy thin films. In contrast to our previous work, rapid thermal annealing was employed for heat treatment, and the B2 austenite to R-phase martensitic transformation was confirmed using stress-temperature and diffraction measurements. The ultramicrotome was programmable and facilitated sectioning the films to produce nanowires with thickness-to-width ratios ranging from 4:1 to 16:1. Energy dispersive X-ray spectroscopy analysis confirmed the elemental Ni and Ti make-up of the wires. The findings exposed the nanowires exhibited a natural ribbon-like curvature, which depended on the thickness-to-width ratio. The results demonstrate nanoskiving is a potential nanofabrication technique for producing NiTi alloy nanowires that are continuous with an unprecedented length on the order of hundreds of micrometers.

  7. Preparation and characterization of oriented silica nanowires

    NASA Astrophysics Data System (ADS)

    Sun, S. H.; Meng, G. W.; Zhang, M. G.; Tian, Y. T.; Xie, T.; Zhang, L. D.

    2003-11-01

    Large-scale of oriented closely packed silica nanowire bunches have been synthesized by using large size (1-10 μm in diameter), low melting point tin droplets as catalyst on silicon wafers at 980 °C. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses show that the amorphous silica nanowires have lengths of 50-100 μm and diameters of 100-200 nm. Unlike any previous observed results using high melting point metal (such as gold and iron) as catalyst, the Sn catalyst growth exhibits many interesting phenomena. Each Sn ball can simultaneously catalyze the growth of many silica nanowires, which is quite different from the conventional vapor-liquid-solid process.

  8. Preparation of nanowire specimens for laser-assisted atom probe tomography

    NASA Astrophysics Data System (ADS)

    Blumtritt, H.; Isheim, D.; Senz, S.; Seidman, D. N.; Moutanabbir, O.

    2014-10-01

    The availability of reliable and well-engineered commercial instruments and data analysis software has led to development in recent years of robust and ergonomic atom-probe tomographs. Indeed, atom-probe tomography (APT) is now being applied to a broader range of materials classes that involve highly important scientific and technological problems in materials science and engineering. Dual-beam focused-ion beam microscopy and its application to the fabrication of APT microtip specimens have dramatically improved the ability to probe a variety of systems. However, the sample preparation is still challenging especially for emerging nanomaterials such as epitaxial nanowires which typically grow vertically on a substrate through metal-catalyzed vapor phase epitaxy. The size, morphology, density, and sensitivity to radiation damage are the most influential parameters in the preparation of nanowire specimens for APT. In this paper, we describe a step-by-step process methodology to allow a precisely controlled, damage-free transfer of individual, short silicon nanowires onto atom probe microposts. Starting with a dense array of tiny nanowires and using focused ion beam, we employed a sequence of protective layers and markers to identify the nanowire to be transferred and probed while protecting it against Ga ions during lift-off processing and tip sharpening. Based on this approach, high-quality three-dimensional atom-by-atom maps of single aluminum-catalyzed silicon nanowires are obtained using a highly focused ultraviolet laser-assisted local electrode atom probe tomograph.

  9. Magnetic Iron Oxide Nanowires Formed by Reactive Dewetting.

    PubMed

    Bennett, Roger A; Etman, Haitham A; Hicks, Hannah; Richards, Leah; Wu, Chen; Castell, Martin R; Dhesi, Sarnjeet S; Maccherozzi, Francesco

    2018-04-11

    The growth and reactive dewetting of ultrathin films of iron oxides supported on Re(0001) surfaces have been imaged in situ in real time. Initial growth forms a nonmagnetic stable FeO (wüstite like) layer in a commensurate network upon which high aspect ratio nanowires of several microns in length but less than 40 nm in width can be fabricated. The nanowires are closely aligned with the substrate crystallography and imaging by X-ray magnetic circular dichroism shows that each contain a single magnetic domain. The driving force for dewetting appears to be the minimization of strain energy of the Fe 3 O 4 crystallites and follows the Tersoff and Tromp model in which strain is minimized at constant height by extending in one epitaxially matched direction. Such wires are promising in spintronic applications and we predict that the growth will also occur on other hexagonal substrates.

  10. Thermodynamics of the adsorption of flexible polymers on nanowires

    DOE PAGES

    Vogel, Thomas; Gross, Jonathan; Bachmann, Michael

    2015-03-09

    Generalized-ensemble simulations enable the study of complex adsorption scenarios of a coarse-grained model polymer near an attractive nanostring, representing an ultrathin nanowire. We perform canonical and microcanonical statistical analyses to investigate structural transitions of the polymer and discuss their dependence on the temperature and on model parameters such as effective wire thickness and attraction strength. The result is a complete hyperphase diagram of the polymer phases, whose locations and stability are influenced by the effective material properties of the nanowire and the strength of the thermal fluctuations. Major structural polymer phases in the adsorbed state include compact droplets attached tomore » or wrapping around the wire, and tubelike conformations with triangular pattern that resemble ideal boron nanotubes. In conclusion, the classification of the transitions is performed by microcanonical inflection-point analysis.« less

  11. Hierarchically structured Co₃O₄@Pt@MnO₂ nanowire arrays for high-performance supercapacitors.

    PubMed

    Xia, Hui; Zhu, Dongdong; Luo, Zhentao; Yu, Yue; Shi, Xiaoqin; Yuan, Guoliang; Xie, Jianping

    2013-10-17

    Here we proposed a novel architectural design of a ternary MnO2-based electrode - a hierarchical Co3O4@Pt@MnO2 core-shell-shell structure, where the complemental features of the three key components (a well-defined Co3O4 nanowire array on the conductive Ti substrate, an ultrathin layer of small Pt nanoparticles, and a thin layer of MnO2 nanoflakes) are strategically combined into a single entity to synergize and construct a high-performance electrode for supercapacitors. Owing to the high conductivity of the well-defined Co3O4 nanowire arrays, in which the conductivity was further enhanced by a thin metal (Pt) coating layer, in combination with the large surface area provided by the small MnO2 nanoflakes, the as-fabricated Co3O4@Pt@MnO2 nanowire arrays have exhibited high specific capacitances, good rate capability, and excellent cycling stability. The architectural design demonstrated in this study provides a new approach to fabricate high-performance MnO2-based nanowire arrays for constructing next-generation supercapacitors.

  12. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    NASA Astrophysics Data System (ADS)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  13. FDTD modeling of solar energy absorption in silicon branched nanowires.

    PubMed

    Lundgren, Christin; Lopez, Rene; Redwing, Joan; Melde, Kathleen

    2013-05-06

    Thin film nanostructured photovoltaic cells are increasing in efficiency and decreasing the cost of solar energy. FDTD modeling of branched nanowire 'forests' are shown to have improved optical absorption in the visible and near-IR spectra over nanowire arrays alone, with a factor of 5 enhancement available at 1000 nm. Alternate BNW tree configurations are presented, achieving a maximum absorption of over 95% at 500 nm.

  14. Dielectrophoretic alignment of metal and metal oxide nanowires and nanotubes: a universal set of parameters for bridging prepatterned microelectrodes.

    PubMed

    Maijenburg, A W; Maas, M G; Rodijk, E J B; Ahmed, W; Kooij, E S; Carlen, E T; Blank, D H A; ten Elshof, J E

    2011-03-15

    Nanowires and nanotubes were synthesized from metals and metal oxides using templated cathodic electrodeposition. With templated electrodeposition, small structures are electrodeposited using a template that is the inverse of the final desired shape. Dielectrophoresis was used for the alignment of the as-formed nanowires and nanotubes between prepatterned electrodes. For reproducible nanowire alignment, a universal set of dielectrophoresis parameters to align any arbitrary nanowire material was determined. The parameters include peak-to-peak potential and frequency, thickness of the silicon oxide layer, grounding of the silicon substrate, and nature of the solvent medium used. It involves applying a field with a frequency >10(5) Hz, an insulating silicon oxide layer with a thickness of 2.5 μm or more, grounding of the underlying silicon substrate, and the use of a solvent medium with a low dielectric constant. In our experiments, we obtained good results by using a peak-to-peak potential of 2.1 V at a frequency of 1.2 × 10(5) Hz. Furthermore, an indirect alignment technique is proposed that prevents short circuiting of nanowires after contacting both electrodes. After alignment, a considerably lower resistivity was found for ZnO nanowires made by templated electrodeposition (2.2-3.4 × 10(-3) Ωm) compared to ZnO nanorods synthesized by electrodeposition (10 Ωm) or molecular beam epitaxy (MBE) (500 Ωm). Copyright © 2010 Elsevier Inc. All rights reserved.

  15. Monolithically Integrated Self-Charging Power Pack Consisting of a Silicon Nanowire Array/Conductive Polymer Hybrid Solar Cell and a Laser-Scribed Graphene Supercapacitor.

    PubMed

    Liu, Hanhui; Li, Mengping; Kaner, Richard B; Chen, Songyan; Pei, Qibing

    2018-05-09

    Owing to the need for portable and sustainable energy sources and the development trend for microminiaturization and multifunctionalization in the electronic components, the study of integrated self-charging power packs has attracted increasing attention. A new self-charging power pack consisting of a silicon nanowire array/poly(3,4-ethylenedioxythiophene):polystyrenesulfonate (PEDOT:PSS) hybrid solar cell and a laser-scribed graphene (LSG) supercapacitor has been fabricated. The Si nanowire array/PEDOT:PSS hybrid solar cell structure exhibited a high power conversion efficiency (PCE) of 12.37%. The LSG demonstrated excellent energy storage capability for the power pack, with high current density, energy density, and cyclic stability when compared to other supercapacitor electrodes such as active carbon and conducting polymers. The overall efficiency of the power unit is 2.92%.

  16. Ultra-thin grain-oriented silicon steel sheet fabricated by a novel way: Twin-roll strip casting and two-stage cold rolling

    NASA Astrophysics Data System (ADS)

    Wang, Yin-Ping; Liu, Hai-Tao; Song, Hong-Yu; Liu, Jia-Xin; Shen, Hui-Ying; Jin, Yang; Wang, Guo-Dong

    2018-04-01

    0.05-0.15 mm-thick ultra-thin grain-oriented silicon steel sheets were successfully produced by a novel processing route including strip casting, hot rolling, normalizing, two-stage cold rolling with intermediate annealing, primary recrystallization annealing and secondary recrystallization annealing. The evolutions of microstructure, texture and inhibitor along the processing were briefly investigated. The results showed that the initial Goss orientation originated due to the heterogenous nucleation of δ-ferrite grains during solidification. Because of the lack of shear deformation, only a few Goss grains were observed in the hot rolled sheet. After the first cold rolling and intermediate annealing, Goss texture was enhanced and distributed in the whole thickness. A small number of Goss grains having a high fraction of high energy boundaries exhibited in the primary recrystallization annealed sheet. A large number of fine and dispersed MnS and AlN and a few co-precipitates MnS and AlN with the size range of 10-70 nm were also observed. Interestingly, a well-developed secondary recrystallization microstructure characterized by 10-60 mm grains and a sharp Goss texture were finally produced in the 0.05-0.15 mm-thick ultra-thin sheets. A magnetic induction B8 of 1.72-1.84 T was obtained. Another new finding was that a few {2 3 0}〈0 0 1〉 and {2 1 0}〈1 2 7〉 grains also can grow up abnormally because of the high fraction of high energy boundaries and the size and number advantage, respectively. These non-Goss grains finally deteriorated the magnetic properties of the ultra-thin sheets. In addition, low surface energies of {hk0} planes may also contribute to the abnormal growth of Goss, {2 3 0}〈0 0 1〉 and {2 1 0}〈1 2 7〉 grains.

  17. Effects of lithium insertion on thermal conductivity of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Wen; Institute of High Performance Computing, A*STAR, Singapore, Singapore 138632; Zhang, Gang, E-mail: zhangg@ihpc.a-star.edu.sg

    2015-04-27

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reductionmore » in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.« less

  18. Nanostructured Silicon Used for Flexible and Mobile Electricity Generation.

    PubMed

    Sun, Baoquan; Shao, Mingwang; Lee, Shuitong

    2016-12-01

    The use of nanostructured silicon for the generation of electricity in flexible and mobile devices is reviewed. This field has attracted widespread interest in recent years due to the emergence of plastic electronics. Such developments are likely to alter the nature of power sources in the near future. For example, flexible photovoltaic cells can supply electricity to rugged and collapsible electronics, biomedical devices, and conformable solar panels that are integrated with the curved surfaces of vehicles or buildings. Here, the unique optical and electrical properties of nanostructured silicon are examined, with regard to how they can be exploited in flexible photovoltaics, thermoelectric generators, and piezoelectric devices, which serve as power generators. Particular emphasis is placed on organic-silicon heterojunction photovoltaic devices, silicon-nanowire-based thermoelectric generators, and core-shell silicon/silicon oxide nanowire-based piezoelectric devices, because they are flexible, lightweight, and portable. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Performance of Ultrathin Silicon Solar Microcells with Nanostructures of Relief Formed by Soft Imprint Lithography for Broad Band Absorption Enhancement

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shir, Daniel J.; Yoon, Jongseung; Chanda, Debashis

    2010-08-11

    Recently developed classes of monocrystalline silicon solar microcells can be assembled into modules with characteristics (i.e., mechanically flexible forms, compact concentrator designs, and high-voltage outputs) that would be impossible to achieve using conventional, wafer-based approaches. This paper presents experimental and computational studies of the optics of light absorption in ultrathin microcells that include nanoscale features of relief on their surfaces, formed by soft imprint lithography. Measurements on working devices with designs optimized for broad band trapping of incident light indicate good efficiencies in energy production even at thicknesses of just a few micrometers. These outcomes are relevant not only tomore » the microcell technology described here but also to other photovoltaic systems that benefit from thin construction and efficient materials utilization.« less

  20. Mapping carrier diffusion in single silicon core-shell nanowires with ultrafast optical microscopy.

    PubMed

    Seo, M A; Yoo, J; Dayeh, S A; Picraux, S T; Taylor, A J; Prasankumar, R P

    2012-12-12

    Recent success in the fabrication of axial and radial core-shell heterostructures, composed of one or more layers with different properties, on semiconductor nanowires (NWs) has enabled greater control of NW-based device operation for various applications. (1-3) However, further progress toward significant performance enhancements in a given application is hindered by the limited knowledge of carrier dynamics in these structures. In particular, the strong influence of interfaces between different layers in NWs on transport makes it especially important to understand carrier dynamics in these quasi-one-dimensional systems. Here, we use ultrafast optical microscopy (4) to directly examine carrier relaxation and diffusion in single silicon core-only and Si/SiO(2) core-shell NWs with high temporal and spatial resolution in a noncontact manner. This enables us to reveal strong coherent phonon oscillations and experimentally map electron and hole diffusion currents in individual semiconductor NWs for the first time.

  1. Printable nanostructured silicon solar cells for high-performance, large-area flexible photovoltaics.

    PubMed

    Lee, Sung-Min; Biswas, Roshni; Li, Weigu; Kang, Dongseok; Chan, Lesley; Yoon, Jongseung

    2014-10-28

    Nanostructured forms of crystalline silicon represent an attractive materials building block for photovoltaics due to their potential benefits to significantly reduce the consumption of active materials, relax the requirement of materials purity for high performance, and hence achieve greatly improved levelized cost of energy. Despite successful demonstrations for their concepts over the past decade, however, the practical application of nanostructured silicon solar cells for large-scale implementation has been hampered by many existing challenges associated with the consumption of the entire wafer or expensive source materials, difficulties to precisely control materials properties and doping characteristics, or restrictions on substrate materials and scalability. Here we present a highly integrable materials platform of nanostructured silicon solar cells that can overcome these limitations. Ultrathin silicon solar microcells integrated with engineered photonic nanostructures are fabricated directly from wafer-based source materials in configurations that can lower the materials cost and can be compatible with deterministic assembly procedures to allow programmable, large-scale distribution, unlimited choices of module substrates, as well as lightweight, mechanically compliant constructions. Systematic studies on optical and electrical properties, photovoltaic performance in experiments, as well as numerical modeling elucidate important design rules for nanoscale photon management with ultrathin, nanostructured silicon solar cells and their interconnected, mechanically flexible modules, where we demonstrate 12.4% solar-to-electric energy conversion efficiency for printed ultrathin (∼ 8 μm) nanostructured silicon solar cells when configured with near-optimal designs of rear-surface nanoposts, antireflection coating, and back-surface reflector.

  2. Side-to-Side Cold Welding for Controllable Nanogap Formation from "Dumbbell" Ultrathin Gold Nanorods.

    PubMed

    Dai, Gaole; Wang, Binjun; Xu, Shang; Lu, Yang; Shen, Yajing

    2016-06-01

    Cold welding has been regarded as a promising bottom-up nanofabrication technique because of its ability to join metallic nanostructures at room temperature with low applied stress and without introducing damage. Usually, the cold welding process can be done instantaneously for ultrathin nanowires (diameter <10 nm) in "head-to-head" joining. Here, we demonstrate that "dumbbell" shaped ultrathin gold nanorods can be cold welded in the "side-to-side" mode in a highly controllable manner and can form an extremely small nanogap via a relatively slow welding process (up to tens of minutes, allowing various functional applications). By combining in situ high-resolution transmission electron microscopic analysis and molecular dynamic simulations, we further reveal the underlying mechanism for this "side-to-side" welding process as being dominated by atom kinetics instead of thermodynamics, which provides critical insights into three-dimensional nanosystem integration as well as the building of functional nanodevices.

  3. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  4. Understanding the Vapor-Liquid-Solid and Vapor-Solid-Solid Mechanisms of Si Nanowire Growth to Synthetically Encode Precise Nanoscale Morphology

    NASA Astrophysics Data System (ADS)

    Pinion, Christopher William

    induces a vapor-solid-solid growth mechanism, which provides atomic-level control of dopant atoms in the nanowire. Finally, we explored a promising application of nanowires by investigating the potential for complex silicon nanowires to serve as a platform for next-generation photovoltaic devices. We reviewed the synthesis, electrical, and optical characteristics of core/shell Si nanowires that are sub-wavelength in diameter and contain radial p-n junctions. We highlighted the unique features of these nanowires, such as optical antenna effects that concentrate light and intense built-in electric fields that enable ultrafast charge-carrier separation. Based on these observations we advocate for a paradigm in which nanowires are arranged in periodic horizontal arrays to form ultrathin devices.

  5. Improved performance of flexible amorphous silicon solar cells with silver nanowires

    NASA Astrophysics Data System (ADS)

    Chen, Y. R.; Li, Z. Q.; Chen, X. H.; Liu, C.; Ye, X. J.; Wang, Z. B.; Sun, Z.; Huang, S. M.

    2012-12-01

    A novel hybrid electrode structure using Ag nanowires (NWs) to create surface plasmons to enhance light trapping is designed and applied on the front surface of hydrogenated amorphous silicon (a-Si:H) solar cells on steel substrates, targeting broad-band absorption enhancements. Ag NWs were synthesized using a soft and self-seeding process. The produced Ag NWs were deposited on indium tin oxide (ITO) glass substrates or the ITO layers of the as-prepared flexible a-Si:H solar cells to form Ag NW-ITO hybrid electrodes. The Ag NW-ITO hybrid electrodes were optimized to achieve maximum optical enhancement using surface plasmons and obtain good electrical contacts in cells. Finite-element electromagnetic simulations confirmed that the presence of the Ag NWs resulted in increased electromagnetic fields within the a-Si:H layer. Compared to the cell with conventional ITO electrode, the measured quantum efficiency of the best performing a-Si:H cell shows an obvious enhancement in the wavelength range from 330 nm to 600 nm. The cell based on the optimized Ag NW-ITO demonstrates an increase about 4% in short-circuit current density and over 6% in power conversion efficiency under AM 1.5 illumination.

  6. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    PubMed Central

    Casiello, Michele; Fusco, Caterina; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro

    2018-01-01

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation. PMID:29385761

  7. Efficiency enhancement of silicon nanowire solar cells by using UV/Ozone treatments and micro-grid electrodes

    NASA Astrophysics Data System (ADS)

    Chen, Junyi; Subramani, Thiyagu; Sun, Yonglie; Jevasuwan, Wipakorn; Fukata, Naoki

    2018-05-01

    Silicon nanowire solar cells were fabricated by metal catalyzed electroless etching (MCEE) followed by thermal chemical vapor deposition (CVD). In this study, we investigated two effects, a UV/ozone treatment and the use of a micro-grid electrodes, to enhance light absorption and reduce the optic losses in the solar cell device. The UV/ozone treatment successfully improved the conversion efficiency. The micro-grid electrodes were then applied in solar cell devices subjected to a back surface field (BSF) treatment and rapid thermal annealing (RTA). These effects improved the conversion efficiency from 9.4% to 10.9%. Moreover, to reduce surface recombination and improve the continuity of front electrodes, we optimized the etching time of the MCEE process, giving a high efficiency of 12.3%.

  8. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation.

    PubMed

    Casiello, Michele; Picca, Rosaria Anna; Fusco, Caterina; D'Accolti, Lucia; Leonardi, Antonio Alessio; Lo Faro, Maria Josè; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro; Sportelli, Maria Chiara; Cioffi, Nicola; Nacci, Angelo

    2018-01-30

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the C aryl -N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me-Si interface by virtue of metal "silicides" formation.

  9. Wafer-scale integrated micro-supercapacitors on an ultrathin and highly flexible biomedical platform.

    PubMed

    Maeng, Jimin; Meng, Chuizhou; Irazoqui, Pedro P

    2015-02-01

    We present wafer-scale integrated micro-supercapacitors on an ultrathin and highly flexible parylene platform, as progress toward sustainably powering biomedical microsystems suitable for implantable and wearable applications. All-solid-state, low-profile (<30 μm), and high-density (up to ~500 μF/mm(2)) micro-supercapacitors are formed on an ultrathin (~20 μm) freestanding parylene film by a wafer-scale parylene packaging process in combination with a polyaniline (PANI) nanowire growth technique assisted by surface plasma treatment. These micro-supercapacitors are highly flexible and shown to be resilient toward flexural stress. Further, direct integration of micro-supercapacitors into a radio frequency (RF) rectifying circuit is achieved on a single parylene platform, yielding a complete RF energy harvesting microsystem. The system discharging rate is shown to improve by ~17 times in the presence of the integrated micro-supercapacitors. This result suggests that the integrated micro-supercapacitor technology described herein is a promising strategy for sustainably powering biomedical microsystems dedicated to implantable and wearable applications.

  10. A Hydrogel of Ultrathin Pure Polyaniline Nanofibers: Oxidant-Templating Preparation and Supercapacitor Application.

    PubMed

    Zhou, Kun; He, Yuan; Xu, Qingchi; Zhang, Qin'e; Zhou, An'an; Lu, Zihao; Yang, Li-Kun; Jiang, Yuan; Ge, Dongtao; Liu, Xiang Yang; Bai, Hua

    2018-05-15

    Although challenging, fabrication of porous conducting polymeric materials with excellent electronic properties is crucial for many applications. We developed a fast in situ polymerization approach to pure polyaniline (PANI) hydrogels, with vanadium pentoxide hydrate nanowires as both the oxidant and sacrifice template. A network comprised of ultrathin PANI nanofibers was generated during the in situ polymerization, and the large aspect ratio of these PANI nanofibers allowed the formation of hydrogels at a low solid content of 1.03 wt %. Owing to the ultrathin fibril structure, PANI hydrogels functioning as a supercapacitor electrode display a high specific capacitance of 636 F g -1 , a rate capability, and good cycling stability (∼83% capacitance retention after 10,000 cycles). This method was also extended to the preparation of polypyrrole and poly(3,4-ethylenedioxythiophene) hydrogels. This template polymerization method represents a rational strategy for design of conducing polymer networks, which can be readily integrated in high-performance devices or a further platform for functional composites.

  11. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  12. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  13. Neuron-like differentiation of mesenchymal stem cells on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Hyunju; Kim, Ilsoo; Choi, Heon-Jin; Kim, So Yeon; Yang, Eun Gyeong

    2015-10-01

    The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal stem cells (hMSCs) were cultured on the longest SiNWs for 3 days, most of the cells exhibited elongated shapes with neurite-like extensions and dot-like focal adhesions that were prominently observed along with actin filaments. Under these circumstances, the cell motility analyzed by live cell imaging was found to decrease due to the presence of SiNWs. In addition, the slowed growth rate, as well as the reduced population of S phase cells, suggested that the cell cycle was likely arrested in response to the differentiation process. Furthermore, we measured the mRNA levels of several lineage-specific markers to confirm that the SiNWs actually induced neuron-like differentiation of the hMSCs while hampering their osteogenic differentiation. Taken together, our results implied that SiNWs were capable of inducing active reorganization of cellular behaviors, collectively guiding the fate of hMSCs into the neural lineage even in the absence of any inducing reagent.The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal

  14. Analysis of an anti-reflecting nanowire transparent electrode for solar cells

    NASA Astrophysics Data System (ADS)

    Zhao, Zhexin; Wang, Ken Xingze; Fan, Shanhui

    2017-03-01

    Transparent electrodes are an important component in many optoelectronic devices, especially solar cells. In this paper, we investigate a nanowire transparent electrode that also functions as an anti-reflection coating for silicon solar cells, taking into account the practical constraints that the electrode is typically encapsulated and needs to be in electric contact with the semiconductor. Numerical simulations show that the electrode can provide near-perfect broadband anti-reflection over much of the frequency range above the silicon band gap for both polarizations while keeping the sheet resistance sufficiently low. To provide insights into the physics mechanism of this broadband anti-reflection, we introduce a generalized Fabry-Perot model, which captures the effects of the higher order diffraction channels as well as the modification of the reflection coefficient of the interface introduced by the nanowires. This model is validated using frequency-domain electromagnetic simulations. Our work here provides design guidelines for nanowire transparent electrode in a device configuration that is relevant for solar cell applications.

  15. Suppression of surface segregation of the phosphorous δ-doping layer by insertion of an ultra-thin silicon layer for ultra-shallow Ohmic contacts on n-type germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamada, Michihiro; Uematsu, Masashi; Itoh, Kohei M., E-mail: kitoh@appi.keio.ac.jp

    2015-09-28

    We demonstrate the formation of abrupt phosphorus (P) δ-doping profiles in germanium (Ge) by the insertion of ultra-thin silicon (Si) layers. The Si layers at the δ-doping region significantly suppress the surface segregation of P during the molecular beam epitaxial growth of Ge and high-concentration active P donors are confined within a few nm of the initial doping position. The current-voltage characteristics of the P δ-doped layers with Si insertion show excellent Ohmic behaviors with low enough resistivity for ultra-shallow Ohmic contacts on n-type Ge.

  16. Fabrication of Buried Nanochannels From Nanowire Patterns

    NASA Technical Reports Server (NTRS)

    Choi, Daniel; Yang, Eui-Hyeok

    2007-01-01

    A method of fabricating channels having widths of tens of nanometers in silicon substrates and burying the channels under overlying layers of dielectric materials has been demonstrated. With further refinement, the method might be useful for fabricating nanochannels for manipulation and analysis of large biomolecules at single-molecule resolution. Unlike in prior methods, burying the channels does not involve bonding of flat wafers to the silicon substrates to cover exposed channels in the substrates. Instead, the formation and burying of the channels are accomplished in a more sophisticated process that is less vulnerable to defects in the substrates and less likely to result in clogging of, or leakage from, the channels. In this method, the first step is to establish the channel pattern by forming an array of sacrificial metal nanowires on an SiO2-on-Si substrate. In particular, the wire pattern is made by use of focused-ion-beam (FIB) lithography and a subsequent metallization/lift-off process. The pattern of metal nanowires is then transferred onto the SiO2 layer by reactive-ion etching, which yields sacrificial SiO2 nanowires covered by metal. After removal of the metal covering the SiO2 nanowires, what remains are SiO2 nanowires on an Si substrate. Plasma-enhanced chemical vapor deposition (PECVD) is used to form a layer of a dielectric material over the Si substrate and over the SiO2 wires on the surface of the substrate. FIB milling is then performed to form trenches at both ends of each SiO2 wire. The trenches serve as openings for the entry of chemicals that etch SiO2 much faster than they etch Si. Provided that the nanowires are not so long that the diffusion of the etching chemicals is blocked, the sacrificial SiO2 nanowires become etched out from between the dielectric material and the Si substrate, leaving buried channels. At the time of reporting the information for this article, channels 3 m long, 20 nm deep, and 80 nm wide (see figure) had been

  17. Silicon nanowire sensor for DNA detection and sequencing: an ab initio simulation

    NASA Astrophysics Data System (ADS)

    Lu, Wenchang; Li, Yan; Hodak, Miroslav; Xiao, Zhongcan; Bernholc, Jerry

    Electrical sensors able to detect DNA replication and determine its sequence would enable fast and relatively cheap diagnosis of gene-related vulnerabilities and cancers. At present, it is already possible to electrically monitor DNA replication events using a Klenow fragment of polymerase I attached to a carbon nanotube. Since devices based on Si nanowires would be much easier to produce in quantity, we examine theoretically the sensitivity of a Si nanowire/Klenow fragment for electrical detection of nucleotide addition. A highly parallel real-space multigrid code is used for DFT-based non-equilibrium Green's function calculations involving up to 16,000 atoms, employing highly-accurate variationally-optimized localized orbitals. We find that the open and closed Klenow fragment configurations, prior and during nucleotide addition, respectively, screen the Si nanowire differently and result in a detectable current difference. The sensitivity is the largest in the subthreshold regime while the absolute current difference is maximized in the turn-on state. The sensitivity decreases with an increase of the nanowire size, as expected, but the current difference between different enzymatic states is nearly independent on the nanowire size up to 800 Å2 cross section.

  18. Functionalized ZnO nanowires for microcantilever biosensors with enhanced binding capability.

    PubMed

    Stassi, Stefano; Chiadò, Alessandro; Cauda, Valentina; Palmara, Gianluca; Canavese, Giancarlo; Laurenti, Marco; Ricciardi, Carlo

    2017-04-01

    An efficient way to increase the binding capability of microcantilever biosensors is here demonstrated by growing zinc oxide nanowires (ZnO NWs) on their active surface. A comprehensive evaluation of the chemical compatibility of ZnO NWs brought to the definition of an innovative functionalization method able to guarantee the proper immobilization of biomolecules on the nanostructured surface. A noteworthy higher amount of grafted molecules was evidenced with colorimetric assays on ZnO NWs-coated devices, in comparison with functionalized and activated silicon flat samples. ZnO NWs grown on silicon microcantilever arrays and activated with the proposed immobilization strategy enhanced the sensor binding capability (and thus the dynamic range) of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices. Graphical Abstract An efficient way to increase the binding capability of microcantilever biosensors is represented by growing zinc oxide nanowires (ZnO NWs) on their active surface. ZnO NWs grown on silicon microcantilever arrays and activated with an innovative immobilization strategy enhanced the sensor binding capability of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices.

  19. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  20. Controllable Synthesis of Ordered Mesoporous Mo2C@Graphitic Carbon Core-Shell Nanowire Arrays for Efficient Electrocatalytic Hydrogen Evolution.

    PubMed

    Zhu, Jiahui; Yao, Yan; Chen, Zhi; Zhang, Aijian; Zhou, Mengyuan; Guo, Jun; Wu, Winston Duo; Chen, Xiao Dong; Li, Yanguang; Wu, Zhangxiong

    2018-06-06

    Mo 2 C is a possible substitute to Pt-group metals for electrocatalytic hydrogen evolution reaction (HER). Both support-free and carbon-supported Mo 2 C nanomaterials with improved HER performance have been developed. Herein, distinct from prior research, novel ordered mesoporous core-shell nanowires with Mo 2 C cores and ultrathin graphitic carbon (GC) shells are rationally synthesized and demonstrated to be excellent for HER. The synthesis is fulfilled via a hard-templating approach combining in situ carburization and localized carbon deposition. Phosphomolybdic acid confined in the SBA-15 template is first converted to MoO 2 , which is then in situ carburized to Mo 2 C nanowires with abundant surface defects. Simultaneously, GC layer (the thickness is down to ∼1.0 nm in most areas) is controlled to be locally deposited on the Mo 2 C surface because of its strong affinity with carbon and catalytic effect on graphitization. Removal of the template results in the Mo 2 C@GC core-shell nanowire arrays with the structural properties well-characterized. They exhibit excellent performance for HER with a low overpotential of 125 mV at 10 mA cm -2 , a small Tafel slope of 66 mV dec -1 , and an excellent stability in acidic electrolytes. The influences of several factors, especially the spatial configuration and relative contents of the GC and Mo 2 C components, on HER performance are elucidated with control experiments. The excellent HER performance of the mesoporous Mo 2 C@GC core-shell nanowire arrays originates from the rough Mo 2 C nanowires with diverse active sites and short charge-transfer paths and the ultrathin GC shells with improved surface area, electronic conductivity, and stabilizing effect on Mo 2 C.

  1. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    PubMed Central

    Muñoz-Rosas, Ana Luz; Alonso-Huitrón, Juan Carlos

    2018-01-01

    Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs) embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs) to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD) in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC)-sputtering technique, and an aluminum doped zinc oxide thin film (AZO) which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL) enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL) enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer. PMID:29565267

  2. Nickel/Platinum Dual Silicide Axial Nanowire Heterostructures with Excellent Photosensor Applications.

    PubMed

    Wu, Yen-Ting; Huang, Chun-Wei; Chiu, Chung-Hua; Chang, Chia-Fu; Chen, Jui-Yuan; Lin, Ting-Yi; Huang, Yu-Ting; Lu, Kuo-Chang; Yeh, Ping-Hung; Wu, Wen-Wei

    2016-02-10

    Transition metal silicide nanowires (NWs) have attracted increasing attention as they possess advantages of both silicon NWs and transition metals. Over the past years, there have been reported with efforts on one silicide in a single silicon NW. However, the research on multicomponent silicides in a single silicon NW is still rare, leading to limited functionalities. In this work, we successfully fabricated β-Pt2Si/Si/θ-Ni2Si, β-Pt2Si/θ-Ni2Si, and Pt, Ni, and Si ternary phase axial NW heterostructures through solid state reactions at 650 °C. Using in situ transmission electron microscope (in situ TEM), the growth mechanism of silicide NW heterostructures and the diffusion behaviors of transition metals were systematically studied. Spherical aberration corrected scanning transmission electron microscope (Cs-corrected STEM) equipped with energy dispersive spectroscopy (EDS) was used to analyze the phase structure and composition of silicide NW heterostructures. Moreover, electrical and photon sensing properties for the silicide nanowire heterostructures demonstrated promising applications in nano-optoeletronic devices. We found that Ni, Pt, and Si ternary phase nanowire heterostructures have an excellent infrared light sensing property which is absent in bulk Ni2Si or Pt2Si. The above results would benefit the further understanding of heterostructured nano materials.

  3. Batchwise growth of silica cone patterns via self-assembly of aligned nanowires.

    PubMed

    Luo, Shudong; Zhou, Weiya; Chu, Weiguo; Shen, Jun; Zhang, Zengxing; Liu, Lifeng; Liu, Dongfang; Xiang, Yanjuan; Ma, Wenjun; Xie, Sishen

    2007-03-01

    Silica-cone patterns self-assembled from well-aligned nanowires are synthesized using gallium droplets as the catalyst and silicon wafers as the silicon source. The cones form a triangular pattern array radially on almost the whole surface of the molten Ga ball. Detailed field-emission scanning electron microscopy (SEM) analysis shows that the cone-pattern pieces frequently slide off and are detached from the molten Ga ball surface, which leads to the exposure of the catalyst surface and the growth of a new batch of silicon oxide nanowires as well as the cone patterns. The processes of growth and detachment alternate, giving rise to the formation of a volcano-like or a flower-like structure with bulk-quantity pieces of cone patterns piled up around the Ga ball. Consequently, the cone-patterned layer grows batch by batch until the reaction is terminated. Different to the conventional metal-catalyzed growth model, the batch-by-batch growth of the triangular cone patterns proceeds on the molten Ga balls via alternate growth on and detachment from the catalyst surface of the patterns; the Ga droplet can be used continuously and circularly as an effective catalyst for the growth of amorphous SiO(x) nanowires during the whole growth period. The intriguing batchwise growth phenomena may enrich our understanding of the vapour-liquid-solid (VLS) growth mechanism for the catalyst growth of nanowires or other nanostructures and may offer a different way of self-assembling novel silica nanostructures.

  4. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires.

    PubMed

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-22

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell's performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi ) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell's performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  5. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    NASA Astrophysics Data System (ADS)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  6. Ultra-thin silicon (UTSi) on insulator CMOS transceiver and time-division multiplexed switch chips for smart pixel integration

    NASA Astrophysics Data System (ADS)

    Zhang, Liping; Sawchuk, Alexander A.

    2001-12-01

    We describe the design, fabrication and functionality of two different 0.5 micron CMOS optoelectronic integrated circuit (OEIC) chips based on the Peregrine Semiconductor Ultra-Thin Silicon on insulator technology. The Peregrine UTSi silicon- on-sapphire (SOS) technology is a member of the silicon-on- insulator (SOI) family. The low-loss synthetic sapphire substrate is optically transparent and has good thermal conductivity and coefficient of thermal expansion properties, which meet the requirements for flip-chip bonding of VCSELs and other optoelectronic input-output components. One chip contains transceiver and network components, including four channel high-speed CMOS transceiver modules, pseudo-random bit stream (PRBS) generators, a voltage controlled oscillator (VCO) and other test circuits. The transceiver chips can operate in both self-testing mode and networking mode. An on- chip clock and true-single-phase-clock (TSPC) D-flip-flop have been designed to generate a PRBS at over 2.5 Gb/s for the high-speed transceiver arrays to operate in self-testing mode. In the networking mode, an even number of transceiver chips forms a ring network through free-space or fiber ribbon interconnections. The second chip contains four channel optical time-division multiplex (TDM) switches, optical transceiver arrays, an active pixel detector and additional test devices. The eventual applications of these chips will require monolithic OEICs with integrated optical input and output. After fabrication and testing, the CMOS transceiver array dies will be packaged with 850 nm vertical cavity surface emitting lasers (VCSELs), and metal-semiconductor- metal (MSM) or GaAs p-i-n detector die arrays to achieve high- speed optical interconnections. The hybrid technique could be either wire bonding or flip-chip bonding of the CMOS SOS smart-pixel arrays with arrays of VCSELs and photodetectors onto an optoelectronic chip carrier as a multi-chip module (MCM).

  7. Core-shell heterojunction of silicon nanowire arrays and carbon quantum dots for photovoltaic devices and self-driven photodetectors.

    PubMed

    Xie, Chao; Nie, Biao; Zeng, Longhui; Liang, Feng-Xia; Wang, Ming-Zheng; Luo, Linbao; Feng, Mei; Yu, Yongqiang; Wu, Chun-Yan; Wu, Yucheng; Yu, Shu-Hong

    2014-04-22

    Silicon nanostructure-based solar cells have lately intrigued intensive interest because of their promising potential in next-generation solar energy conversion devices. Herein, we report a silicon nanowire (SiNW) array/carbon quantum dot (CQD) core-shell heterojunction photovoltaic device by directly coating Ag-assisted chemical-etched SiNW arrays with CQDs. The heterojunction with a barrier height of 0.75 eV exhibited excellent rectifying behavior with a rectification ratio of 10(3) at ±0.8 V in the dark and power conversion efficiency (PCE) as high as 9.10% under AM 1.5G irradiation. It is believed that such a high PCE comes from the improved optical absorption as well as the optimized carrier transfer and collection capability. Furthermore, the heterojunction could function as a high-performance self-driven visible light photodetector operating in a wide switching wavelength with good stability, high sensitivity, and fast response speed. It is expected that the present SiNW array/CQD core-shell heterojunction device could find potential applications in future high-performance optoelectronic devices.

  8. Reduction of Thermal Conductivity in Nanowires by Combined Engineering of Crystal Phase and Isotope Disorder.

    PubMed

    Mukherjee, S; Givan, U; Senz, S; de la Mata, M; Arbiol, J; Moutanabbir, O

    2018-05-09

    Nanowires are a versatile platform to investigate and harness phonon and thermal transport phenomena in nanoscale systems. With this perspective, we demonstrate herein the use of crystal phase and mass disorder as effective degrees of freedom to manipulate the behavior of phonons and control the flow of local heat in silicon nanowires. The investigated nanowires consist of isotopically pure and isotopically mixed nanowires bearing either a pure diamond cubic or a cubic-rhombohedral polytypic crystal phase. The nanowires with tailor-made isotopic compositions were grown using isotopically enriched silane precursors 28 SiH 4 , 29 SiH 4 , and 30 SiH 4 with purities better than 99.9%. The analysis of polytypic nanowires revealed ordered and modulated inclusions of lamellar rhombohedral silicon phases toward the center in otherwise diamond-cubic lattice with negligible interphase biaxial strain. Raman nanothermometry was employed to investigate the rate at which the local temperature of single suspended nanowires evolves in response to locally generated heat. Our analysis shows that the lattice thermal conductivity in nanowires can be tuned over a broad range by combining the effects of isotope disorder and the nature and degree of polytypism on phonon scattering. We found that the thermal conductivity can be reduced by up to ∼40% relative to that of isotopically pure nanowires, with the lowest value being recorded for the rhombohedral phase in isotopically mixed 28 Si x 30 Si 1- x nanowires with composition close to the highest mass disorder ( x ∼ 0.5). These results shed new light on the fundamentals of nanoscale thermal transport and lay the groundwork to design innovative phononic devices.

  9. Synthesis of Sub-2 nm Iron-Doped NiSe2 Nanowires and Their Surface-Confined Oxidation for Oxygen Evolution Catalysis.

    PubMed

    Gu, Chao; Hu, Shaojin; Zheng, Xusheng; Gao, Min-Rui; Zheng, Ya-Rong; Shi, Lei; Gao, Qiang; Zheng, Xiao; Chu, Wangsheng; Yao, Hong-Bin; Zhu, Junfa; Yu, Shu-Hong

    2018-04-03

    Ultrathin nanostructures are attractive for diverse applications owing to their unique properties compared to their bulk materials. Transition-metal chalcogenides are promising electrocatalysts, yet it remains difficult to make ultrathin structures (sub-2 nm), and the realization of their chemical doping is even more challenging. Herein we describe a soft-template mediated colloidal synthesis of Fe-doped NiSe 2 ultrathin nanowires (UNWs) with diameter down to 1.7 nm. The synergistic interplay between oleylamine and 1-dodecanethiol is crucial to yield these UNWs. The in situ formed amorphous hydroxide layers that is confined to the surface of the ultrathin scaffolds enable efficient oxygen evolution electrocatalysis. The UNWs exhibit a very low overpotential of 268 mV at 10 mA cm -2 in 0.1 m KOH, as well as remarkable long-term stability, representing one of the most efficient noble-metal-free catalysts. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    PubMed

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  11. Tip-Enhanced Photoinduced Electron Transfer and Ionization on Vertical Silicon Nanowires.

    PubMed

    Chen, Xiaoming; Wang, Tao; Lin, Leimiao; Wo, Fangjie; Liu, Yaqin; Liang, Xiao; Ye, Hui; Wu, Jianmin

    2018-05-02

    Nanostructured semiconductors are one of the most potent candidates for matrix-free laser desorption/ionization mass spectrometric (LDI-MS) analysis of low-molecular-weight molecules. Herein, the enhanced photoinduced electron transfer and LDI on the tip of a vertical silicon nanowire (SiNW) array were investigated. Theoretical simulation and LDI detection of indigo and isatin molecules in negative ion mode revealed that the electric field can be enhanced on the tip end of SiNWs, thereby promoting the energy and electron transfer to the analytes adsorbed on the tip of SiNWs. On the basis of this finding, a tip-contact sampling method coupled with LDI-MS detection was established. In this strategy, the tip of SiNWs can be regarded as microextraction heads for the sampling of molecules when they come in contact with analytes. Impression of skin, tissue, and pericarp on the vertical SiNW array can effectively transfer endogenous metabolites or exogenous substances onto the tip. Upon laser irradiation, the adsorbed molecules on the SiNW tip can be efficiently ionized and detected in negative ion mode because of the tip-enhanced electron transfer and LDI effect. We believe this work may significantly expand the application of LDI-MS in various fields.

  12. Hierarchically Structured Co3O4@Pt@MnO2 Nanowire Arrays for High-Performance Supercapacitors

    NASA Astrophysics Data System (ADS)

    Xia, Hui; Zhu, Dongdong; Luo, Zhentao; Yu, Yue; Shi, Xiaoqin; Yuan, Guoliang; Xie, Jianping

    2013-10-01

    Here we proposed a novel architectural design of a ternary MnO2-based electrode - a hierarchical Co3O4@Pt@MnO2 core-shell-shell structure, where the complemental features of the three key components (a well-defined Co3O4 nanowire array on the conductive Ti substrate, an ultrathin layer of small Pt nanoparticles, and a thin layer of MnO2 nanoflakes) are strategically combined into a single entity to synergize and construct a high-performance electrode for supercapacitors. Owing to the high conductivity of the well-defined Co3O4 nanowire arrays, in which the conductivity was further enhanced by a thin metal (Pt) coating layer, in combination with the large surface area provided by the small MnO2 nanoflakes, the as-fabricated Co3O4@Pt@MnO2 nanowire arrays have exhibited high specific capacitances, good rate capability, and excellent cycling stability. The architectural design demonstrated in this study provides a new approach to fabricate high-performance MnO2-based nanowire arrays for constructing next-generation supercapacitors.

  13. Probing Intrawire, Interwire, and Diameter-Dependent Variations in Silicon Nanowire Surface Trap Density with Pump-Probe Microscopy.

    PubMed

    Cating, Emma E M; Pinion, Christopher W; Christesen, Joseph D; Christie, Caleb A; Grumstrup, Erik M; Cahoon, James F; Papanikolas, John M

    2017-10-11

    Surface trap density in silicon nanowires (NWs) plays a key role in the performance of many semiconductor NW-based devices. We use pump-probe microscopy to characterize the surface recombination dynamics on a point-by-point basis in 301 silicon NWs grown using the vapor-liquid-solid (VLS) method. The surface recombination velocity (S), a metric of the surface quality that is directly proportional to trap density, is determined by the relationship S = d/4τ from measurements of the recombination lifetime (τ) and NW diameter (d) at distinct spatial locations in individual NWs. We find that S varies by as much as 2 orders of magnitude between NWs grown at the same time but varies only by a factor of 2 or three within an individual NW. Although we find that, as expected, smaller-diameter NWs exhibit shorter τ, we also find that smaller wires exhibit higher values of S; this indicates that τ is shorter both because of the geometrical effect of smaller d and because of a poorer quality surface. These results highlight the need to consider interwire heterogeneity as well as diameter-dependent surface effects when fabricating NW-based devices.

  14. Mapping Free-Carriers in Multijunction Silicon Nanowires Using Infrared Near-Field Optical Microscopy.

    PubMed

    Ritchie, Earl T; Hill, David J; Mastin, Tucker M; Deguzman, Panfilo C; Cahoon, James F; Atkin, Joanna M

    2017-11-08

    We report the use of infrared (IR) scattering-type scanning near-field optical microscopy (s-SNOM) as a nondestructive method to map free-carriers in axially modulation-doped silicon nanowires (SiNWs) with nanoscale spatial resolution. Using this technique, we can detect local changes in the electrically active doping concentration based on the infrared free-carrier response in SiNWs grown using the vapor-liquid-solid (VLS) method. We demonstrate that IR s-SNOM is sensitive to both p-type and n-type free-carriers for carrier densities above ∼1 × 10 19 cm -3 . We also resolve subtle changes in local conductivity properties, which can be correlated with growth conditions and surface effects. The use of s-SNOM is especially valuable in low mobility materials such as boron-doped p-type SiNWs, where optimization of growth has been difficult to achieve due to the lack of information on dopant distribution and junction properties. s-SNOM can be widely employed for the nondestructive characterization of nanostructured material synthesis and local electronic properties without the need for contacts or inert atmosphere.

  15. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    PubMed

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  16. Automated characterization and assembly of individual nanowires for device fabrication.

    PubMed

    Yu, Kaiyan; Yi, Jingang; Shan, Jerry W

    2018-05-15

    The automated sorting and positioning of nanowires and nanotubes is essential to enabling the scalable manufacturing of nanodevices for a variety of applications. However, two fundamental challenges still remain: (i) automated placement of individual nanostructures in precise locations, and (ii) the characterization and sorting of highly variable nanomaterials to construct well-controlled nanodevices. Here, we propose and demonstrate an integrated, electric-field based method for the simultaneous automated characterization, manipulation, and assembly of nanowires (ACMAN) with selectable electrical conductivities into nanodevices. We combine contactless and solution-based electro-orientation spectroscopy and electrophoresis-based motion-control, planning and manipulation strategies to simultaneously characterize and manipulate multiple individual nanowires. These nanowires can be selected according to their electrical characteristics and precisely positioned at different locations in a low-conductivity liquid to form functional nanodevices with desired electrical properties. We validate the ACMAN design by assembling field-effect transistors (FETs) with silicon nanowires of selected electrical conductivities. The design scheme provides a key enabling technology for the scalable, automated sorting and assembly of nanowires and nanotubes to build functional nanodevices.

  17. Field emission and photoluminescence characteristics of ZnS nanowires via vapor phase growth

    NASA Astrophysics Data System (ADS)

    Chang, Yongqin; Wang, Mingwei; Chen, Xihong; Ni, Saili; Qiang, Weijing

    2007-05-01

    Large-area ZnS nanowires were synthesized through a vapor phase deposition method. X-ray diffraction and electron microscopy results show that the products are composed of single crystalline ZnS nanowires with a cubic structure. The nanowires have sharp tips and are distributed uniformly on silicon substrates. The diameter of the bases is in the range of 320-530 nm and that of the tips is around 20-30 nm. The strong ultraviolet emission in the photoluminescence spectra also demonstrates that the ZnS nanowires are of high crystalline perfection. Field emission measurements reveal that the ZnS nanowires have a fairly low threshold field, which may be ascribed to their very sharp tips, rough surfaces and high crystal quality. The perfect field emission ability of the ZnS nanowires makes them a promising candidate for the fabrication of flexible cold cathodes.

  18. On the structural and electronic properties of Ir-silicide nanowires on Si(001) surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fatima,; Hossain, Sehtab; Mohottige, Rasika

    Iridium (Ir) modified Silicon (Si) (001) surface is studied with Scanning Tunneling Microscopy/Spectroscopy (STM/STS) and Density Functional Theory (DFT). A model for Ir-silicide nanowires based on STM images and ab-initio calculations is proposed. According to our model, the Ir adatom is on the top of the substrate dimer row and directly binds to the dimer atoms. I-V curves measured at 77 K shows that the nanowires are metallic. DFT calculations confirm strong metallic nature of the nanowires.

  19. Designing 3D Multihierarchical Heteronanostructures for High-Performance On-Chip Hybrid Supercapacitors: Poly(3,4-(ethylenedioxy)thiophene)-Coated Diamond/Silicon Nanowire Electrodes in an Aprotic Ionic Liquid.

    PubMed

    Aradilla, David; Gao, Fang; Lewes-Malandrakis, Georgia; Müller-Sebert, Wolfgang; Gentile, Pascal; Boniface, Maxime; Aldakov, Dmitry; Iliev, Boyan; Schubert, Thomas J S; Nebel, Christoph E; Bidan, Gérard

    2016-07-20

    A versatile and robust hierarchically multifunctionalized nanostructured material made of poly(3,4-(ethylenedioxy)thiophene) (PEDOT)-coated diamond@silicon nanowires has been demonstrated to be an excellent capacitive electrode for supercapacitor devices. Thus, the electrochemical deposition of nanometric PEDOT films on diamond-coated silicon nanowire (SiNW) electrodes using N-methyl-N-propylpyrrolidinium bis((trifluoromethyl)sulfonyl)imide ionic liquid displayed a specific capacitance value of 140 F g(-1) at a scan rate of 1 mV s(-1). The as-grown functionalized electrodes were evaluated in a symmetric planar microsupercapacitor using butyltrimethylammonium bis((trifluoromethyl)sulfonyl)imide aprotic ionic liquid as the electrolyte. The device exhibited extraordinary energy and power density values of 26 mJ cm(-2) and 1.3 mW cm(-2) within a large voltage cell of 2.5 V, respectively. In addition, the system was able to retain 80% of its initial capacitance after 15 000 galvanostatic charge-discharge cycles at a high current density of 1 mA cm(-2) while maintaining a Coulombic efficiency around 100%. Therefore, this multifunctionalized hybrid device represents one of the best electrochemical performances concerning coated SiNW electrodes for a high-energy advanced on-chip supercapacitor.

  20. Enhanced vapour sensing using silicon nanowire devices coated with Pt nanoparticle functionalized porous organic frameworks.

    PubMed

    Cao, Anping; Shan, Meixia; Paltrinieri, Laura; Evers, Wiel H; Chu, Liangyong; Poltorak, Lukasz; Klootwijk, Johan H; Seoane, Beatriz; Gascon, Jorge; Sudhölter, Ernst J R; de Smet, Louis C P M

    2018-04-19

    Recently various porous organic frameworks (POFs, crystalline or amorphous materials) have been discovered, and used for a wide range of applications, including molecular separations and catalysis. Silicon nanowires (SiNWs) have been extensively studied for diverse applications, including as transistors, solar cells, lithium ion batteries and sensors. Here we demonstrate the functionalization of SiNW surfaces with POFs and explore its effect on the electrical sensing properties of SiNW-based devices. The surface modification by POFs was easily achieved by polycondensation on amine-modified SiNWs. Platinum nanoparticles were formed in these POFs by impregnation with chloroplatinic acid followed by chemical reduction. The final hybrid system showed highly enhanced sensitivity for methanol vapour detection. We envisage that the integration of SiNWs with POF selector layers, loaded with different metal nanoparticles will open up new avenues, not only in chemical and biosensing, but also in separations and catalysis.

  1. Advanced photonic filters based on cascaded Sagnac loop reflector resonators in silicon-on-insulator nanowires

    NASA Astrophysics Data System (ADS)

    Wu, Jiayang; Moein, Tania; Xu, Xingyuan; Moss, David J.

    2018-04-01

    We demonstrate advanced integrated photonic filters in silicon-on-insulator (SOI) nanowires implemented by cascaded Sagnac loop reflector (CSLR) resonators. We investigate mode splitting in these standing-wave (SW) resonators and demonstrate its use for engineering the spectral profile of on-chip photonic filters. By changing the reflectivity of the Sagnac loop reflectors (SLRs) and the phase shifts along the connecting waveguides, we tailor mode splitting in the CSLR resonators to achieve a wide range of filter shapes for diverse applications including enhanced light trapping, flat-top filtering, Q factor enhancement, and signal reshaping. We present the theoretical designs and compare the CSLR resonators with three, four, and eight SLRs fabricated in SOI. We achieve versatile filter shapes in the measured transmission spectra via diverse mode splitting that agree well with theory. This work confirms the effectiveness of using CSLR resonators as integrated multi-functional SW filters for flexible spectral engineering.

  2. Composition and diameter modulation of magnetic nanowire arrays fabricated by a novel approach

    NASA Astrophysics Data System (ADS)

    Shaker Salem, Mohamed; Tejo, Felipe; Zierold, Robert; Sergelius, Philip; Montero Moreno, Josep M.; Goerlitz, Detlef; Nielsch, Kornelius; Escrig, Juan

    2018-02-01

    Straight magnetic nanowires composed of nickel and permalloy segments having different diameters are synthesized using a promising approach. This approach involves the controlled electrodeposition of each magnetic material into specially designed diameter-modulated porous alumina templates. Standard alumina templates are exposed to pore widening followed by a protective coating of the pore wall with ultrathin silica and further anodization. Micromagnetic simulations are employed to investigate the process of magnetization reversal in the fabricated nanowires when the magnetic materials exchange their places in the thick and thin segments. It is found that the magnetization reversal occurs by the propagation of transverse domain wall (DW) when the thick segment is composed of permalloy. However, the reversal process proceeds by the propagation of vortex DW when permalloy is located at the thin segment.

  3. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    PubMed

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  4. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  5. Engineering Localized Surface Plasmon Interactions in Gold by Silicon Nanowire for Enhanced Heating and Photocatalysis.

    PubMed

    Agarwal, Daksh; Aspetti, Carlos O; Cargnello, Matteo; Ren, MingLiang; Yoo, Jinkyoung; Murray, Christopher B; Agarwal, Ritesh

    2017-03-08

    The field of plasmonics has attracted considerable attention in recent years because of potential applications in various fields such as nanophotonics, photovoltaics, energy conversion, catalysis, and therapeutics. It is becoming increasing clear that intrinsic high losses associated with plasmons can be utilized to create new device concepts to harvest the generated heat. It is therefore important to design cavities, which can harvest optical excitations efficiently to generate heat. We report a highly engineered nanowire cavity, which utilizes a high dielectric silicon core with a thin plasmonic film (Au) to create an effective metallic cavity to strongly confine light, which when coupled with localized surface plasmons in the nanoparticles of the thin metal film produces exceptionally high temperatures upon laser irradiation. Raman spectroscopy of the silicon core enables precise measurements of the cavity temperature, which can reach values as high as 1000 K. The same Si-Au cavity with enhanced plasmonic activity when coupled with TiO 2 nanorods increases the hydrogen production rate by ∼40% compared to similar Au-TiO 2 system without Si core, in ethanol photoreforming reactions. These highly engineered thermoplasmonic devices, which integrate three different cavity concepts (high refractive index core, metallo-dielectric cavity, and localized surface plasmons) along with the ease of fabrication demonstrate a possible pathway for designing optimized plasmonic devices with applications in energy conversion and catalysis.

  6. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  7. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    NASA Astrophysics Data System (ADS)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  8. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    PubMed

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  9. Diameter and location control of ZnO nanowires using electrodeposition and sodium citrate

    NASA Astrophysics Data System (ADS)

    Lifson, Max L.; Levey, Christopher G.; Gibson, Ursula J.

    2013-10-01

    We report single-step growth of spatially localized ZnO nanowires of controlled diameter to enable improved performance of piezoelectric devices such as nanogenerators. This study is the first to demonstrate the combination of electrodeposition with zinc nitrate and sodium citrate in the growth solution. Electrodeposition through a thermally-grown silicon oxide mask results in localization, while the growth voltage and solution chemistry are tuned to control the nanowire geometry. We observe a competition between lateral (relative to the (0001) axis) citrate-related morphology and voltage-driven vertical growth which enables this control. High aspect ratios result with either pure nitrate or nitrate-citrate mixtures if large voltages are used, but low growth voltages permit the growth of large diameter nanowires in solution with citrate. Measurements of the current density suggest a two-step growth process. An oxide mask blocks the electrodeposition, and suppresses nucleation of thermally driven growth, permitting single-step lithography on low cost p-type silicon substrates.

  10. Modulation instability in silicon photonic nanowires

    NASA Astrophysics Data System (ADS)

    Panoiu, Nicolae C.; Chen, Xiaogang; Osgood, Richard M., Jr.

    2006-12-01

    We demonstrate that strong modulation instability (MI) of copropagating optical waves can be observed in Si photonic nanowires with a length of only a few millimeters. We consider two distinct cases, namely one in which one wave propagates in the normal group-velocity dispersion (GVD) region and the other one experiences anomalous GVD, and a second case in which both waves propagate in the anomalous GVD region. In both cases we show that, for comparable optical powers, the peak value of the MI gain spectrum is 2 to 3 orders of magnitude larger than that achieved in optical fibers.

  11. Nanowire liquid pumps

    NASA Astrophysics Data System (ADS)

    Huang, Jian Yu; Lo, Yu-Chieh; Niu, Jun Jie; Kushima, Akihiro; Qian, Xiaofeng; Zhong, Li; Mao, Scott X.; Li, Ju

    2013-04-01

    The ability to form tiny droplets of liquids and control their movements is important in printing or patterning, chemical reactions and biological assays. So far, such nanofluidic capabilities have principally used components such as channels, nozzles or tubes, where a solid encloses the transported liquid. Here, we show that liquids can flow along the outer surface of solid nanowires at a scale of attolitres per second and the process can be directly imaged with in situ transmission electron microscopy. Microscopy videos show that an ionic liquid can be pumped along tin dioxide, silicon or zinc oxide nanowires as a thin precursor film or as beads riding on the precursor film. Theoretical analysis suggests there is a critical film thickness of ~10 nm below which the liquid flows as a flat film and above which it flows as discrete beads. This critical thickness is the result of intermolecular forces between solid and liquid, which compete with liquid surface energy and Rayleigh-Plateau instability.

  12. Equivalence of the equilibrium and the nonequilibrium molecular dynamics methods for thermal conductivity calculations: From bulk to nanowire silicon

    NASA Astrophysics Data System (ADS)

    Dong, Haikuan; Fan, Zheyong; Shi, Libin; Harju, Ari; Ala-Nissila, Tapio

    2018-03-01

    Molecular dynamics (MD) simulations play an important role in studying heat transport in complex materials. The lattice thermal conductivity can be computed either using the Green-Kubo formula in equilibrium MD (EMD) simulations or using Fourier's law in nonequilibrium MD (NEMD) simulations. These two methods have not been systematically compared for materials with different dimensions and inconsistencies between them have been occasionally reported in the literature. Here we give an in-depth comparison of them in terms of heat transport in three allotropes of Si: three-dimensional bulk silicon, two-dimensional silicene, and quasi-one-dimensional silicon nanowire. By multiplying the correlation time in the Green-Kubo formula with an appropriate effective group velocity, we can express the running thermal conductivity in the EMD method as a function of an effective length and directly compare it to the length-dependent thermal conductivity in the NEMD method. We find that the two methods quantitatively agree with each other for all the systems studied, firmly establishing their equivalence in computing thermal conductivity.

  13. Control of the ZnO nanowires nucleation site using microfluidic channels.

    PubMed

    Lee, Sang Hyun; Lee, Hyun Jung; Oh, Dongcheol; Lee, Seog Woo; Goto, Hiroki; Buckmaster, Ryan; Yasukawa, Tomoyuki; Matsue, Tomokazu; Hong, Soon-Ku; Ko, HyunChul; Cho, Meoung-Whan; Yao, Takafumi

    2006-03-09

    We report on the growth of uniquely shaped ZnO nanowires with high surface area and patterned over large areas by using a poly(dimethylsiloxane) (PDMS) microfluidic channel technique. The synthesis uses first a patterned seed template fabricated by zinc acetate solution flowing though a microfluidic channel and then growth of ZnO nanowire at the seed using thermal chemical vapor deposition on a silicon substrate. Variations the ZnO nanowire by seed pattern formed within the microfluidic channel were also observed for different substrates and concentrations of the zinc acetate solution. The photocurrent properties of the patterned ZnO nanowires with high surface area, due to their unique shape, were also investigated. These specialized shapes and patterning technique increase the possibility of realizing one-dimensional nanostructure devices such as sensors and optoelectric devices.

  14. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    PubMed

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  15. Black silicon solar cell: analysis optimization and evolution towards a thinner and flexible future.

    PubMed

    Roy, Arijit Bardhan; Dhar, Arup; Choudhuri, Mrinmoyee; Das, Sonali; Hossain, S Minhaz; Kundu, Avra

    2016-07-29

    Analysis and optimization of silicon nano-structured geometry (black silicon) for photovoltaic applications has been reported. It is seen that a unique class of geometry: micro-nanostructure has the potential to find a balance between the conflicting interests of reduced reflection for wide angles of incidence, reduced surface area enhancement due to the nano-structuring of the substrate and reduced material wastage due to the etching of the silicon substrate to realize the geometry itself. It is established that even optimally designed micro-nanostructures would not be useful for conventional wafer based approaches. The work presents computational studies on how such micro-nanostructures are more potent for future ultra-thin monocrystalline silicon absorbers. For such ultra-thin absorbers, the optimally designed micro-nanostructures provide additional advantages of advanced light management capabilities as it behaves as a lossy 2D photonic crystal making the physically thin absorber optically thick along with the ability to collect photo-generated carriers orthogonal to the direction of light (radial junction) for unified photon-electron harvesting. Most significantly, the work answers the key question on how thin the monocrystalline solar absorber should be so that optimum micro-nanostructure would be able to harness the incident photons ensuring proper collection so as to reach the well-known Shockley-Queisser limit of solar cells. Flexible ultra-thin monocrystalline silicon solar cells have been fabricated using nanosphere lithography and MacEtch technique along with a synergistic association of crystalline and amorphous silicon technologies to demonstrate its physical and technological flexibilities. The outcomes are relevant so that nanotechnology may be seamlessly integrated into the technology roadmap of monocrystalline silicon solar cells as the silicon thickness should be significantly reduced without compromising the efficiency within the next decade.

  16. Ultra-Thin Monocrystalline Silicon Solar Cell with 12.2% Efficiency Using Silicon-On-Insulator Substrate.

    PubMed

    Bian, Jian-Tao; Yu, Jian; Duan, Wei-Yuan; Qiu, Yu

    2015-04-01

    Single side heterojunction silicon solar cells were designed and fabricated using Silicon-On-Insulator (SOI) substrate. The TCAD software was used to simulate the effect of silicon layer thickness, doping concentration and the series resistance. A 10.5 µm thick monocrystalline silicon layer was epitaxially grown on the SOI with boron doping concentration of 2 x 10(16) cm(-3) by thermal CVD. Very high Voc of 678 mV was achieved by applying amorphous silicon heterojunction emitter on the front surface. The single cell efficiency of 12.2% was achieved without any light trapping structures. The rear surface recombination and the series resistance are the main limiting factors for the cell efficiency in addition to the c-Si thickness. By integrating an efficient light trapping scheme and further optimizing fabrication process, higher efficiency of 14.0% is expected for this type of cells. It can be applied to integrated circuits on a monolithic chip to meet the requirements of energy autonomous systems.

  17. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  18. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  19. Silicon nanowires as field-effect transducers for biosensor development: a review.

    PubMed

    Noor, M Omair; Krull, Ulrich J

    2014-05-12

    The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top-down or bottom-up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top-down and bottom-up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors. Copyright © 2014 Elsevier B.V. All rights reserved.

  20. Kinked silicon nanowires-enabled interweaving electrode configuration for lithium-ion batteries.

    PubMed

    Sandu, Georgiana; Coulombier, Michael; Kumar, Vishank; Kassa, Hailu G; Avram, Ionel; Ye, Ran; Stopin, Antoine; Bonifazi, Davide; Gohy, Jean-François; Leclère, Philippe; Gonze, Xavier; Pardoen, Thomas; Vlad, Alexandru; Melinte, Sorin

    2018-06-28

    A tri-dimensional interweaving kinked silicon nanowires (k-SiNWs) assembly, with a Ni current collector co-integrated, is evaluated as electrode configuration for lithium ion batteries. The large-scale fabrication of k-SiNWs is based on a procedure for continuous metal assisted chemical etching of Si, supported by a chemical peeling step that enables the reuse of the Si substrate. The kinks are triggered by a simple, repetitive etch-quench sequence in a HF and H 2 O 2 -based etchant. We find that the inter-locking frameworks of k-SiNWs and multi-walled carbon nanotubes exhibit beneficial mechanical properties with a foam-like behavior amplified by the kinks and a suitable porosity for a minimal electrode deformation upon Li insertion. In addition, ionic liquid electrolyte systems associated with the integrated Ni current collector repress the detrimental effects related to the Si-Li alloying reaction, enabling high cycling stability with 80% capacity retention (1695 mAh/g Si ) after 100 cycles. Areal capacities of 2.42 mAh/cm 2 (1276 mAh/g electrode ) can be achieved at the maximum evaluated thickness (corresponding to 1.3 mg Si /cm 2 ). This work emphasizes the versatility of the metal assisted chemical etching for the synthesis of advanced Si nanostructures for high performance lithium ion battery electrodes.