Ellis, Jennifer L; Hickstein, Daniel D; Xiong, Wei; Dollar, Franklin; Palm, Brett B; Keister, K Ellen; Dorney, Kevin M; Ding, Chengyuan; Fan, Tingting; Wilker, Molly B; Schnitzenbaumer, Kyle J; Dukovic, Gordana; Jimenez, Jose L; Kapteyn, Henry C; Murnane, Margaret M
2016-02-18
We present ultrafast photoemission measurements of isolated nanoparticles in vacuum using extreme ultraviolet (EUV) light produced through high harmonic generation. Surface-selective static EUV photoemission measurements were performed on nanoparticles with a wide array of compositions, ranging from ionic crystals to nanodroplets of organic material. We find that the total photoelectron yield varies greatly with nanoparticle composition and provides insight into material properties such as the electron mean free path and effective mass. Additionally, we conduct time-resolved photoelectron yield measurements of isolated oleylamine nanodroplets, observing that EUV photons can create solvated electrons in liquid nanodroplets. Using photoemission from a time-delayed 790 nm pulse, we observe that a solvated electron is produced in an excited state and subsequently relaxes to its ground state with a lifetime of 151 ± 31 fs. This work demonstrates that femotosecond EUV photoemission is a versatile surface-sensitive probe of the properties and ultrafast dynamics of isolated nanoparticles.
Ultraviolet laser transverse profile shaping for improving x-ray free electron laser performance
Li, S.; Alverson, S.; Bohler, D.; ...
2017-08-17
The photocathode rf gun is one of the most critical components in x-ray free electron lasers. The drive laser strikes the photocathode surface, which emits electrons with properties that depend on the shape of the drive laser. Most free electron lasers use photocathodes with work function in the ultraviolet, a wavelength where direct laser manipulation becomes challenging. In this paper, we present a novel application of a digital micromirror device (DMD) for the 253 nm drive laser at the Linear Coherent Light Source. Laser profile shaping is accomplished through an iterative algorithm that takes into account shaping error and efficiency.more » Next, we use laser shaping to control the X-ray laser output via an online optimizer, which shows improvement in FEL pulse energy. Lastly, as a preparation for electron beam shaping, we use the DMD to measure the photocathode quantum efficiency across cathode surface with an averaged laser rms spot size of 59 μm. In conclusion, our experiments demonstrate promising outlook of using DMD to shape ultraviolet lasers for photocathode rf guns with various applications.« less
Ultraviolet laser transverse profile shaping for improving x-ray free electron laser performance
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, S.; Alverson, S.; Bohler, D.
The photocathode rf gun is one of the most critical components in x-ray free electron lasers. The drive laser strikes the photocathode surface, which emits electrons with properties that depend on the shape of the drive laser. Most free electron lasers use photocathodes with work function in the ultraviolet, a wavelength where direct laser manipulation becomes challenging. In this paper, we present a novel application of a digital micromirror device (DMD) for the 253 nm drive laser at the Linear Coherent Light Source. Laser profile shaping is accomplished through an iterative algorithm that takes into account shaping error and efficiency.more » Next, we use laser shaping to control the X-ray laser output via an online optimizer, which shows improvement in FEL pulse energy. Lastly, as a preparation for electron beam shaping, we use the DMD to measure the photocathode quantum efficiency across cathode surface with an averaged laser rms spot size of 59 μm. In conclusion, our experiments demonstrate promising outlook of using DMD to shape ultraviolet lasers for photocathode rf guns with various applications.« less
Ultraviolet laser transverse profile shaping for improving x-ray free electron laser performance
NASA Astrophysics Data System (ADS)
Li, S.; Alverson, S.; Bohler, D.; Egger, A.; Fry, A.; Gilevich, S.; Huang, Z.; Miahnahri, A.; Ratner, D.; Robinson, J.; Zhou, F.
2017-08-01
The photocathode rf gun is one of the most critical components in x-ray free electron lasers. The drive laser strikes the photocathode surface, which emits electrons with properties that depend on the shape of the drive laser. Most free electron lasers use photocathodes with work function in the ultraviolet, a wavelength where direct laser manipulation becomes challenging. In this paper, we present a novel application of a digital micromirror device (DMD) for the 253 nm drive laser at the Linear Coherent Light Source. Laser profile shaping is accomplished through an iterative algorithm that takes into account shaping error and efficiency. Next, we use laser shaping to control the X-ray laser output via an online optimizer, which shows improvement in FEL pulse energy. Lastly, as a preparation for electron beam shaping, we use the DMD to measure the photocathode quantum efficiency across cathode surface with an averaged laser rms spot size of 59 μ m . Our experiments demonstrate promising outlook of using DMD to shape ultraviolet lasers for photocathode rf guns with various applications.
Correlation of CVD Diamond Electron Emission with Film Properties
NASA Astrophysics Data System (ADS)
Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.
1996-03-01
Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.
Ultraviolet Free Electron Laser Facility preliminary design report
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ben-Zvi, I.
1993-02-01
This document, the Preliminary Design Report (PDR) for the Brookhaven Ultraviolet Free Electron Laser (UV FEL) facility, describes all the elements of a facility proposed to meet the needs of a research community which requires ultraviolet sources not currently available as laboratory based lasers. Further, for these experiments, the requisite properties are not extant in either the existing second or upcoming third generation synchrotron light sources. This document is the result of our effort at BNL to identify potential users, determine the requirements of their experiments, and to design a facility which can not only satisfy the existing need, butmore » have adequate flexibility for possible future extensions as need dictates and as evolving technology allows. The PDR is comprised of three volumes. In this, the first volume, background for the development of the proposal is given, including descriptions of the UV FEL facility, and representative examples of the science it was designed to perform. Discussion of the limitations and potential directions for growth are also included. A detailed description of the facility design is then provided, which addresses the accelerator, optical, and experimental systems. Information regarding the conventional construction for the facility is contained in an addendum to volume one (IA).« less
Ultraviolet converter transients induced by electrons
NASA Technical Reports Server (NTRS)
Kernell, R. L.; Becher, J.; Reft, C. S.
1984-01-01
The output of ultraviolet converters typically used in satellite astronomy was monitored during irradiation with electrons from a sealed SR-90 source which approximated the peak flux in earth's outer electron belt. The signal induced by irradiation was attributed to two mechanisms: (1) photoelectrons resulting from photons created in the MgF2 window and (2) the direct impact of electrons on the phosphor. For irradiation at about 1 x 10 to the 7th e/sq cm sec, these two effects produced signals which were, in order of magnitude, the same as those produced by an incident UV flux (254 nm) of 10 to the 8th and 10 to the 7th photons/sq cm sec, respectively. In addition, the induced signal was investigated as a function of electron energy by irradiating another converter with 0.4-1.8-MeV electrons from a Van de Graaff. These results suggest that the dominant contribution to the electron-induced signal is Cerenkov photon production in the MgF2 window.
X. Guo; J.E. Jakes; S. Banna; Y. Nishi; J.L. Shohet
2014-01-01
The effects of plasma exposure and vacuum-ultraviolet (VUV) irradiation on the mechanical properties of low-k porous organosilicate glass (SiCOH) dielectric films were investigated. Nanoindentation measurements were made on SiCOH films before and after exposure to an electron-cyclotron-resonance plasma or a monochromatic synchrotron VUV beam, to determine the changes...
Hopkins Ultraviolet Telescope determination of the Io torus electron temperature
NASA Technical Reports Server (NTRS)
Hall, D. T.; Bednar, C. J.; Durrance, S. T.; Feldman, P. D.; Mcgrath, M. A.; Moos, H. W.; Strobel, D. F.
1994-01-01
Sulfur ion emissions from the Io plasma torus observed by the Hopkins Ultraviolet Telescope (HUT) in 1990 December have been analyzed to determine the effective temperature of the exciting electrons. Spectra were obtained with a long slit that extended from 3.1 to 8.7 Jupiter radii R(sub J) on both dawn and dusk torus ansae. The average temperature of electrons exciting S(2+) emissions from the dawn ansa is (4800 +/- 2400) K lower than on the dusk ansa, a dawn-dusk asymmetry comparable in both sign and magnitude to that measured by the Voyager Ultraviolet Spectrograph (UVS) experiment. Emissions from S(2+) ions are generated in a source region with electron temperatures in the range 32,000-56,000 K; S(3+) ion emissions are excited by electrons that average 20,000-40,000 K hotter. This distinct difference suggests that the S(3+) emission source region is spatially separate from the S(2+) source region. Estimated relative aperture filling factors suggest that the S(3+) emissions originate from a region more extended out of the centrifugal plane than the S(2+) emissions.
Effect of etching time on morphological, optical, and electronic properties of silicon nanowires.
Nafie, Nesma; Lachiheb, Manel Abouda; Bouaicha, Mongi
2012-07-16
Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet-visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties.
NASA Astrophysics Data System (ADS)
Feng, Yefeng; Li, Yandong; Wang, Fupeng; Peng, Cheng; Xu, Zhichao; Hu, Jianbing
2018-05-01
Ultraviolet (UV) curable adhesives have been widely researched in fields of health care and electronic components. UV curing systems with modified acrylic ester prepolymers have been frequently employed. In order to clarify composition dependence of adhesive properties of adhesives containing modified acrylates, in this work, several UV curing adhesives bearing urethane and epoxy acrylates were designed and fabricated. The effects of prepolymer, diluent, feed ratio, initiator and assistant on adhesive performances were investigated. This work might offer a facile route to gain promising high-performance UV curable adhesives with desired adhesive traits through regulating their compositions.
NASA Astrophysics Data System (ADS)
Kyser, David F.; Eib, Nicholas K.; Ritchie, Nicholas W. M.
2016-07-01
The absorbed energy density (eV/cm3) deposited by extreme ultraviolet (EUV) photons and electron beam (EB) high-keV electrons is proposed as a metric for characterizing the sensitivity of EUV resist films. Simulations of energy deposition are used to calculate the energy density as a function of the incident aerial flux (EUV: mJ/cm2, EB: μC/cm2). Monte Carlo calculations for electron exposure are utilized, and a Lambert-Beer model for EUV absorption. The ratio of electron flux to photon flux which results in equivalent energy density is calculated for a typical organic chemically amplified resist film and a typical inorganic metal-oxide film. This ratio can be used to screen EUV resist materials with EB measurements and accelerate advances in EUV resist systems.
Graphene Oxide Transparent Hybrid Film and Its Ultraviolet Shielding Property.
Xie, Siyuan; Zhao, Jianfeng; Zhang, Bowu; Wang, Ziqiang; Ma, Hongjuan; Yu, Chuhong; Yu, Ming; Li, Linfan; Li, Jingye
2015-08-19
Herein, we first reported a facile strategy to prepare functional Poly(vinyl alcohol) (PVA) hybrid film with well ultraviolet (UV) shielding property and visible light transmittance using graphene oxide nanosheets as UV-absorber. The absorbance of ultraviolet light at 300 nm can be up to 97.5%, while the transmittance of visible light at 500 nm keeps 40% plus. This hybrid film can protect protein from UVA light induced photosensitive damage, remarkably.
NASA Astrophysics Data System (ADS)
Yang, Hae In; Park, Seonyoung; Choi, Woong
2018-06-01
We report the modification of the optoelectronic properties of mechanically-exfoliated single layer MoS2 by ultraviolet-ozone exposure. Photoluminescence emission of pristine MoS2 monotonically decreased and eventually quenched as ultraviolet-ozone exposure time increased from 0 to 10 min. The reduction of photoluminescence emission accompanied reduction of Raman modes, suggesting structural degradation in ultraviolet-ozone exposed MoS2. Analysis with X-ray photoelectron spectroscopy revealed that the formation of Ssbnd O and Mosbnd O bonding increases with ultraviolet-ozone exposure time. Measurement of electrical transport properties of MoS2 in a bottom-gate thin-film transistor configuration suggested the presence of insulating MoO3 after ultraviolet-ozone exposure. These results demonstrate that ultraviolet-ozone exposure can significantly influence the optoelectronic properties of single layer MoS2, providing important implications on the application of MoS2 and other two-dimensional materials into optoelectronic devices.
Electronic gating circuit and ultraviolet laser excitation permit improved dosimeter sensitivity
NASA Technical Reports Server (NTRS)
Eggenberger, D.; King, D.; Longnecker, A.; Schutt, D.
1968-01-01
Standard dosimeter reader, modified by adding an electronic gating circuit to trigger the intensity level photomultiplier, increases readout sensitivity of photoluminescent dosimeter systems. The gating circuit is controlled by a second photomultiplier which senses a short ultraviolet pulse from a laser used to excite the dosimeter.
NASA Astrophysics Data System (ADS)
Marin, Timothy W.; Janik, Ireneusz; Bartels, David M.; Chipman, Daniel M.
2017-05-01
The nature and extent of hydrogen bonding in water has been scrutinized for decades, including how it manifests in optical properties. Here we report vacuum ultraviolet absorption spectra for the lowest-lying electronic state of subcritical and supercritical water. For subcritical water, the spectrum redshifts considerably with increasing temperature, demonstrating the gradual breakdown of the hydrogen-bond network. Tuning the density at 381 °C gives insight into the extent of hydrogen bonding in supercritical water. The known gas-phase spectrum, including its vibronic structure, is duplicated in the low-density limit. With increasing density, the spectrum blueshifts and the vibronic structure is quenched as the water monomer becomes electronically perturbed. Fits to the supercritical water spectra demonstrate consistency with dimer/trimer fractions calculated from the water virial equation of state and equilibrium constants. Using the known water dimer interaction potential, we estimate the critical distance between molecules (ca. 4.5 Å) needed to explain the vibronic structure quenching.
Marin, Timothy W.; Janik, Ireneusz; Bartels, David M.; ...
2017-05-17
The nature and extent of hydrogen bonding in water has been scrutinized for decades, including how it manifests in optical properties. Here we report vacuum ultraviolet absorption spectra for the lowest-lying electronic state of subcritical and supercritical water. For subcritical water, the spectrum redshifts considerably with increasing temperature, demonstrating the gradual breakdown of the hydrogen-bond network. Tuning the density at 381°C gives insight into the extent of hydrogen bonding in supercritical water. The known gas-phase spectrum, including its vibronic structure, is duplicated in the low-density limit. With increasing density, the spectrum blueshifts and the vibronic structure is quenched as themore » water monomer becomes electronically perturbed. Fits to the supercritical water spectra demonstrate consistency with dimer/trimer fractions calculated from the water virial equation of state and equilibrium constants. As a result, using the known water dimer interaction potential, we estimate the critical distance between molecules (ca. 4.5 Å) needed to explain the vibronic structure quenching.« less
Molecular alignment dependent electron interference in attosecond ultraviolet photoionization
Yuan, Kai-Jun; Bandrauk, André D.
2015-01-01
We present molecular photoionization processes by intense attosecond ultraviolet laser pulses from numerical solutions of time-dependent Schrödinger equations. Simulations preformed on a single electron diatomic H2+ show minima in molecular photoelectron energy spectra resulting from two center interference effects which depend strongly on molecular alignment. We attribute such sensitivity to the spatial orientation asymmetry of the photoionization process from the two nuclei. A similar influence on photoelectron kinetic energies is also presented. PMID:26798785
Thermal conduction properties of Mo/Si multilayers for extreme ultraviolet optics
NASA Astrophysics Data System (ADS)
Bozorg-Grayeli, Elah; Li, Zijian; Asheghi, Mehdi; Delgado, Gil; Pokrovsky, Alexander; Panzer, Matthew; Wack, Daniel; Goodson, Kenneth E.
2012-10-01
Extreme ultraviolet (EUV) lithography requires nanostructured optical components, whose reliability can be influenced by radiation absorption and thermal conduction. Thermal conduction analysis is complicated by sub-continuum electron and phonon transport and the lack of thermal property data. This paper measures and interprets thermal property data, and their evolution due to heating exposure, for Mo/Si EUV mirrors with 6.9 nm period and Mo/Si thickness ratios of 0.4/0.6 and 0.6/0.4. We use time-domain thermoreflectance and the 3ω method to estimate the thermal resistance between the Ru capping layer and the Mo/Si multilayers (RRu-Mo/Si = 1.5 m2 K GW-1), as well as the out-of-plane thermal conductivity (kMo/Si 1.1 W m-1 K-1) and thermal anisotropy (η = 13). This work also reports the impact of annealing on thermal conduction in a co-deposited MoSi2 layer, increasing the thermal conductivity from 1.7 W m-1 K-1 in the amorphous phase to 2.8 W m-1 K-1 in the crystalline phase.
The ultraviolet extinction properties of the 30 Dor Nebula
NASA Astrophysics Data System (ADS)
De Marchi, Guido; Panagia, Nino
2018-01-01
Recent investigation of the extinction law in 30 Dor and the Tarantula Nebula, at optical and near infrared wavelengths, has revealed a ratio of total to selective extinction RV=AV/E(B-V) of about 4.5. This indicates a larger proportion of large grains than in the Galactic diffuse interstellar medium. Possible origins include coalescence of small grains, grain growth, selective destruction of small grains, and fresh injection of large grains. From a study of the ultraviolet extinction properties of three Wolf-Rayet stars in 30 Dor (R 139, R 140, R 145), observed with the International Ultraviolet Explorer, we show that the excess of large grains does not come at the expense of small grains, which are still present. Fresh injection of large grains by supernova explosions appears to be the dominant mechanism.
Ultraviolet reflectance properties of asteroids
NASA Astrophysics Data System (ADS)
Butterworth, P. S.; Meadows, A. J.
1985-05-01
An analysis of the UV spectra of 28 asteroids obtained with the Internal Ultraviolet Explorer (IUE) satellite is presented. The spectra lie within the range 2100-3200 A. The results are examined in terms of both asteroid classification and of current ideas concerning the surface mineralogy of asteroids. For all the asteroids examined, UV reflectivity declines approximately linearly toward shorter wavelengths. In general, the same taxonomic groups are seen in the UV as in the visible and IR, although there is some evidence for asteroids with anomalous UV properties and for UV subclasses within the S class. No mineral absorption features are reported of strength similar to the strongest features in the visible and IR regions, but a number of shallow absorptions do occur and may provide valuable information on the surface composition of many asteroids.
Doping of wide-bandgap titanium-dioxide nanotubes: optical, electronic and magnetic properties
NASA Astrophysics Data System (ADS)
Alivov, Yahya; Singh, Vivek; Ding, Yuchen; Cerkovnik, Logan Jerome; Nagpal, Prashant
2014-08-01
Doping semiconductors is an important step for their technological application. While doping bulk semiconductors can be easily achieved, incorporating dopants in semiconductor nanostructures has proven difficult. Here, we report a facile synthesis method for doping titanium-dioxide (TiO2) nanotubes that was enabled by a new electrochemical cell design. A variety of optical, electronic and magnetic dopants were incorporated into the hollow nanotubes, and from detailed studies it is shown that the doping level can be easily tuned from low to heavily-doped semiconductors. Using desired dopants - electronic (p- or n-doped), optical (ultraviolet bandgap to infrared absorption in co-doped nanotubes), and magnetic (from paramagnetic to ferromagnetic) properties can be tailored, and these technologically important nanotubes can be useful for a variety of applications in photovoltaics, display technologies, photocatalysis, and spintronic applications.Doping semiconductors is an important step for their technological application. While doping bulk semiconductors can be easily achieved, incorporating dopants in semiconductor nanostructures has proven difficult. Here, we report a facile synthesis method for doping titanium-dioxide (TiO2) nanotubes that was enabled by a new electrochemical cell design. A variety of optical, electronic and magnetic dopants were incorporated into the hollow nanotubes, and from detailed studies it is shown that the doping level can be easily tuned from low to heavily-doped semiconductors. Using desired dopants - electronic (p- or n-doped), optical (ultraviolet bandgap to infrared absorption in co-doped nanotubes), and magnetic (from paramagnetic to ferromagnetic) properties can be tailored, and these technologically important nanotubes can be useful for a variety of applications in photovoltaics, display technologies, photocatalysis, and spintronic applications. Electronic supplementary information (ESI) available: See DOI: 10.1039/c4nr02417f
NASA Astrophysics Data System (ADS)
Wang, C. K.; Wang, Y. W.; Chiou, Y. Z.; Chang, S. H.; Jheng, J. S.; Chang, S. P.; Chang, S. J.
2017-06-01
In this study, the properties of 370-nm InGaN/AlGaN ultraviolet light emitting diodes (UV LEDs) with different thicknesses of un-doped Al0.3Ga0.7N insertion layer (IL) between the last quantum barrier and electron blocking layer (EBL) have been numerically simulated by Advance Physical Model of Semiconductor Devices (APSYS). The results show that the LEDs using the high Al composition IL can effectively improve the efficiency droop, light output power, and internal quantum efficiency (IQE) compared to the original structure. The improvements of the optical properties are mainly attributed to the energy band discontinuity and offset created by IL, which increase the potential barrier height of conduction band to suppress the electron overflow from the active region to the p-side layer.
Electron impact excitation of argon in the extreme vacuum ultraviolet
NASA Technical Reports Server (NTRS)
Mentall, J. E.; Morgan, H. D.
1976-01-01
Polarization-free excitation cross sections in the extreme vacuum ultraviolet have been measured for electron impact on Ar. Observed spectral features were those lines of Ar I and Ar II which lie between 700 and 1100 A. Excitation functions were measured for the Ar I resonance line at 1048 A and the Ar II resonance line at 920 A. Peak cross sections for these two lines were found to be (39.4 plus or minus 7.9) x 10 to the -18th and (6.9 plus or minus 1.4) x 10 to the -18th, respectively. At low energies, excitation of the Ar II resonance line is dominated by an electron exchange transition.
NASA Astrophysics Data System (ADS)
Parrey, Khursheed Ahmad; Khandy, Shakeel Ahmad; Islam, Ishtihadah; Laref, Amel; Gupta, Dinesh C.; Niazi, Asad; Aziz, Anver; Ansari, S. G.; Khenata, R.; Rubab, Seemin
2018-03-01
Double perovskite La2NbMnO6 was systematically studied using the first-principles calculations. The structural, electronic, optical and transport properties of this compound were calculated. Spin resolved band structure predicted this material as a half-metal with an energy gap of 3.75 eV in spin down state. The optical coefficients including optical conductivity, reflectivity and electron energy loss are calculated for photon energy up to 30.00 eV to understand the optical response of this perovskite. The strong absorption of all the ultraviolet and infrared frequencies of the spectrum by this material may suggest the potential application of this material for the optoelectronic devices in ultraviolet and infra-red region. Also, the thermoelectric properties with a speculation from the half-metallic electronic structure are reported. Subsequently, the Seebeck coefficient, electrical and thermal conductivity coefficients are calculated to predict the thermoelectric figure of merit (zT), the maximum of which is found out to be 0.14 at 800 K.
NASA Astrophysics Data System (ADS)
Salter, Mike; Clapp, Matthew; King, James; Morse, Tom; Mihalcea, Ionut; Waltham, Nick; Hayes-Thakore, Chris
2016-07-01
World Space Observatory Ultraviolet (WSO-UV) is a major Russian-led international collaboration to develop a large space-borne 1.7 m Ritchey-Chrétien telescope and instrumentation to study the universe at ultraviolet wavelengths between 115 nm and 320 nm, exceeding the current capabilities of ground-based instruments. The WSO Ultraviolet Spectrograph subsystem (WUVS) is led by the Institute of Astronomy of the Russian Academy of Sciences and consists of two high resolution spectrographs covering the Far-UV range of 115-176 nm and the Near-UV range of 174-310 nm, and a long-slit spectrograph covering the wavelength range of 115-305 nm. The custom-designed CCD sensors and cryostat assemblies are being provided by e2v technologies (UK). STFC RAL Space is providing the Camera Electronics Boxes (CEBs) which house the CCD drive electronics for each of the three WUVS channels. This paper presents the results of the detailed characterisation of the WUVS CCD drive electronics. The electronics include a novel high-performance video channel design that utilises Digital Correlated Double Sampling (DCDS) to enable low-noise readout of the CCD at a range of pixel frequencies, including a baseline requirement of less than 3 electrons rms readout noise for the combined CCD and electronics system at a readout rate of 50 kpixels/s. These results illustrate the performance of this new video architecture as part of a wider electronics sub-system that is designed for use in the space environment. In addition to the DCDS video channels, the CEB provides all the bias voltages and clocking waveforms required to operate the CCD and the system is fully programmable via a primary and redundant SpaceWire interface. The development of the CEB electronics design has undergone critical design review and the results presented were obtained using the engineering-grade electronics box. A variety of parameters and tests are included ranging from general system metrics, such as the power and mass
Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf
2008-09-29
A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.
NASA Astrophysics Data System (ADS)
Patel, Gayatri; Clapp, Matthew; Salter, Mike; Waltham, Nick; Beardsley, Sarah
2016-08-01
World Space Observatory Ultraviolet (WSO-UV) is a major international collaboration led by Russia and will study the universe at ultraviolet wavelengths between 115 nm and 320 nm. The WSO Ultraviolet Spectrograph (WUVS) subsystem is led by a consortium of Russian institutes and consists of three spectrographs. RAL Space is contracted by e2v technologies Ltd to provide the CCD readout electronics for each of the three WUVS channels. The programme involves the design, manufacturing, assembly and testing of each Camera Electronics Box (CEB), its associated Interconnection Module (ICM), Electrical Ground Support Equipment (EGSE) and harness. An overview of the programme will be presented, from the initial design phase culminating in the development of an Engineering Model (EM) through qualification whereby an Engineering Qualification Model (EQM) will undergo environmental testing to characterize the performance of the CEB against the space environment, to the delivery of the Flight Models (FMs). The paper will discuss the challenges faced managing a large, dynamic project. This includes managing significant changes in fundamental requirements mid-programme as a result of external political issues which forced a complete re-design of an existing CEB with extensive space heritage but containing many ITAR controlled electronic components to a new, more efficient solution, free of ITAR controlled parts. The methodology and processes used to ensure the demanding schedule is maintained through each stage of the project will be presented including an insight into planning, decision-making, communication, risk management, and resource management; all essential to the continued success of the programme.
Li, Zheng; Vendrell, Oriol
2016-01-01
The ultrafast nuclear and electronic dynamics of protonated water clusters H+(H2O)n after extreme ultraviolet photoionization is investigated. In particular, we focus on cluster cations with n = 3, 6, and 21. Upon ionization, two positive charges are present in the cluster related to the excess proton and the missing electron, respectively. A correlation is found between the cluster's geometrical conformation and initial electronic energy with the size of the final fragments produced. For situations in which the electron hole and proton are initially spatially close, the two entities become correlated and separate in a time-scale of 20 to 40 fs driven by strong non-adiabatic effects. PMID:26798842
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Zheng; Vendrell, Oriol
2016-01-13
The ultrafast nuclear and electronic dynamics of protonated water clusters H+(H2O)n after extreme ultraviolet photoionization is investigated. In particular, we focus on cluster cations with n = 3, 6, and 21. Upon ionization, two positive charges are present in the cluster related to the excess proton and the missing electron, respectively. A correlation is found between the cluster's geometrical conformation and initial electronic energy with the size of the final fragments produced. As a result, for situations in which the electron hole and proton are initially spatially close, the two entities become correlated and separate in a time-scale of 20more » to 40 fs driven by strong non-adiabatic effects.« less
An operational retrieval algorithm for determining aerosol optical properties in the ultraviolet
NASA Astrophysics Data System (ADS)
Taylor, Thomas E.; L'Ecuyer, Tristan S.; Slusser, James R.; Stephens, Graeme L.; Goering, Christian D.
2008-02-01
This paper describes a number of practical considerations concerning the optimization and operational implementation of an algorithm used to characterize the optical properties of aerosols across part of the ultraviolet (UV) spectrum. The algorithm estimates values of aerosol optical depth (AOD) and aerosol single scattering albedo (SSA) at seven wavelengths in the UV, as well as total column ozone (TOC) and wavelength-independent asymmetry factor (g) using direct and diffuse irradiances measured with a UV multifilter rotating shadowband radiometer (UV-MFRSR). A novel method for cloud screening the irradiance data set is introduced, as well as several improvements and optimizations to the retrieval scheme which yield a more realistic physical model for the inversion and increase the efficiency of the algorithm. Introduction of a wavelength-dependent retrieval error budget generated from rigorous forward model analysis as well as broadened covariances on the a priori values of AOD, SSA and g and tightened covariances of TOC allows sufficient retrieval sensitivity and resolution to obtain unique solutions of aerosol optical properties as demonstrated by synthetic retrievals. Analysis of a cloud screened data set (May 2003) from Panther Junction, Texas, demonstrates that the algorithm produces realistic values of the optical properties that compare favorably with pseudo-independent methods for AOD, TOC and calculated Ångstrom exponents. Retrieval errors of all parameters (except TOC) are shown to be negatively correlated to AOD, while the Shannon information content is positively correlated, indicating that retrieval skill improves with increasing atmospheric turbidity. When implemented operationally on more than thirty instruments in the Ultraviolet Monitoring and Research Program's (UVMRP) network, this retrieval algorithm will provide a comprehensive and internally consistent climatology of ground-based aerosol properties in the UV spectral range that can be used
Structural and electronic properties of monolayer group III monochalcogenides
NASA Astrophysics Data System (ADS)
Demirci, S.; Avazlı, N.; Durgun, E.; Cahangirov, S.
2017-03-01
We investigate the structural, mechanical, and electronic properties of the two-dimensional hexagonal structure of group III-VI binary monolayers, M X (M =B , Al, Ga, In and X =O , S, Se, Te) using first-principles calculations based on the density functional theory. The structural optimization calculations and phonon spectrum analysis indicate that all of the 16 possible binary compounds are thermally stable. In-plane stiffness values cover a range depending on the element types and can be as high as that of graphene, while the calculated bending rigidity is found to be an order of magnitude higher than that of graphene. The obtained electronic band structures show that M X monolayers are indirect band-gap semiconductors. The calculated band gaps span a wide optical spectrum from deep ultraviolet to near infrared. The electronic structure of oxides (M O ) is different from the rest because of the high electronegativity of oxygen atoms. The dispersions of the electronic band edges and the nature of bonding between atoms can also be correlated with electronegativities of constituent elements. The unique characteristics of group III-VI binary monolayers can be suitable for high-performance device applications in nanoelectronics and optics.
Femtosecond-pulse-driven electron-excited extreme-ultraviolet lasers in Be-like ions.
Hooker, S M; Harris, S E
1995-10-01
A suggestion for the generation of extreme-ultraviolet (XUV) laser radiation based on tunneling ionization and subsequent electron excitation of Deltan not equal 0 transitions is described. The favorable scaling of the required intensity of the pump laser with the output XUV wavelength is compared with that exhibited by XUV lasers ased on Deltan = 0 transitions. Calculations for Be-like Ne predict signif icant gain at 14.1 nm.
Flexible ultraviolet photodetectors based on ZnO-SnO2 heterojunction nanowire arrays
NASA Astrophysics Data System (ADS)
Lou, Zheng; Yang, Xiaoli; Chen, Haoran; Liang, Zhongzhu
2018-02-01
A ZnO-SnO2 nanowires (NWs) array, as a metal oxide semiconductor, was successfully synthesized by a near-field electrospinning method for the applications as high performance ultraviolet photodetectors. Ultraviolet photodetectors based on a single nanowire exhibited excellent photoresponse properties to 300 nm ultraviolet light illumination including ultrahigh I on/I off ratios (up to 103), good stability and reproducibility because of the separation between photo-generated electron-hole pairs. Moreover, the NWs array shows an enhanced photosensing performance. Flexible photodetectors on the PI substrates with similar tendency properties were also fabricated. In addition, under various bending curvatures and cycles, the as-fabricated flexible photodetectors revealed mechanical flexibility and good stable electrical properties, showing that they have the potential for applications in future flexible photoelectron devices. Project supported by the National Science Foundation of China (No. 61504136) and the State Key Laboratory of Applied Optics, Changchun Institute of Optics, Fine and Physics, Chinese Academy of Sciences.
Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.
Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil
2017-12-13
Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.
NASA Astrophysics Data System (ADS)
Deng, Chaoxu; Shao, Bingyao; Zhao, Dan; Zhou, Dianli; Yu, Junsheng
2017-11-01
Organic optoelectronic integrated device (OID) with both ultraviolet (UV) detective and electroluminescent (EL) properties was fabricated by using a thermally activated delayed fluorescence (TADF) semiconductor of (4s, 6s)-2,4,5,6-tetra(9H-carbazol-9-yl)isophthalonitrile (4CzIPN) as an emitter. The effect of five kinds of n-type organic semiconductors (OSCs) on the enhancement of UV detective and EL properties of OID was systematically studied. The result shows that two orders of magnitude in UV detectivity from 109 to 1011 Jones and 3.3 folds of luminance from 2499 to 8233 cd m-2 could be achieved. The result shows that not only the difference of lowest unoccupied molecular orbital (LUMO) between active layer and OSC but also the variety of electron mobility have a significant effect on the UV detective and EL performance through adjusting electron injection/transport. Additionally, the optimized OSC thickness is beneficial to confine the leaking of holes from the active layer to cathode, leading to the decrease of dark current for high detective performance. This work provides a useful method on broadening OSC material selection and device architecture construction for the realization of high performance OID.
NASA Astrophysics Data System (ADS)
Düsterer, S.; Rehders, M.; Al-Shemmary, A.; Behrens, C.; Brenner, G.; Brovko, O.; DellAngela, M.; Drescher, M.; Faatz, B.; Feldhaus, J.; Frühling, U.; Gerasimova, N.; Gerken, N.; Gerth, C.; Golz, T.; Grebentsov, A.; Hass, E.; Honkavaara, K.; Kocharian, V.; Kurka, M.; Limberg, Th.; Mitzner, R.; Moshammer, R.; Plönjes, E.; Richter, M.; Rönsch-Schulenburg, J.; Rudenko, A.; Schlarb, H.; Schmidt, B.; Senftleben, A.; Schneidmiller, E. A.; Siemer, B.; Sorgenfrei, F.; Sorokin, A. A.; Stojanovic, N.; Tiedtke, K.; Treusch, R.; Vogt, M.; Wieland, M.; Wurth, W.; Wesch, S.; Yan, M.; Yurkov, M. V.; Zacharias, H.; Schreiber, S.
2014-12-01
One of the most challenging tasks for extreme ultraviolet, soft and hard x-ray free-electron laser photon diagnostics is the precise determination of the photon pulse duration, which is typically in the sub 100 fs range. Nine different methods, able to determine such ultrashort photon pulse durations, were compared experimentally at FLASH, the self-amplified spontaneous emission free-electron laser at DESY in Hamburg, in order to identify advantages and disadvantages of different methods. Radiation pulses at a wavelength of 13.5 and 24.0 nm together with the corresponding electron bunch duration were measured by indirect methods like analyzing spectral correlations, statistical fluctuations, and energy modulations of the electron bunch and also by direct methods like autocorrelation techniques, terahertz streaking, or reflectivity changes of solid state samples. In this paper, we present a comprehensive overview of the various techniques and a comparison of the individual experimental results. The information gained is of utmost importance for the future development of reliable pulse duration monitors indispensable for successful experiments with ultrashort extreme ultraviolet pulses.
Estimation of resist sensitivity for extreme ultraviolet lithography using an electron beam
DOE Office of Scientific and Technical Information (OSTI.GOV)
Oyama, Tomoko Gowa, E-mail: ohyama.tomoko@qst.go.jp; Oshima, Akihiro; Tagawa, Seiichi, E-mail: tagawa@sanken.osaka-u.ac.jp
2016-08-15
It is a challenge to obtain sufficient extreme ultraviolet (EUV) exposure time for fundamental research on developing a new class of high sensitivity resists for extreme ultraviolet lithography (EUVL) because there are few EUV exposure tools that are very expensive. In this paper, we introduce an easy method for predicting EUV resist sensitivity by using conventional electron beam (EB) sources. If the chemical reactions induced by two ionizing sources (EB and EUV) are the same, the required absorbed energies corresponding to each required exposure dose (sensitivity) for the EB and EUV would be almost equivalent. Based on this theory, wemore » calculated the resist sensitivities for the EUV/soft X-ray region. The estimated sensitivities were found to be comparable to the experimentally obtained sensitivities. It was concluded that EB is a very useful exposure tool that accelerates the development of new resists and sensitivity enhancement processes for 13.5 nm EUVL and 6.x nm beyond-EUVL (BEUVL).« less
NASA Astrophysics Data System (ADS)
Dong, Li; Liu, Xiangdong; Xiong, Zhengrong; Sheng, Dekun; Lin, Changhong; Zhou, Yan; Yang, Yuming
2018-06-01
We first reported a strategy to prepare functional poly(vinylidene fluoride) (PVDF) membranes with excellent ultraviolet-absorbing property through chemically induced grafting. Herein, the polymerizable ultraviolet (UV) absorber 2-hydroxy-4-(3-methacryloxy-2-hydroxylpropoxy) benzophenone (BPMA) made by ourselves was grafted onto the PVDF chains that have been pretreated with tetraethylammonium hydroxide (TEAH) alkaline solution. Moreover, the effect of experiment conditions such as the alkali and monomer concentrations, alkali treatment time on the UV-absorbing property of the obtained PVDF-g-PBPMA membranes were studied in detail. The chemical structure of the modified membranes was confirmed by 1H NMR, FT-IR and XPS measurements. Meanwhile, the thermal and UV-absorbing properties were characterized by TGA, DSC and UV-Vis spectrophotometer, respectively. The results indicated that BPMA side chains were successfully introduced onto PVDF backbones. Most importantly, the obtained PVDF-g-PBPMA membranes exhibited excellent UV-absorbing property. The transmittance of UV light at 300 nm decreased to as low as 0.02% and the UV light below 388 nm could be completely absorbed by the PVDF-g-PBPMA membrane made under optimal condition.
Hot electron generation by aluminum oligomers in plasmonic ultraviolet photodetectors.
Ahmadivand, Arash; Sinha, Raju; Vabbina, Phani Kiran; Karabiyik, Mustafa; Kaya, Serkan; Pala, Nezih
2016-06-13
We report on an integrated plasmonic ultraviolet (UV) photodetector composed of aluminum Fano-resonant heptamer nanoantennas deposited on a Gallium Nitride (GaN) active layer which is grown on a sapphire substrate to generate significant photocurrent via formation of hot electrons by nanoclusters upon the decay of nonequilibrium plasmons. Using the plasmon hybridization theory and finite-difference time-domain (FDTD) method, it is shown that the generation of hot carriers by metallic clusters illuminated by UV beam leads to a large photocurrent. The induced Fano resonance (FR) minimum across the UV spectrum allows for noticeable enhancement in the absorption of optical power yielding a plasmonic UV photodetector with a high responsivity. It is also shown that varying the thickness of the oxide layer (Al2O3) around the nanodisks (tox) in a heptamer assembly adjusted the generated photocurrent and responsivity. The proposed plasmonic structure opens new horizons for designing and fabricating efficient opto-electronics devices with high gain and responsivity.
Nonthermal combined ultraviolet and vacuum-ultraviolet curing process for organosilicate dielectrics
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zheng, H.; Guo, X.; Pei, D.
2016-06-13
Porous SiCOH films are of great interest in semiconductor fabrication due to their low-dielectric constant properties. Post-deposition treatments using ultraviolet (UV) light on organosilicate thin films are required to decompose labile pore generators (porogens) and to ensure optimum network formation to improve the electrical and mechanical properties of low-k dielectrics. The goal of this work is to choose the best vacuum-ultraviolet photon energy in conjunction with vacuum ultraviolet (VUV) photons without the need for heating the dielectric to identify those wavelengths that will have the most beneficial effect on improving the dielectric properties and minimizing damage. VUV irradiation between 8.3more » and 8.9 eV was found to increase the hardness and elastic modulus of low-k dielectrics at room temperature. Combined with UV exposures of 6.2 eV, it was found that this “UV/VUV curing” process is improved compared with current UV curing. We show that UV/VUV curing can overcome drawbacks of UV curing and improve the properties of dielectrics more efficiently without the need for high-temperature heating of the dielectric.« less
NASA Astrophysics Data System (ADS)
Choi, S. G.; Park, J.-S.; Donohue, A. L.; Christensen, S. T.; To, B.; Beall, C.; Wei, S.-H.; Repins, I. L.
2015-11-01
Cu2ZnGeSe4 is of interest for the development of next-generation thin-film photovoltaic technologies. To understand its electronic structure and related fundamental optical properties, we perform first-principles calculations for three structural variations: kesterite, stannite, and primitive-mixed CuAu phases. The calculated data are compared with the room-temperature dielectric function ɛ =ɛ1+i ɛ2 spectrum of polycrystalline Cu2ZnGeSe4 determined by vacuum-ultraviolet spectroscopic ellipsometry in the photon-energy range of 0.7 to 9.0 eV. Ellipsometric data are modeled with the sum of eight Tauc-Lorentz oscillators, and the best-fit model yields the band-gap and Tauc-gap energies of 1.25 and 1.19 eV, respectively. A comparison of overall peak shapes and relative intensities between experimental spectra and the calculated ɛ data for three structural variations suggests that the sample may not have a pure (ordered) kesterite phase. The complex refractive index N =n +i k , normal-incidence reflectivity R , and absorption coefficients α are calculated from the modeled ɛ spectrum, which are also compared with those of Cu2ZnSnSe4 . The spectral features for Cu2ZnGeSe4 appear to be weaker and broader than those for Cu2ZnSnSe4 , which is possibly due to more structural imperfections presented in Cu2ZnGeSe4 than Cu2ZnSnSe4 .
NASA Technical Reports Server (NTRS)
Mumma, M. J.; Borst, W. L.; Zipf, E. C.
1972-01-01
Vacuum ultraviolet multiplets of C I, C II, and O I were produced by electron impact of CO2. Absolute emission cross sections for these multiplets were measured from threshold to 350 eV. The electrostatically focussed electron gun used in this series of experiments is described in detail. The atomic multiplets which were produced by dissociative excitation of CO2 and the cross sections at 100 eV are given. The dependence of the excitation functions on electron energy shows that these multiplets are produced by electric-dipole-allowed transitions in CO2.
NASA Astrophysics Data System (ADS)
Rajpoot, Priyanka; Rastogi, Anugya; Verma, U. P.
2018-02-01
Structural, electronic, optical and thermal properties of molybdenum aluminum boride (MoAlB) have been analyzed systematically using the full potential linearized augmented plane wave method based on density functional theory at ambient condition as well as high pressure and high temperature. Density of states and band structure calculation reflect the metallic character of MoAlB. In addition to this, the electron charge density calculation reveals the strong covalent bonding, in between ‘B’ atoms as well as ‘Mo’ and ‘B’ atoms. Optical parameters exhibit anisotropic nature and MoAlB become transparent in ultraviolet region for the radiation of energy above 25 eV. The thermal properties were investigated by using the quasi-harmonic Debye model at high temperature and high pressure.
Hydration effects on the electronic properties of eumelanin building blocks
DOE Office of Scientific and Technical Information (OSTI.GOV)
Assis Oliveira, Leonardo Bruno; Departamento de Física - CEPAE, Universidade Federal de Goiás, 74690-900 Goiânia, GO; Escola de Ciências Exatas e da Computação, Pontifícia Universidade Católica de Goiás, 74605-010 Goiânia, GO
2016-08-28
Theoretical results for the electronic properties of eumelanin building blocks in the gas phase and water are presented. The building blocks presently investigated include the monomeric species DHI (5,6-dihydroxyindole) or hydroquinone (HQ), DHICA (5,6-dihydroxyindole-2-carboxylic acid), indolequinone (IQ), quinone methide (MQ), two covalently bonded dimers [HM ≡ HQ + MQ and IM ≡ IQ + MQ], and two tetramers [HMIM ≡ HQ + IM, IMIM ≡ IM + IM]. The electronic properties in water were determined by carrying out sequential Monte Carlo/time dependent density functional theory calculations. The results illustrate the role played by hydrogen bonding and electrostatic interactions in themore » electronic properties of eumelanin building blocks in a polar environment. In water, the dipole moments of monomeric species are significantly increased ([54–79]%) relative to their gas phase values. Recently, it has been proposed that the observed enhancement of the higher-energy absorption intensity in eumelanin can be explained by excitonic coupling among eumelanin protomolecules [C.-T. Chen et al., Nat. Commun. 5, 3859 (2014)]. Here, we are providing evidence that for DHICA, IQ, and HMIM, the electronic absorption toward the higher-energy end of the spectrum ([180–220] nm) is enhanced by long-range Coulombic interactions with the water environment. It was verified that by superposing the absorption spectra of different eumelanin building blocks corresponding to the monomers, dimers, and tetramers in liquid water, the behaviour of the experimental spectrum, which is characterised by a nearly monotonic decay from the ultraviolet to the infrared, is qualitatively reproduced. This result is in keeping with a “chemical disorder model,” where the broadband absorption of eumelanin pigments is determined by the superposition of the spectra associated with the monomeric and oligomeric building blocks.« less
Hydration effects on the electronic properties of eumelanin building blocks.
Assis Oliveira, Leonardo Bruno; L Fonseca, Tertius; Costa Cabral, Benedito J; Coutinho, Kaline; Canuto, Sylvio
2016-08-28
Theoretical results for the electronic properties of eumelanin building blocks in the gas phase and water are presented. The building blocks presently investigated include the monomeric species DHI (5,6-dihydroxyindole) or hydroquinone (HQ), DHICA (5,6-dihydroxyindole-2-carboxylic acid), indolequinone (IQ), quinone methide (MQ), two covalently bonded dimers [HM ≡ HQ + MQ and IM ≡ IQ + MQ], and two tetramers [HMIM ≡ HQ + IM, IMIM ≡ IM + IM]. The electronic properties in water were determined by carrying out sequential Monte Carlo/time dependent density functional theory calculations. The results illustrate the role played by hydrogen bonding and electrostatic interactions in the electronic properties of eumelanin building blocks in a polar environment. In water, the dipole moments of monomeric species are significantly increased ([54-79]%) relative to their gas phase values. Recently, it has been proposed that the observed enhancement of the higher-energy absorption intensity in eumelanin can be explained by excitonic coupling among eumelanin protomolecules [C.-T. Chen et al., Nat. Commun. 5, 3859 (2014)]. Here, we are providing evidence that for DHICA, IQ, and HMIM, the electronic absorption toward the higher-energy end of the spectrum ([180-220] nm) is enhanced by long-range Coulombic interactions with the water environment. It was verified that by superposing the absorption spectra of different eumelanin building blocks corresponding to the monomers, dimers, and tetramers in liquid water, the behaviour of the experimental spectrum, which is characterised by a nearly monotonic decay from the ultraviolet to the infrared, is qualitatively reproduced. This result is in keeping with a "chemical disorder model," where the broadband absorption of eumelanin pigments is determined by the superposition of the spectra associated with the monomeric and oligomeric building blocks.
Hydration effects on the electronic properties of eumelanin building blocks
NASA Astrophysics Data System (ADS)
Assis Oliveira, Leonardo Bruno; L. Fonseca, Tertius; Costa Cabral, Benedito J.; Coutinho, Kaline; Canuto, Sylvio
2016-08-01
Theoretical results for the electronic properties of eumelanin building blocks in the gas phase and water are presented. The building blocks presently investigated include the monomeric species DHI (5,6-dihydroxyindole) or hydroquinone (HQ), DHICA (5,6-dihydroxyindole-2-carboxylic acid), indolequinone (IQ), quinone methide (MQ), two covalently bonded dimers [HM ≡ HQ + MQ and IM ≡ IQ + MQ], and two tetramers [HMIM ≡ HQ + IM, IMIM ≡ IM + IM]. The electronic properties in water were determined by carrying out sequential Monte Carlo/time dependent density functional theory calculations. The results illustrate the role played by hydrogen bonding and electrostatic interactions in the electronic properties of eumelanin building blocks in a polar environment. In water, the dipole moments of monomeric species are significantly increased ([54-79]%) relative to their gas phase values. Recently, it has been proposed that the observed enhancement of the higher-energy absorption intensity in eumelanin can be explained by excitonic coupling among eumelanin protomolecules [C.-T. Chen et al., Nat. Commun. 5, 3859 (2014)]. Here, we are providing evidence that for DHICA, IQ, and HMIM, the electronic absorption toward the higher-energy end of the spectrum ([180-220] nm) is enhanced by long-range Coulombic interactions with the water environment. It was verified that by superposing the absorption spectra of different eumelanin building blocks corresponding to the monomers, dimers, and tetramers in liquid water, the behaviour of the experimental spectrum, which is characterised by a nearly monotonic decay from the ultraviolet to the infrared, is qualitatively reproduced. This result is in keeping with a "chemical disorder model," where the broadband absorption of eumelanin pigments is determined by the superposition of the spectra associated with the monomeric and oligomeric building blocks.
Katz, J; Boni, R; Sorce, C; Follett, R; Shoup, M J; Froula, D H
2012-10-01
A reflective optical transport system has been designed for the OMEGA Thomson-scattering diagnostic. A Schwarzschild objective that uses two concentric spherical mirrors coupled to a Pfund objective provides diffraction-limited imaging across all reflected wavelengths. This enables the operator to perform Thomson-scattering measurements of ultraviolet (0.263 μm) light scattered from electron plasma waves.
Structural, electronic, vibrational and optical properties of Bin clusters
NASA Astrophysics Data System (ADS)
Liang, Dan; Shen, Wanting; Zhang, Chunfang; Lu, Pengfei; Wang, Shumin
2017-10-01
The neutral, anionic and cationic bismuth clusters with the size n up to 14 are investigated by using B3LYP functional within the regime of density functional theory and the LAN2DZ basis set. By analysis of the geometries of the Bin (n = 2-14) clusters, where cationic and anionic bismuth clusters are largely similar to those of neutral ones, a periodic effect by adding units with one to four atoms into smaller cluster to form larger cluster is drawn for the stable structures of bismuth clusters. An even-odd alteration is shown for the properties of the clusters, such as the calculated binding energies and dissociation energies, as well as frontier orbital energies, electron affinities, ionization energies. All the properties indicate that the Bi4 cluster is the most possible existence in bismuth-containing materials, which supports the most recent experiment. The orbital compositions, infrared and Raman activities and the ultraviolet absorption of the most possible tetramer bismuth cluster are given in detail to reveal the periodic tendency of adding bismuth atoms and the stability of tetramer bismuth cluster.
Bandgap-Engineered Zinc-Tin-Oxide Thin Films for Ultraviolet Sensors.
Cheng, Tien-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn
2018-07-01
Zinc-tin-oxide thin-film transistors were prepared by radio frequency magnetron co-sputtering, while an identical zinc-tin-oxide thin film was deposited simultaneously on a clear glass substrate to facilitate measurements of the optical properties. When we adjusted the deposition power of ZnO and SnO2, the bandgap of the amorphous thin film was dominated by the deposition power of SnO2. Since the thin-film transistor has obvious absorption in the ultraviolet region owing to the wide bandgap, the drain current increases with the generation of electron-hole pairs. As part of these investigations, a zinc-tin-oxide thin-film transistor has been fabricated that appears to be very promising for ultraviolet applications.
Enhanced electronic and optical properties of three TMD heterobilayers.
Rehman, Shafiq Ur; Ding, Z J
2018-06-20
The physical and chemical properties of monolayers can be tuned by selective combinations so as to be useful for device applications. Here we present a density functional theory study on the structural, electronic and optical properties of three transition metal dichalcogenide (TMD) heterobilayers, ZrS2/HfS2, ZrSe2/HfSe2 and SnS2/SnSe2. These heterobilayers are predicted to be energetically and dynamically stable structures. The band structure calculation result shows that ZrS2/HfS2, ZrSe2/HfSe2 and SnS2/SnSe2 heterobilayers are semiconductors with indirect band gaps. The efficient charge carrier separation in ZrS2/HfS2 and ZrSe2/HfSe2 heterobilayers indicates that they can be employed in energy harvesting devices. Contrary to the previous report on the ZrS2/HfS2 heterobilayer, we found it to have an intrinsic type-II band alignment which is required in p-n junction diodes and tunnel field effect transistors, and the same behavior was observed in ZrSe2/HfSe2 and SnS2/SnSe2 for the first time. The ZrS2/HfS2 and ZrSe2/HfSe2 heterobilayers reveal enhanced optical absorption both in the ultraviolet and visible regions as compared to their respective monolayers, whereas the parallel and perpendicular part of the optical absorption of the SnS2/SnSe2 heterobilayer revealed an anisotropic behavior; the perpendicular part is largely improved in the higher energy region, and the parallel part of the optical absorption is improved in the ultraviolet region.
Ultraviolet radiation induced discharge laser
Gilson, Verle A.; Schriever, Richard L.; Shearer, James W.
1978-01-01
An ultraviolet radiation source associated with a suitable cathode-anode electrode structure, disposed in a gas-filled cavity of a high pressure pulsed laser, such as a transverse electric atmosphere (TEA) laser, to achieve free electron production in the gas by photoelectric interaction between ultraviolet radiation and the cathode prior to the gas-exciting cathode-to-anode electrical discharge, thereby providing volume ionization of the gas. The ultraviolet radiation is produced by a light source or by a spark discharge.
International Ultraviolet Explorer (IUE)
NASA Technical Reports Server (NTRS)
Boehm, Karl-Heinz
1992-01-01
The observation, data reduction, and interpretation of ultraviolet spectra (obtained with the International Ultraviolet Explorer) of Herbig-Haro objects, stellar jets, and (in a few cases) reflection nebulae in star-forming regions is discussed. Intermediate results have been reported in the required semi-annual reports. The observations for this research were obtained in 23 (US1) IUE shifts. The spectra were taken in the low resolution mode with the large aperture. The following topics were investigated: (1) detection of UV spectra of high excitation Herbig-Haro (HH) objects, identification of emission lines, and a preliminary study of the energy distribution of the ultraviolet continuum; (2) details of the continuum energy distribution of these spectra and their possible interpretation; (3) the properties of the reddening (extinction) of HH objects; (4) the possible time variation of strong emission lines in high excitation HH objects; (5) the ultraviolet emission of low excitation HH objects, especially in the fluorescent lines of the H2 molecule; (6) the ultraviolet emission in the peculiar object HH24; (7) the spatial emission distribution of different lines and different parts of the continuum in different HH objects; and (8) some properties of reflection nebula, in the environment of Herbig-Haro objects. Each topic is discussed.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Choi, Sukgeun; Park, Ji-Sang; Donohue, Andrea
2015-11-19
Cu 2ZnGeSe 4 is of interest for the development of next-generation thin-film photovoltaic technologies. To understand its electronic structure and related fundamental optical properties, we perform first-principles calculations for three structural variations: kesterite, stannite, and primitive-mixed CuAu phases. The calculated data are compared with the room-temperature dielectric functionϵ=ϵ1+iϵ2 spectrum of polycrystalline Cu 2ZnGeSe 4 determined by vacuum-ultraviolet spectroscopic ellipsometry in the photon-energy range of 0.7 to 9.0 eV. Ellipsometric data are modeled with the sum of eight Tauc-Lorentz oscillators, and the best-fit model yields the band-gap and Tauc-gap energies of 1.25 and 1.19 eV, respectively. A comparison of overall peakmore » shapes and relative intensities between experimental spectra and the calculated ϵ data for three structural variations suggests that the sample may not have a pure (ordered) kesterite phase. We found that the complex refractive index N=n+ik, normal-incidence reflectivity R, and absorption coefficients α are calculated from the modeled ϵ spectrum, which are also compared with those of Cu 2ZnSnSe 4 . The spectral features for Cu 2ZnGeSe 4 appear to be weaker and broader than those for Cu 2ZnSnSe 4 , which is possibly due to more structural imperfections presented in Cu 2ZnGeSe 4 than Cu 2ZnSnSe 4 .« less
NASA Astrophysics Data System (ADS)
Smith, Ryan Scott
As the gate density increases in microelectronic devices, the interconnect delay or RC response also increases and has become the limiting delay to faster devices. In order to decrease the RC time delay, a new metallization scheme has been chosen by the semiconductor industry. Copper has replaced aluminum as the metal lines and new low-k dielectric materials are being developed to replace silicon dioxide. A promising low-k material is porous organosilicate glass or p-OSG. The p-OSG film is a hybrid material where the silicon dioxide backbone is terminated with methyl or hydrogen, reducing the dielectric constant and creating mechanically weak films that are prone to fracture. A few methods of improving the mechanical properties of p-OSG films have been attempted-- exposing the film to hydrogen plasma, electron beam curing, and ultra-violet light curing. Hydrogen plasma and electron-beam curing suffer from a lack of specificity and can cause charging damage to the gates. Therefore, ultra-violet light curing (UV curing) is preferable. The effect of UV curing on an ultra-low-k, k~2.5, p-OSG film is studied in this dissertation. Changes in the molecular structure were measured with Fourier Transform Infrared Spectroscopy and X-ray Photoelectron Spectroscopy. The evolution of the molecular structure with UV curing was correlated with material and fracture properties. The material properties were film shrinkage, densification, and an increase in dielectric constant. From the changes in molecular structure and material properties, a set of condensation reactions with UV light are predicted. The connectivity of the film increases with the condensation reactions and, therefore, the fracture toughness should also increase. The effect of UV curing on the critical and sub-critical fracture toughness was also studied. The critical fracture toughness was measured at four different mode-mixes-- zero, 15°, 32°, and 42°. It was found that the critical fracture toughness
NASA Astrophysics Data System (ADS)
Schindhelm, Eric; Noonan, John; Keeney, Brian A.; Broiles, Thomas; Bieler, Andre; A'Hearn, Michael F.; Bertaux, Jean-Loup; Feaga, Lori M.; Feldman, Paul D.; Parker, Joel Wm.; Steffl, Andrew Joseph; Stern, S. Alan; Weaver, Harold A.
2016-10-01
The Alice Far-Ultraviolet (FUV) Spectrograph onboard ESA's Rosetta spacecraft has observed the coma of comet 67P/Churyumov-Gerasimenko from far approach in summer 2014 until the end of mission in September 2016. We present an overall perspective of the bright FUV emission lines (HI 1026 Å, OI 1302/1305/1306 Å multiplet, OI] 1356 Å, CO 1510 (1-0) Å, and CI 1657 Å) above the sunward hemisphere, detailing their spatial extent and brightness as a function of time and the heliocentric distance of the comet. We compare our observed gas column densities derived using electron temperatures and densities from the Ion Electron Sensor (IES) with those derived using the Inner Coma Environment Simulator (ICES) models in periods when electron-impact excited emission dominates over solar fluorescence emission. The electron population is characterized with 2 three-dimensional kappa functions, one dense and warm, one rarefied and hot.
Ultraviolet properties of individual hot stars in globular cluster cores. 1: NGC 1904 (M 79)
NASA Technical Reports Server (NTRS)
Altner, Bruce; Matilsky, Terry A.
1992-01-01
As part of an observing program using the International Ultraviolet Explorer (IUE) satellite to investigate the ultraviolet properties of stars found within the cores of galactic globular clusters with blue horizontal branches (HBs), we obtained three spectra of the cluster NGC 1904 (M 79). All three were long integration-time, short-wavelength (SWP) spectra obtained at the so called 'center of light' and all three showed evidence of sources within the IUE large aperture (21.4 in. by 10 in.). In this paper we shall describe the analysis of these spectra and present evidence that the UV sources represent individual hot stars in the post-HB stage of evolution.
NASA Astrophysics Data System (ADS)
Fan, Heliang; Yao, Zhen; Xu, Cheng; Wang, Xinqiang; Yu, Zhichao
2018-04-01
Undoped and Na-doped ZnO thin films were fabricated by sol-gel technique on quartz glass substrates and annealed at 500°C for 1 h. The structural properties of the films were characterized using x-ray diffraction analysis, which revealed hexagonal wurtzite structure with no peaks corresponding to Na2O or other Na phases being found. Surface morphology observations by scanning electron microscopy revealed that the crystallite size and topographical properties of the ZnO films were influenced by the Na doping concentration. X-ray photoelectron spectra revealed presence of Na+ in ZnO regime. The transmittance spectra indicated that the average transmittance of Na-doped ZnO film was above 80% in the visible range, superior to that of the undoped film. There was a blue-shift in the ultraviolet absorption edge with increase of the Na content. Photoluminescence spectra illustrated two peaks, corresponding to ultraviolet near-band-edge and visible emission.
Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua
2016-04-28
Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mao, Ye-Wei; Kong, Xu; Lin, Lin, E-mail: ywmao@pmo.ac.cn, E-mail: xkong@ustc.edu.cn, E-mail: linlin@shao.ac.cn
Variations in the attenuation law have a significant impact on observed spectral energy distributions for galaxies. As one important observational property for galaxies at ultraviolet and infrared wavelength bands, the correlation between infrared-to-ultraviolet luminosity ratio and ultraviolet color index (or ultraviolet spectral slope), i.e., the IRX-UV relation (or IRX-β relation), offered a widely used formula for correcting dust attenuation in galaxies, but the usability appears to be in doubt now because of considerable dispersion in this relation found by many studies. In this paper, on the basis of spectral synthesis modeling and spatially resolved measurements of four nearby spiral galaxies,more » we provide an interpretation of the deviation in the IRX-UV relation with variations in the attenuation law. From both theoretical and observational viewpoints, two components in the attenuation curve, the linear background and the 2175 Å bump, are suggested to be the parameters in addition to the stellar population age (addressed in the first paper of this series) in the IRX-UV function; different features in the attenuation curve are diagnosed for the galaxies in our sample. Nevertheless, it is often difficult to ascertain the attenuation law for galaxies in actual observations. Possible reasons for preventing the successful detection of the parameters in the attenuation curve are also discussed in this paper, including the degeneracy of the linear background and the 2175 Å bump in observational channels, the requirement for young and dust-rich systems to study, and the difficulty in accurate estimates of dust attenuations at different wavelength bands.« less
NASA Astrophysics Data System (ADS)
Mao, Ye-Wei; Kong, Xu; Lin, Lin
2014-07-01
Variations in the attenuation law have a significant impact on observed spectral energy distributions for galaxies. As one important observational property for galaxies at ultraviolet and infrared wavelength bands, the correlation between infrared-to-ultraviolet luminosity ratio and ultraviolet color index (or ultraviolet spectral slope), i.e., the IRX-UV relation (or IRX-β relation), offered a widely used formula for correcting dust attenuation in galaxies, but the usability appears to be in doubt now because of considerable dispersion in this relation found by many studies. In this paper, on the basis of spectral synthesis modeling and spatially resolved measurements of four nearby spiral galaxies, we provide an interpretation of the deviation in the IRX-UV relation with variations in the attenuation law. From both theoretical and observational viewpoints, two components in the attenuation curve, the linear background and the 2175 Å bump, are suggested to be the parameters in addition to the stellar population age (addressed in the first paper of this series) in the IRX-UV function; different features in the attenuation curve are diagnosed for the galaxies in our sample. Nevertheless, it is often difficult to ascertain the attenuation law for galaxies in actual observations. Possible reasons for preventing the successful detection of the parameters in the attenuation curve are also discussed in this paper, including the degeneracy of the linear background and the 2175 Å bump in observational channels, the requirement for young and dust-rich systems to study, and the difficulty in accurate estimates of dust attenuations at different wavelength bands.
NASA Astrophysics Data System (ADS)
Tanabe, Ichiro; Kurawaki, Yuji
2018-05-01
Attenuated total reflectance spectra including the far-ultraviolet (FUV, ≤ 200 nm) region of titanium dioxide (TiO2) with and without gold (Au) nanoparticles were measured. A newly developed external light-irradiation system enabled to observe spectral changes of TiO2 with Au nanoparticles upon light irradiations. Absorption in the FUV region decreased and increased by the irradiation with ultraviolet and visible light, respectively. These spectral changes may reflect photo-induced electron transfer from TiO2 to Au nanoparticles under ultraviolet light and from Au nanoparticles to TiO2 under visible light, respectively.
Ma, T; Beg, F N; MacPhee, A G; Chung, H-K; Key, M H; Mackinnon, A J; Patel, P K; Hatchett, S; Akli, K U; Stephens, R B; Chen, C D; Freeman, R R; Link, A; Offermann, D T; Ovchinnikov, V; Van Woerkom, L D
2008-10-01
Three independent methods (extreme ultraviolet spectroscopy, imaging at 68 and 256 eV) have been used to measure planar target rear surface plasma temperature due to heating by hot electrons. The hot electrons are produced by ultraintense laser-plasma interactions using the 150 J, 0.5 ps Titan laser. Soft x-ray spectroscopy in the 50-400 eV region and imaging at the 68 and 256 eV photon energies give a planar deuterated carbon target rear surface pre-expansion temperature in the 125-150 eV range, with the rear plasma plume averaging a temperature approximately 74 eV.
Equilibrium properties of blackbody radiation with an ultraviolet energy cut-off
NASA Astrophysics Data System (ADS)
Mishra, Dheeraj Kumar; Chandra, Nitin; Vaibhav, Vinay
2017-10-01
We study various equilibrium thermodynamic properties of blackbody radiation (i.e. a photon gas) with an ultraviolet energy cut-off. We find that the energy density, specific heat etc. follow usual acoustic phonon dynamics as have been well studied by Debye. Other thermodynamic quantities like pressure, entropy etc. have also been calculated. The usual Stefan-Boltzmann law gets modified. We observe that the values of the thermodynamic quantities with the energy cut-off is lower than the corresponding values in the theory without any such scale. The phase-space measure is also expected to get modified for an exotic spacetime appearing at Planck scale, which in turn leads to the modification of Planck energy density distribution and the Wien's displacement law. We found that the non-perturbative nature of the thermodynamic quantities in the SR limit (for both unmodified and modified cases), due to nonanalyticity of the leading term, is a general feature of the theory accompanied with an ultraviolet energy cut-off. We have also discussed the possible modification in the case of Big Bang and the Stellar objects and have suggested a table top experiment for verification in effective low energy case.
Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua
2016-01-01
Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446
NASA Astrophysics Data System (ADS)
Wright, Joshua T.; Forsythe, Kyle; Hutchins, Jamie; Meulenberg, Robert W.
2016-04-01
This paper investigates how chemical dopants affect the electronic properties of CdSe quantum dots (QDs) and why a model that incorporates the concepts of orbital hybridization must be used to understand these properties. Extended X-ray absorption fine structure spectroscopy measurements show that copper dopants in CdSe QDs occur primarily through a statistical doping mechanism. Ultraviolet photoemission spectroscopy (UPS) experiments provide a detailed insight on the valence band (VB) structure of doped and undoped QDs. Using UPS measurements, we are able to observe photoemission from the Cu d-levels above VB maximum of the QDs which allows a complete picture of the energy band landscape of these materials. This information provides insights into many of the physical properties of doped QDs, including the highly debated near-infrared photoluminescence in Cu doped CdSe QDs. We show that all our results point to a common theme of orbital hybridization in Cu doped CdSe QDs which leads to optically and electronically active states below the conduction band minimum. Our model is supported from current-voltage measurements of doped and undoped materials, which exhibit Schottky to Ohmic behavior with Cu doping, suggestive of a tuning of the lowest energy states near the Fermi level.This paper investigates how chemical dopants affect the electronic properties of CdSe quantum dots (QDs) and why a model that incorporates the concepts of orbital hybridization must be used to understand these properties. Extended X-ray absorption fine structure spectroscopy measurements show that copper dopants in CdSe QDs occur primarily through a statistical doping mechanism. Ultraviolet photoemission spectroscopy (UPS) experiments provide a detailed insight on the valence band (VB) structure of doped and undoped QDs. Using UPS measurements, we are able to observe photoemission from the Cu d-levels above VB maximum of the QDs which allows a complete picture of the energy band landscape of
Tanabe, Ichiro; Kurawaki, Yuji
2018-05-15
Attenuated total reflectance spectra including the far-ultraviolet (FUV, ≤200nm) region of titanium dioxide (TiO 2 ) with and without gold (Au) nanoparticles were measured. A newly developed external light-irradiation system enabled to observe spectral changes of TiO 2 with Au nanoparticles upon light irradiations. Absorption in the FUV region decreased and increased by the irradiation with ultraviolet and visible light, respectively. These spectral changes may reflect photo-induced electron transfer from TiO 2 to Au nanoparticles under ultraviolet light and from Au nanoparticles to TiO 2 under visible light, respectively. Copyright © 2017 Elsevier B.V. All rights reserved.
High-power infrared and ultraviolet free electron lasers at CEBAF
DOE Office of Scientific and Technical Information (OSTI.GOV)
Byung Yunn; Charles Sinclair; Christoph Leemann
1992-06-15
In response to requirements for national laboratory technology transfer, CEBAF has proposed an industrial R&D initiative: a Free Electron Laser(FEL) User Facility based on an infrared FEL and an ultraviolet FEL, with the injector and the north linac of the CEBAF superconducting,recirculating accelerator serving as drivers. The initiative is a collaborative effort with four U.S. corporate partners and capitalizes on CEBAF'ssuperconducting rf technology. The FELs will provide monochromatic, tunable (3.6 to 1.7 ¿m and 150 to 260 nm), high-average-power (-kW) lightfor technical applications and basic science studies. FEL capabilities will be competitive with those of similar initiatives worldwide. FEL operationmore » willnot impair beam delivered to CEBAF's nuclear physics experiments. Substational commitments are in hand from the industray partners and theCommonwealth of Virgina for cost-sharing the project with the Federal Government.« less
High-power infrared and ultraviolet free electron lasers at CEBAF
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dylla, H.F.; Bisognano, J.J.; Douglas, D.
1992-12-05
In response to requirements for national laboratory technology transfer, CEBAF has proposed an industrial R D initiative: a Free Electron Laser (FEL) User Facility based on an infrared FEL and an ultraviolet FEL, with the injector and the north linac of the CEBAF superconducting, recirculating accelerator serving as drivers. The initiative is a collaborative effort with four U.S. corporate partners and capitalizes on CEBAF's superconducting rf technology. The FELs will provide monochromatic, tunable (3.6 to 1.7 [mu]m and 150 to 260 nm), high-average-power (-kW) light for technical applications and basic science studies. FEL capabilities will be competitive with those ofmore » similar initiatives worldwide. FEL operation will not impair beam delivered to CEBAF's nuclear physics experiments. Substational commitments are in hand from the industray partners and the Commonwealth of Virgina for cost-sharing the project with the Federal Government.« less
Al nanogrid electrode for ultraviolet detectors.
Ding, G; Deng, J; Zhou, L; Gan, Q; Hwang, J C M; Dierolf, V; Bartoli, F J; Mazuir, C; Schoenfeld, W V
2011-09-15
Optical properties of Al nanogrids of different pitches and gaps were investigated both theoretically and experimentally. Three-dimensional finite-difference time-domain simulation predicted that surface plasmons at the air/Al interface would enhance ultraviolet transmission through the subwavelength gaps of the nanogrid, making it an effective electrode on GaN-based photodetectors to compensate for the lack of transparent electrode and high p-type doping. The predicted transmission enhancement was verified by confocal scanning optical microscopy performed at 365 nm. The quality of the nanogrids fabricated by electron-beam lithography was verified by near-field scanning optical microscopy and scanning electron microscopy. Based on the results, the pitch and gap of the nanogrids can be optimized for the best trade-off between electrical conductivity and optical transmission at different wavelengths. Based on different cutoff wavelengths, the nanogrids can also double as a filter to render photodetectors solar-blind.
STEREO's Extreme UltraViolet Imager (EUVI)
NASA Technical Reports Server (NTRS)
2007-01-01
At a pixel resolution of 2048x2048, the STEREO EUVI instrument provides views of the Sun in ultraviolet light that rivals the full-disk views of SOHO/EIT. This image is through the 171 Angstrom (ultraviolet) filter which is characteristic of iron ions (missing eight and nine electrons) at 1 million degrees. There is a short data gap in the latter half of the movie that creates a freeze and then jump in the data view. This is a movie of the Sun in 171 Angstrom ultraviolet light. The time frame is late January, 2007
Emulsifying and foaming properties of ultraviolet-irradiated egg white protein and sodium caseinate.
Kuan, Yau-Hoong; Bhat, Rajeev; Karim, Alias A
2011-04-27
The physicochemical and functional properties of ultraviolet (UV)-treated egg white protein (EW) and sodium caseinate (SC) were investigated. UV irradiation of the proteins was carried out for 30, 60, 90, and 120 min. However, the SC samples were subjected to extended UV irradiation for 4 and 6 h as no difference was found on the initial UV exposure time. Formol titration, SDS-PAGE, and FTIR analyses indicated that UV irradiation could induce cross-linking on proteins and led to improved emulsifying and foaming properties (P < 0.05). These results indicated that the UV-irradiated EW and SC could be used as novel emulsifier and foaming agents in broad food systems for stabilizing and foaming purposes.
Microgap ultra-violet detector
Wuest, Craig R.; Bionta, Richard M.
1994-01-01
A microgap ultra-violet detector of photons with wavelengths less than 400 run (4000 Angstroms) which comprises an anode and a cathode separated by a gas-filled gap and having an electric field placed across the gap. Either the anode or the cathode is semi-transparent to UV light. Upon a UV photon striking the cathode an electron is expelled and accelerated across the gap by the electric field causing interactions with other electrons to create an electron avalanche which contacts the anode. The electron avalanche is detected and converted to an output pulse.
Electronic and structural properties at the interface between CuPc and graphene
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tao, Yongsheng; College of Modern Science and Technology, China Jiliang University, Hangzhou 310018; Mao, Hongying
2015-01-07
The electronic and structural properties at Copper phthalocyanine (CuPc)/graphene have been studied using ultraviolet photoemission spectroscopy and first-principles density function theory calculation. The five emission features α, β, γ, δ, and ε originating from the CuPc molecules locate at 1.48, 3.66, 4.98, 6.90, and 9.04 eV, respectively. These features shift in binding energy with the increasing CuPc coverage. The feature α is mostly deriving from Cu 3d orbital with some contributions from C 2p orbital. Further theoretical calculation indicates that the adsorption of CuPc on a top site is the most favorable configuration, and the separation between the adsorbate and graphenemore » is about 3.47 Å. According to the density of states before and after CuPc adsorption, the LUMO of CuPc is slightly occupied, while the Dirac point of graphene slightly shift towards higher energy, suggesting that a small amount of electron transfer from graphene to CuPc upon contact.« less
NASA Technical Reports Server (NTRS)
Witt, Adolf N.; Petersohn, Jens K.; Bohlin, Ralph C.; O'Connell, Robert W.; Roberts, Morton S.; Smith, Andrew M.; Stecher, Theodore P.
1992-01-01
The Ultraviolet Imaging Telescope as part of the Astro-1 mission, was used to obtain high-resolution surface brightness distribution data in six ultraviolet wavelength bands for the bright reflection nebula NGC 7023. From the quantitative comparison of the measured surface brightness gradients ratios of nebular to stellar flux, and detail radial surface brightness profiles with corresponding data from the visible, two major conclusions results: (1) the scattering in the near- and far-ultraviolet in this nebula is more strongly forward-directed than in the visible; (2) the dust albedo in the ultraviolet for wavelengths not less than 140 nm is identical to that in the visible, with the exception of the 220 nm bump in the extinction curve. In the wavelengths region of the bump, the albedo is reduced by 25 to 30 percent in comparison with wavelengths regions both shorter and longer. This lower albedo is expected, if the bump is a pure absorption feature.
Ultrastructure study of hair damage after ultraviolet irradiation.
Zuel-Fakkar, Nehal Mohamed; El Khateeb, Ekramy Ahmed; Cousha, Hala Sobhi; Hamed, Dina Mohamed
2013-12-01
Natural ultraviolet exposure induces hair damage, which is difficult to avoid. Most of the research work is focused on the effect of ultraviolet on the epidermis, dermis as well as the immune system, whereas the long-term effect of ultraviolet on hair has not been investigated. we performed our experiment to find out the changes induced in hair follicle and shaft in those patients exposed to high doses of ultraviolet (A and B) during treatment of other skin conditions. Light and transmission electron microscopy examination of scalp hair follicles and shafts of 10 patients with vitiligo under psoralen plus ultraviolet A (group 1) and 10 patients with vitiligo under narrow band ultraviolet B (group 2) was carried out and compared with those of 10 healthy volunteers (group 3). Physical changes in the appearance of hair were more in groups 1 and 2 than control. Reduced hair follicle thickness and perifollicular infiltrate and hyaline disorganized perifollicular collagen were observed more in group 1 than in group 2 with the absence of these changes in group 3. Transmission electron microscopy showed nonspecific cell injury in hair follicles in group 1 more than the other 2 groups, while the damaging effect on hair was more in the second group than the others. Due to the damaging effect of ultraviolet on hair, patients under treatment with this modality should be cautious to protect their hair during treatment. © 2013 Wiley Periodicals, Inc.
Extreme-ultraviolet and electron beam lithography processing using water developable resist material
NASA Astrophysics Data System (ADS)
Takei, Satoshi
2017-08-01
In order to achieve the use of pure water in the developable process of extreme-ultraviolet and electron beam lithography, instead of conventionally used tetramethylammonium hydroxide and organic solvents, a water developable resist material was designed and developed. The water-developable resist material was derived from woody biomass with beta-linked disaccharide unit for environmental affair, safety, easiness of handling, and health of the working people. 80 nm dense line patterning images with exposure dose of 22 μC/cm2 and CF4 etching selectivity of 1.8 with hardmask layer were provided by specific process conditions. The approach of our water-developable resist material will be one of the most promising technologies ready to be investigated into production of medical device applications.
NASA Astrophysics Data System (ADS)
Kwon, M. R.; Park, T. H.; Lee, T. H.; Lee, B. R.; Kim, T. G.
2018-04-01
We propose a design for highly efficient AlGaN-based deep-ultraviolet light-emitting diodes (DUV LEDs) using a heart-shaped graded Al composition electron-blocking layer (EBL). This novel structure reduced downward band bending at the interface between the last quantum barrier and the EBL and flattened the electrostatic field in the interlayer between the barriers of the multi-quantum barrier EBL. Consequently, electron leakage was significantly suppressed and hole injection efficiency was found to have improved. The parameter values of simulation were extracted from the experimental data of the reference DUV LEDs. Using the SimuLED, we compared the electrical and optical properties of three structures with different Al compositions in the active region and the EBL. The internal quantum efficiency of the proposed structure was shown to exceed those of the reference DUV LEDs by a factor of 1.9. Additionally, the output power at 20 mA was found to increase by a factor of 2.1.
IRIS Ultraviolet Spectral Properties of a Sample of X-Class Solar Flares
NASA Astrophysics Data System (ADS)
Butler, Elizabeth; Kowalski, Adam; Cauzzi, Gianna; Allred, Joel C.; Daw, Adrian N.
2018-06-01
The white-light (near-ultraviolet (NUV) and optical) continuum emission comprises the majority of the radiated energy in solar flares. However, there are nearly as many explanations for the origin of the white-light continuum radiation as there are white-light flares that have been studied in detail with spectra. Furthermore, there are rarely robust constraints on the time-resolved dynamics in the white-light emitting flare layers. We are conducting a statistical study of the properties of Fe II lines, Mg II lines, and NUV continuum intensity in bright flare kernels observed by the Interface Region Imaging Spectrograph (IRIS), in order to provide comprehensive constraints for radiative-hydrodynamic flare models. Here we present a new technique for identifying bright flare kernels and preliminary relationships among IRIS spectral properties for a sample of X-class solar flares.
Biswas, Somnath; Husek, Jakub; Baker, L Robert
2018-04-24
Here we review the recent development of extreme ultraviolet reflection-absorption (XUV-RA) spectroscopy. This method combines the benefits of X-ray absorption spectroscopy, such as element, oxidation, and spin state specificity, with surface sensitivity and ultrafast time resolution, having a probe depth of only a few nm and an instrument response less than 100 fs. Using this technique we investigated the ultrafast electron dynamics at a hematite (α-Fe2O3) surface. Surface electron trapping and small polaron formation both occur in 660 fs following photoexcitation. These kinetics are independent of surface morphology indicating that electron trapping is not mediated by defects. Instead, small polaron formation is proposed as the likely driving force for surface electron trapping. We also show that in Fe2O3, Co3O4, and NiO, band gap excitation promotes electron transfer from O 2p valence band states to metal 3d conduction band states. In addition to detecting the photoexcited electron at the metal M2,3-edge, the valence band hole is directly observed as transient signal at the O L1-edge. The size of the resulting charge transfer exciton is on the order of a single metal-oxygen bond length. Spectral shifts at the O L1-edge correlate with metal-oxygen bond covalency, confirming the relationship between valence band hybridization and the overpotential for water oxidation. These examples demonstrate the unique ability to measure ultrafast electron dynamics with element and chemical state resolution using XUV-RA spectroscopy. Accordingly, this method is poised to play an important role to reveal chemical details of previously unseen surface electron dynamics.
Microgap ultra-violet detector
Wuest, C.R.; Bionta, R.M.
1994-09-20
A microgap ultra-violet detector of photons with wavelengths less than 400 run (4,000 Angstroms) which comprises an anode and a cathode separated by a gas-filled gap and having an electric field placed across the gap is disclosed. Either the anode or the cathode is semi-transparent to UV light. Upon a UV photon striking the cathode an electron is expelled and accelerated across the gap by the electric field causing interactions with other electrons to create an electron avalanche which contacts the anode. The electron avalanche is detected and converted to an output pulse. 2 figs.
The electronic properties of SWNTs intercalated by electron acceptors
NASA Astrophysics Data System (ADS)
Chernysheva, M. V.; Kiseleva, E. A.; Verbitskii, N. I.; Eliseev, A. A.; Lukashin, A. V.; Tretyakov, Yu. D.; Savilov, S. V.; Kiselev, N. A.; Zhigalina, O. M.; Kumskov, A. S.; Krestinin, A. V.; Hutchison, J. L.
2008-05-01
Here we report synthesis of Chal@SWNT nanocomposites (where Chal=S, Se and Te) and the impact of the intercalated electron-acceptor compounds on the electronic properties of SWNTs. The chalcogens were introduced to the channels of single-walled carbon nanotubes by molten media technique via impregnation of pre-opened SWNTs with melted guest compounds in vacuum. HRTEM imaging confirms the filling of nanotube channels by continuous nanostructures of corresponding chalcogens. The strong influence of incorporated matter on the electronic properties of the SWNTs was detected by Raman spectroscopy.
Electronic and mechanical properties of ZnX (X = S, Se and Te)—An ab initio study
NASA Astrophysics Data System (ADS)
Verma, Ajay Singh; Sharma, Sheetal; Sarkar, Bimal Kumar; Jindal, Vijay Kumar
2011-12-01
Zinc chalcogenides (ZnX, X = S, Se and Te) have been increasing attention as wide and direct band gap semiconductor for blue and ultraviolet optical devices. This paper analyzes electronic and mechanical properties of these materials by ab initio pseudo-potential method that uses non conserving pseudopotentials in fully nonlocal form, as implemented in SIESTA code. In this approach the local density approximation (LDA) is used for the exchange-correlation (XC) potential. The calculations are given for band gap, elastic constants (C11, C12 and C44), shear modulus, and Young's modulus. The results are in very good agreement with previous theoretical calculations and available experimental data.
Development of ultraviolet lasers
NASA Technical Reports Server (NTRS)
Walters, G. K.
1974-01-01
The pulsed electron accelerator selected for use in the development of ultraviolet laser capability suitable for use in photoexcitation and photoionization studies of the upper atmosphere is reported. Performance figures, installation specifications, and total cost of the equipment are briefly shown, and plans for further studies are outlined.
Park, Seonyoung; Kim, Seong Yeoul; Choi, Yura; Kim, Myungjun; Shin, Hyunjung; Kim, Jiyoung; Choi, Woong
2016-05-11
We report the interface properties of atomic-layer-deposited Al2O3 thin films on ultraviolet/ozone (UV/O3)-treated multilayer MoS2 crystals. The formation of S-O bonds on MoS2 after low-power UV/O3 treatment increased the surface energy, allowing the subsequent deposition of uniform Al2O3 thin films. The capacitance-voltage measurement of Au-Al2O3-MoS2 metal oxide semiconductor capacitors indicated n-type MoS2 with an electron density of ∼10(17) cm(-3) and a minimum interface trap density of ∼10(11) cm(-2) eV(-1). These results demonstrate the possibility of forming a high-quality Al2O3-MoS2 interface by proper UV/O3 treatment, providing important implications for their integration into field-effect transistors.
Computational prediction of the electronic structure and optical properties of graphene-like β-CuN3.
Zhang, Xu; Zhao, Xudong; Jing, Yu; Wu, Dihua; Zhou, Zhen
2015-12-21
Recently, a new polymorph of the highly energetic phase β-CuN3 has been synthesized. By hybrid density functional computations, we investigated the structural, electronic and optical properties of β-CuN3 bulk and layers. Due to the quantum confinement effect, the band gap of the monolayer (2.39 eV) is larger than that of the bulk (2.23 eV). The layer number affects the configuration and the band gap. β-CuN3 shows both ionic and covalent characters, and could be stable in the infrared and visible spectrum and would decompose under ultraviolet light. The results imply that bulk β-CuN3 could be used as an energetic material.
Electronic structure and properties of unsubstituted rhodamine in different electron states
DOE Office of Scientific and Technical Information (OSTI.GOV)
Artyukhov, V.Ya.
1988-04-01
An analysis is given of the electron density distribution, dipole moment variation, and proton acceptor properties of unsubstituted rhodamine molecules in different electron states. It is shown that the electron density redistribution between the pyronine and benzoin parts of rhodamine may be large and strongly affect the molecular properties. In one of the electron transitions (S/sub 4/) producing the third absorption band the proton acceptor power markedly increases, giving rise to a protonated form under suitable conditions.
Electronic structure and properties of unsubstituted rhodamine in different electron states
NASA Astrophysics Data System (ADS)
Artyukhov, V. Ya.
1987-10-01
An analysis is given of the electron density distribution, dipole moment variation, and proton acceptor properties of unsubstituted rhodamine molecules in different electron states. It is shown that the electron density redistribution between the pyronine and benzoin parts of rhodamine may be large and strongly affect the molecular properties. In one of the electron transitions (S4) producing the third absorption band the proton acceptor power markedly increases, giving rise to a protonated form under suitable conditions.
NASA Astrophysics Data System (ADS)
Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.
2017-06-01
Spectral investigations of low-temperature photoionized plasmas created in a Kr/Ne/H2 gas mixture were performed. The low-temperature plasmas were generated by gas mixture irradiation using extreme ultraviolet pulses from a laser-plasma source. Emission spectra in the ultraviolet/visible range from the photoionized plasmas contained lines that mainly corresponded to neutral atoms and singly charged ions. Temporal variations in the plasma electron temperature and electron density were studied using different characteristic emission lines at various delay times. Results, based on Kr II lines, showed that the electron temperature decreased from 1.7 to 0.9 eV. The electron densities were estimated using different spectral lines at each delay time. In general, except for the Hβ line, in which the electron density decreased from 3.78 × 1016 cm-3 at 200 ns to 5.77 × 1015 cm-3 at 2000 ns, most of the electron density values measured from the different lines were of the order of 1015 cm-3 and decreased slightly while maintaining the same order when the delay time increased. The time dependences of the measured and simulated intensities of a spectral line of interest were also investigated. The validity of the partial or full local thermodynamic equilibrium (LTE) conditions in plasma was explained based on time-resolved electron density measurements. The partial LTE condition was satisfied for delay times in the 200 ns to 1500 ns range. The results are summarized, and the dominant basic atomic processes in the gas mixture photoionized plasma are discussed.
Fluoride coatings for vacuum ultraviolet reflection filters.
Guo, Chun; Kong, Mingdong; Lin, Dawei; Li, Bincheng
2015-12-10
LaF3/MgF2 reflection filters with a high spectral-discrimination capacity of the atomic-oxygen lines at 130.4 and 135.6 nm, which were employed in vacuum ultraviolet imagers, were prepared by molybdenum-boat thermal evaporation. The optical properties of reflection filters were characterized by a high-precision vacuum ultraviolet spectrophotometer. The vulnerability of the filter's microstructures to environmental contamination and the recovery of the optical properties of the stored filter samples with ultraviolet ozone cleaning were experimentally demonstrated. For reflection filters with the optimized nonquarter-wave multilayer structures, the reflectance ratios R135.6 nm/R130.4 nm of 92.7 and 20.6 were achieved for 7° and 45° angles of incidence, respectively. On the contrary, R135.6 nm/R130.4 nm ratio of 12.4 was obtained for a reflection filter with a standard π-stack multilayer structure with H/L=1/4 at 7° AOI.
Ab-initio calculations of structural, electronic, and optical properties of Zn3(VO4)2
NASA Astrophysics Data System (ADS)
Ahmed, Nisar; Mukhtar, S.; Gao, Wei; Zafar Ilyas, Syed
2018-03-01
The structural, electronic, and optical properties of Zn3(VO4)2 are investigated using full potential linearized augmented plane wave (FP-LAPW) method within the framework of density functional theory (DFT). Various approaches are adopted to treat the exchange and correlation potential energy such as generalized gradient approximation (GGA), GGA+U, and the Tran–Blaha modified Becke–Johnson (TB-mBJ) potential. The calculated band gap of 3.424 eV by TB-mBJ is found to be close to the experimental result (3.3 eV). The optical anisotropy is analyzed through optical constants, such as dielectric function and absorption coefficient along parallel and perpendicular crystal orientations. The absorption coefficient reveals high absorption (1.5× {10}6 {cm}}-1) of photons in the ultraviolet region.
NASA Astrophysics Data System (ADS)
Byrd, Donald A.; Viswanathan, Vriddhachalam K.; Woodfin, Gregg L.; Horn, William W.; Lazazzera, Vito J.; Schmell, Rodney A.
1993-08-01
At Los Alamos National Laboratory, we are preparing to image submicrometer-size features using the Free Electron Laser (FEL) operating at 248 nm. This article describes the optical transfer systems that were designed to relay the ultraviolet (UV) optical output of the FEL, resulting in expected imaged feature sizes in the range 0.3 - 0.5 micrometers . Nearly all optical subsystems are reflective, and once the coatings were optimized any optical wavelength could be used. All refractive optics were UV-grade fused silica. The optical design, engineering, and manufacture of the various component systems are described along with some experimental results.
NASA Technical Reports Server (NTRS)
Morgan, H. D.; Mentall, J. E.
1974-01-01
Absolute excitation functions for excited fragments resulting from electron bombardment of H2O, NH3, and CH4 by low-energy electrons (0 to 300 eV) have been measured in the vacuum ultraviolet (1100 to 1950 A). The predominant emission for each molecule was the H Lyman-alpha line, while the O I, N I, C I, and C II emissions were at least an order of magnitude weaker. Absolute cross sections at 100 eV are given along with the appearance potential of the various processes and the possible dissociative-excitation channels through which such processes proceed.
Overlayer growth and electronic properties of the Bi/GaSb(110) interface
NASA Astrophysics Data System (ADS)
Gavioli, Luca; Betti, Maria Grazia; Casarini, Paolo; Mariani, Carlo
1995-06-01
The overlayer growth and electronic properties of the Bi/GaSb(110) interface and of the two-dimensional ordered (1×1)- and (1×2)-Bi layers have been investigated by complementary spectroscopic techniques (high-resolution electron-energy-loss, photoemission, and Auger spectroscopy). Bismuth forms an epitaxial monolayer, followed by island formation (Stranski-Krastanov growth mode) covering an average surface area of 40% at a nominal coverage of 4 ML. The (1×2)-symmetry stable structural phase, obtained after annealing at ~220 °C, corresponds to an average nominal Bi coverage of about 0.7 ML, suggesting an atomic geometry different from the epitaxial-continued layer structure. The disposal of Bi atoms in the (1×2) structure should build up an ``open'' layer, as the Ga-related surface exciton quenched in the (1×1) epitaxial monolayer is present in the (1×2) stable phase. The two symmetry phases are characterized by strong absorption features at 1 eV [(1×1)-Bi] and 0.54 eV [(1×2)-Bi], related to interband electronic transitions between Bi-induced electronic states. The major Bi-related occupied electronic levels, present in the valence band of the (1×1)- and (1×2)-Bi layer, have been detected by angle-integrated ultraviolet photoemission spectroscopy. Both the (1×1) and (1×2) phases show a metallic nature, with a low density of electronic states at the Fermi level. Schottky barrier heights of 0.20 and 0.14 eV are estimated for the epitaxial (1×1)- and (1×2)-symmetry stage, respectively, by analyzing the space-charge layer conditions through the study of the dopant-induced free-carrier plasmon in the GaSb substrate.
Electronic Properties of Cyclacenes from TAO-DFT
Wu, Chun-Shian; Lee, Pei-Yin; Chai, Jeng-Da
2016-01-01
Owing to the presence of strong static correlation effects, accurate prediction of the electronic properties (e.g., the singlet-triplet energy gaps, vertical ionization potentials, vertical electron affinities, fundamental gaps, symmetrized von Neumann entropy, active orbital occupation numbers, and real-space representation of active orbitals) of cyclacenes with n fused benzene rings (n = 4–100) has posed a great challenge to traditional electronic structure methods. To meet the challenge, we study these properties using our newly developed thermally-assisted-occupation density functional theory (TAO-DFT), a very efficient method for the study of large systems with strong static correlation effects. Besides, to examine the role of cyclic topology, the electronic properties of cyclacenes are also compared with those of acenes. Similar to acenes, the ground states of cyclacenes are singlets for all the cases studied. In contrast to acenes, the electronic properties of cyclacenes, however, exhibit oscillatory behavior (for n ≤ 30) in the approach to the corresponding properties of acenes with increasing number of benzene rings. On the basis of the calculated orbitals and their occupation numbers, the larger cyclacenes are shown to exhibit increasing polyradical character in their ground states, with the active orbitals being mainly localized at the peripheral carbon atoms. PMID:27853249
NASA Astrophysics Data System (ADS)
Iwayama, H.; Sugishima, A.; Nagaya, K.; Yao, M.; Fukuzawa, H.; Motomura, K.; Liu, X.-J.; Yamada, A.; Wang, C.; Ueda, K.; Saito, N.; Nagasono, M.; Tono, K.; Yabashi, M.; Ishikawa, T.; Ohashi, H.; Kimura, H.; Togashi, T.
2010-08-01
The emission of highly charged ions from Xe clusters exposed to intense extreme ultraviolet laser pulses (λ ~ 52 nm) from the free electron laser in Japan was investigated using ion momentum spectroscopy. With increasing average cluster size, we observed multiply charged ions Xez + up to z = 3. From kinetic energy distributions, we found that multiply charged ions were generated near the cluster surface. Our results suggest that charges are inhomogeneously redistributed in the cluster to lower the total energy stored in the clusters.
Global modeling of thermospheric airglow in the far ultraviolet
NASA Astrophysics Data System (ADS)
Solomon, Stanley C.
2017-07-01
The Global Airglow (GLOW) model has been updated and extended to calculate thermospheric emissions in the far ultraviolet, including sources from daytime photoelectron-driven processes, nighttime recombination radiation, and auroral excitation. It can be run using inputs from empirical models of the neutral atmosphere and ionosphere or from numerical general circulation models of the coupled ionosphere-thermosphere system. It uses a solar flux module, photoelectron generation routine, and the Nagy-Banks two-stream electron transport algorithm to simultaneously handle energetic electron distributions from photon and auroral electron sources. It contains an ion-neutral chemistry module that calculates excited and ionized species densities and the resulting airglow volume emission rates. This paper describes the inputs, algorithms, and code structure of the model and demonstrates example outputs for daytime and auroral cases. Simulations of far ultraviolet emissions by the atomic oxygen doublet at 135.6 nm and the molecular nitrogen Lyman-Birge-Hopfield bands, as viewed from geostationary orbit, are shown, and model calculations are compared to limb-scan observations by the Global Ultraviolet Imager on the TIMED satellite. The GLOW model code is provided to the community through an open-source academic research license.
Fabrication of a Graphene/ZnO based p-n junction device and its ultraviolet photoresponse properties
NASA Astrophysics Data System (ADS)
Kwon, Young-Tae; Kang, Sung-Oong; Cheon, Ji-Ae; Song, Yoseb; Lee, Jong-Jin; Choa, Yong-Ho
2017-09-01
Graphene with a zero-bandgap energy is easily doped using a chemical dopant, and a shift upwards or downwards in the Fermi level is generated. Moreover, the integration of inorganic material into the doped graphene changes the physical and chemical properties of the material. For this purpose, we successfully fabricated a p-n junction device by depositing an n-typed ZnO layer on p-doped graphene and studied the ultraviolet (UV) photoresponse properties under a photocurrent (UV light on) and a dark current (UV light off). Two devices, lateral and vertical, were developed by alternating the thickness of the ZnO layer, and the photoresponse mechanisms were described on the basis of the contact potential difference.
Jin, Jingcheng; Jin, Chunshui; Li, Chun; Deng, Wenyuan; Yao, Shun
2015-06-01
High-quality coatings of fluoride materials are in extraordinary demand for use in deep ultraviolet (DUV) lithography. Gadolinium fluoride (GdF3) thin films were prepared by a thermal boat evaporation process at different substrate temperatures. GdF3 thin film was set at quarter-wave thickness (∼27 nm) with regard to their common use in DUV/vacuum ultraviolet optical stacks; these thin films may significantly differ in nanostructural properties at corresponding depositing temperatures, which would crucially influence the performance of the multilayers. The measurement and analysis of optical, structural, and mechanical properties of GdF3 thin films have been performed in a comprehensive characterization cycle. It was found that depositing GdF3 thin films at relative higher temperature would form a rather dense, smooth, homogeneous structure within this film thickness scale.
Thin film optical coatings for the ultraviolet spectral region
NASA Astrophysics Data System (ADS)
Torchio, P.; Albrand, G.; Alvisi, M.; Amra, C.; Rauf, H.; Cousin, B.; Otrio, G.
2017-11-01
The applications and innovations related to the ultraviolet field are today in strong growth. To satisfy these developments which go from biomedical to the large equipment like the Storage Ring Free Electron Laser, it is crucial to control with an extreme precision the optical performances, in using the substrates and the thin film materials impossible to circumvent in this spectral range. In particular, the reduction of the losses by electromagnetic diffusion, Joule effect absorption, or the behavior under UV luminous flows of power, resistance to surrounding particulate flows... become top priority which concerns a broad European and international community. Our laboratory has the theoretical, experimental and technological tools to design and fabricate numerous multilayer coatings with desirable optical properties in the visible and infrared spectral ranges. We have extended our expertise to the ultraviolet. We present here some results on high reflectivity multidielectric mirrors towards 250 nm in wavelength, produced by Ion Plating Deposition. The latter technique allows us to obtain surface treatments with low absorption and high resistance. We give in this study the UV transparent materials and the manufacturing technology which have been the best suited to meet requirements. Single UV layers were deposited and characterized. HfO2/SiO2 mirrors with a reflectance higher than 99% at 300 nm were obtained. Optical and non-optical characterizations such as UV spectrophotometric measurements, X-Ray Diffraction spectra, Scanning Electron Microscope and Atomic Force Microscope images were performed
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ali, S.; Shimizu, E.; Nakamura, N.
2016-03-15
We have investigated extreme ultraviolet emission from highly charged barium using a compact electron beam ion trap at the Tokyo EBIT laboratory. The spectra were recorded for several beam energies ranging from 440 to 740 eV, while keeping the electron beam current constant at 10 mA. Radiation from charge states Zr-like Ba{sup 16+} to As-like Ba{sup 23+} were recorded and identified by varying the electron beam energy across the ionization thresholds and comparing with calculated results. The calculations were performed with a detailed relativistic configuration interaction approach using the Flexible Atomic Code. Several new lines belonging to electric dipole transitions were observedmore » and identified.« less
NASA Astrophysics Data System (ADS)
von Korff Schmising, Clemens; Weder, David; Noll, Tino; Pfau, Bastian; Hennecke, Martin; Strüber, Christian; Radu, Ilie; Schneider, Michael; Staeck, Steffen; Günther, Christian M.; Lüning, Jan; Merhe, Alaa el dine; Buck, Jens; Hartmann, Gregor; Viefhaus, Jens; Treusch, Rolf; Eisebitt, Stefan
2017-05-01
A new device for polarization control at the free electron laser facility FLASH1 at DESY has been commissioned for user operation. The polarizer is based on phase retardation upon reflection off metallic mirrors. Its performance is characterized in three independent measurements and confirms the theoretical predictions of efficient and broadband generation of circularly polarized radiation in the extreme ultraviolet spectral range from 35 eV to 90 eV. The degree of circular polarization reaches up to 90% while maintaining high total transmission values exceeding 30%. The simple design of the device allows straightforward alignment for user operation and rapid switching between left and right circularly polarized radiation.
NASA Astrophysics Data System (ADS)
Srinatha, N.; Nair, K. G. M.; Angadi, Basavaraj
2015-10-01
We report on the microstructure, electronic structure and optical properties of nanocrystalline Zn1-xCoxO (x=0, 0.01, 0.03, 0.05 and 0.07) particles prepared by solution combustion technique using L-Valine as fuel. The detailed structural and micro-structural studies were carried out by XRD, HRTEM and TEM-SAED respectively, which confirms the formation of single phased, nano-sized particles. The electronic structure was determined through NEXAFS and atomic multiplet calculations/simulations performed for various symmetries and valence states of 'Co' to determine the valance state, symmetry and crystal field splitting. The correlations between the experimental NEXAFS spectra and atomic multiplet simulations, confirms that, 'Co' present is in the 2+ valence state and substituted at the 'Zn' site in tetrahedral symmetry with crystal field splitting, 10Dq =-0.6 eV. The optical properties and 'Co' induced defect formation of as-synthesized materials were examined by using diffuse reflectance and Photoluminescence spectroscopy, respectively. Red-shift of band gap energy (Eg) was observed in Zn1-xCoxO samples due to Co (0.58 Å) substitution at Zn (0.60 Å) site of the host ZnO. Also, in PL spectra, a prominent pre-edge peak corresponds to ultraviolet (UV) emission around 360-370 nm was observed with Co concentration along with near band edge emission (NBE) of the wide band gap ZnO and all samples show emission in the blue region.
NASA Astrophysics Data System (ADS)
Krishnan, R. Reshmi; Sanjeev, Ganesh; Prabhu, Radhakrishna; Pillai, V. P. Mahadevan
2018-02-01
Undoped and Cu-doped In2O3 films were prepared by radiofrequency magnetron sputtering technique. The effects of Cu doping and high-energy electron beam irradiation on the structural and optical properties of as-prepared films were investigated using techniques such as x-ray diffraction, x-ray photoelectron spectroscopy (XPS), lateral scanning electron microscopic image analysis, energy-dispersive x-ray (EDX) spectroscopy, micro-Raman, and ultraviolet-visible (UV-vis) spectroscopy. Moderate doping of Cu in In2O3 enhanced the intensity of (222) peak, indicating alignment of crystalline grains along <111>. Electron beam irradiation promoted orientation of crystalline grains along <111> in undoped and moderately Cu-doped films. EDX spectroscopic and XPS analyses revealed incorporation of Cu2+ ions in the lattice. The transmittance of Cu-doped films decreased with e-beam irradiation. Systematic reduction of the bandgap energy with increase in Cu doping concentration was seen in unirradiated and electron-beam-irradiated films.
Electronic, optical, infrared, and elastic properties of KCdCO3F from first principles
NASA Astrophysics Data System (ADS)
Huang, Xue-Qian; Xue, Han-Yu; Zhang, Can; Pang, Dong-Dong; Lv, Zhen-Long; Duan, Man-Yi
2018-05-01
KCdCO3F is a newly synthesized promising ultraviolet nonlinear optical crystal, but its structure is disputed and its fundamental properties have not been well studied. Here our first-principles study indicates that the structure with the space group P 6 bar c2 is energetically more stable than the P 6 bar m2 phase. We systematically investigated its electronic, optical, vibrational, infrared, and elastic properties. The results reveal that KCdCO3F is a direct-band-gap insulator with rather flat bands below the Fermi level. Analyses of its partial density of states revealed that the top (bottom) of its valence (conduction) band is formed by the O 2p (Cd 5s) orbital. It is a negative uniaxial crystal with ionic-covalent nature. Both infrared-active and Raman-active modes exist at its Brillouin zone center, and ions contribute more to its static dielectric constants. Its optical spectra in the visual and infrared ranges were studied, and their origins were revealed. Calculations indicate that KCdCO3F is mechanically stable but anisotropic since it is more vulnerable to shear stress and is easy to cleave along the c axis.
Discuss the testing problems of ultraviolet irradiance meters
NASA Astrophysics Data System (ADS)
Ye, Jun'an; Lin, Fangsheng
2014-09-01
Ultraviolet irradiance meters are widely used in many areas such as medical treatment, epidemic prevention, energy conservation and environment protection, computers, manufacture, electronics, ageing of material and photo-electric effect, for testing ultraviolet irradiance intensity. So the accuracy of value directly affects the sterile control in hospital, treatment, the prevention level of CDC and the control accuracy of curing and aging in manufacturing industry etc. Because the display of ultraviolet irradiance meters is easy to change, in order to ensure the accuracy, it needs to be recalibrated after being used period of time. By the comparison with the standard ultraviolet irradiance meters, which are traceable to national benchmarks, we can acquire the correction factor to ensure that the instruments working under accurate status and giving the accurate measured data. This leads to an important question: what kind of testing device is more accurate and reliable? This article introduces the testing method and problems of the current testing device for ultraviolet irradiance meters. In order to solve these problems, we have developed a new three-dimensional automatic testing device. We introduce structure and working principle of this system and compare the advantages and disadvantages of two devices. In addition, we analyses the errors in the testing of ultraviolet irradiance meters.
Balloon Borne Ultraviolet Spectrometer.
1978-12-28
n.c.aaary ond lden lfy by block numb.r) ultraviolet ground support equipment (GSE) spectrometers flight electronics instrumentation balloons \\ solar ...Assembly 4 Fig. 3 Solar Balloon Experiment Ass ’y 7 Fig. 4 Mechanical Interface , UV Spectrometer 8 Fig . 5 Spectrometer Body Assemb ly 10 Fig. 6...Diagram, GSE )bnitor 48 Selector and Battery Charger Fig. 25 Schematic Diagram, GSE Serial to 49 Parallel Data Converter Fig. 26 Schematic Diagram
Electronic Properties of Carbon Nanotubes and Junctions
NASA Technical Reports Server (NTRS)
Anantram, M. P.; Han, Jie; Yang, Liu; Govindan, T. R.; Jaffe, R.; Saini, Subhash (Technical Monitor)
1998-01-01
Metallic and semiconducting Single Wall Carbon Nanotubes (CNT) have recently been characterized using scanning tunneling microscopy (STM) and the manipulation of individual CNT has been demonstrated. These developments make the prospect of using CNT as molecular wires and possibly as electronic devices an even more interesting one. We have been modeling various electronic properties such as the density of states and the transmission coefficient of CNT wires and junctions. These studies involve first calculating the stability of junctions using molecular dynamics simulations and then calculating the electronic properties using a pi-electron tight binding Hamiltonian. We have developed the expertise to calculate the electronic properties of both finite-sized CNT and CNT systems with semi-infinite boundary conditions. In this poster, we will present an overview of some of our results. The electronic application of CNT that is most promising at this time is their use as molecular wires. The conductance can however be greatly reduced because of reflection due to defects and contacts. We have modeled the transmission through CNT in the presence of two types of defects: weak uniform disorder and strong isolated scatterers. We find that the conductance is affected in significantly different manners due to these defects Junctions of CNT have also been imaged using STM. This makes it essential to derive rules for the formation of junctions between tubes of different chirality, study their relative energies and electronic properties. We have generalized the rules for connecting two different CNT and have calculated the transmission and density of states through CNT junctions. Metallic and semiconducting CNT can be joined to form a stable junction and their current versus voltage characteristics are asymmetric. CNT are deformed by the application of external forces including interactions with a substrate or other CNT. In many experiments, these deformation are expected to
Extreme ultraviolet spectra of multiply charged tungsten ions
NASA Astrophysics Data System (ADS)
Mita, Momoe; Sakaue, Hiroyuki A.; Kato, Daiji; Murakami, Izumi; Nakamura, Nobuyuki
2017-11-01
We present extreme ultraviolet spectra of multiply charged tungsten ions observed with an electron beam ion trap. The observed spectra are compared with previous experimental results and theoretical spectra obtained with a collisional radiative model.
Plasma properties in electron-bombardment ion thrusters
NASA Technical Reports Server (NTRS)
Matossian, J. N.; Beattie, J. R.
1987-01-01
The paper describes a technique for computing volume-averaged plasma properties within electron-bombardment ion thrusters, using spatially varying Langmuir-probe measurements. Average values of the electron densities are defined by integrating the spatially varying Maxwellian and primary electron densities over the ionization volume, and then dividing by the volume. Plasma properties obtained in the 30-cm-diameter J-series and ring-cusp thrusters are analyzed by the volume-averaging technique. The superior performance exhibited by the ring-cusp thruster is correlated with a higher average Maxwellian electron temperature. The ring-cusp thruster maintains the same fraction of primary electrons as does the J-series thruster, but at a much lower ion production cost. The volume-averaged predictions for both thrusters are compared with those of a detailed thruster performance model.
Multistep Ionization of Argon Clusters in Intense Femtosecond Extreme Ultraviolet Pulses
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bostedt, C.; Thomas, H.; Hoener, M.
The interaction of intense extreme ultraviolet femtosecond laser pulses ({lambda}=32.8 nm) from the FLASH free electron laser (FEL) with clusters has been investigated by means of photoelectron spectroscopy and modeled by Monte Carlo simulations. For laser intensities up to 5x10{sup 13} W/cm{sup 2}, we find that the cluster ionization process is a sequence of direct electron emission events in a developing Coulomb field. A nanoplasma is formed only at the highest investigated power densities where ionization is frustrated due to the deep cluster potential. In contrast with earlier studies in the IR and vacuum ultraviolet spectral regime, we find nomore » evidence for electron emission from plasma heating processes.« less
Shape-dependent electronic properties of blue phosphorene nano-flakes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bhatia, Pradeep; Swaroop, Ram; Kumar, Ashok, E-mail: ashok@cup.ac.in
In recent year’s considerable attention has been given to the first principles method for modifying and controlling electronic properties of nano-materials. We performed DFT-based calculations on the electronic properties of zigzag-edged nano-flakes of blue phosphorene with three possible shapes namely rectangular, triangular and hexagonal. We observed that HOMO-LUMO gap of zigzag phosphorene nano-flakes with different shapes is ∼2.9 eV with H-passivations and ∼0.7 – 1.2 eV in pristine cases. Electronic properties of blue phosphorene nano-flakes show the strong dependence on their shape. We observed that distributions of molecular orbitals were strongly affected by the different shapes. Zigzag edged considered nanostructuresmore » are non-magnetic and semiconducting in nature. The shape dependent electronic properties may find applications in tunable nano-electronics.« less
NASA Astrophysics Data System (ADS)
Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro
2018-02-01
Metal oxide nanoparticle resists have attracted much attention as the next-generation resist used for the high-volume production of semiconductor devices. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In this study, the energy deposition in a zirconium oxide (ZrO2) nanoparticle resist was investigated. The numbers of electron-hole pairs generated in a ZrO2 core and an methacrylic acid (MAA) ligand shell upon exposure to 1 mJ cm-2 (exposure dose) extreme ultraviolet (EUV) radiations were theoretically estimated to be 0.16 at most and 0.04-0.17 cm2 mJ-1, respectively. By comparing the calculated distribution of electron-hole pairs with the line-and-space patterns of the ZrO2 nanoparticle resist fabricated by an EUV exposure tool, the number of electron-hole pairs required for the solubility change of the resist films was estimated to be 1.3-2.2 per NP. NP denotes a nanoparticle consisting of a metal oxide core with a ligand shell. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in the metal oxide core for the chemical change of ligand molecules.
NASA Astrophysics Data System (ADS)
Wang, Hanyu; Zhou, Jie; Wang, Xu; Lu, Zhiyun; Yu, Junsheng
2014-08-01
A high performance organic integrated device (OID) with ultraviolet photodetective and electroluminescent (EL) properties was fabricated by using a charge-transfer-featured naphthalimide derivative of 6-{3,5-bis-[9-(4-t-butylphenyl)-9H-carbazol-3-yl]-phenoxy}-2-(4-t-butylphenyl)-benzo[de]isoquinoline-1,3-dione (CzPhONI) as the active layer. The results showed that the OID had a high detectivity of 1.5 × 1011 Jones at -3 V under the UV-350 nm illumination with an intensity of 0.6 mW/cm2, and yielded an exciplex EL light emission with a maximum brightness of 1437 cd/m2. Based on the energy band diagram, both the charge transfer feature of CzPhONI and matched energy level alignment were responsible for the dual ultraviolet photodetective and EL functions of OID.
Ultraviolet Thomson Scattering from Direct-Drive Coronal Plasmas
NASA Astrophysics Data System (ADS)
Henchen, R. J.; Goncharov, V. N.; Michel, D. T.; Follett, R. K.; Katz, J.; Froula, D. H.
2013-10-01
Ultraviolet (λ4 ω = 263 nm) Thomson scattering (TS) was used to probe ion-acoustic waves (IAW's) and electron plasma waves (EPW's) from direct-drive coronal plasmas. Fifty-nine drive beams (λ3 ω = 351 nm) illuminate a spherical target with a radius of ~860 μm. Advances in the ultraviolet (UV) TS diagnostic at the Omega Laser Facility provide the ability to detect deep UV photons (~190 nm) and allow access to scattered light from EPW's propagating near the 3 ω quarter-critical surface (~2.5 × 1021 cm-3) . A series of experiments studied the effects of ablator materials on coronal plasma conditions. Electron temperatures and densities were measured from 150 μm to 400 μm from the initial target surface. Standard CH shells were compared to three-layered shells consisting of Si doped CH, Si, and Be. Early analysis indicates that these multilayered targets have less hot-electron energy as a result of higher electron temperature in the coronal plasma. This material is based upon work supported by the Department of Energy National Nuclear Security Administration under Award Number DE-NA0001944.
The Ultraviolet Spectrograph (UVS) on Juno
NASA Astrophysics Data System (ADS)
Gladstone, G. R.; Persyn, S.; Eterno, J.; Slater, D. C.; Davis, M. W.; Versteeg, M. H.; Persson, K. B.; Siegmund, O. H.; Marquet, B.; Gerard, J.; Grodent, D. C.
2008-12-01
Juno, a NASA New Frontiers mission, plans for launch in August 2011, a 5-year cruise (including a flyby of Earth in October 2013 for a gravity boost), and 14 months around Jupiter after arriving in August 2016. The spinning (2 RPM), solar-powered Juno will study Jupiter from a highly elliptical orbit, in which the spacecraft (for about 6 hours once every 11 days) dives down over the north pole, skims the outermost atmosphere, and rises back up over the south pole. This orbit allows Juno avoid most of the intense particle radiation surrounding the planet and provides an excellent platform for investigating Jupiter's polar magnetosphere. Part of the exploration of Jupiter's polar magnetosphere will involve remote sensing of the far-ultraviolet H and H2 auroral emissions, plus gases such as methane and acetylene which add their absorption signature to the H2 emissions. This hydrocarbon absorption can be used to estimate the energy of the precipitating electrons; since more energetic electrons penetrate deeper into the atmosphere and the UV emissions they produce will show more absorption. Juno will carry an Ultraviolet Spectrograph (UVS) to make spectral images of Jupiter's aurora. UVS is a UV imaging spectrograph sensitive to both extreme and far ultraviolet emissions in the 70-205~nm range that will characterize the morphology and spectral nature of Jupiter's auroral emissions. Juno UVS consists of two separate sections: a dedicated telescope/spectrograph assembly and a vault electronics box. The telescope/spectrograph assembly contains a telescope which feeds a 0.15-m Rowland circle spectrograph. The telescope has an input aperture 40×40~mm2 and uses an off-axis parabolic primary mirror. A flat scan mirror situated at the front end of the telescope (used to target specific auroral features at up to ±30° perpendicular to the Juno spin plane) directs incoming light to the primary. The light is then focused onto the spectrograph entrance slit, which has a 'dog
Aluminum nanostructures for ultraviolet plasmonics
NASA Astrophysics Data System (ADS)
Martin, Jérôme; Khlopin, Dmitry; Zhang, Feifei; Schuermans, Silvère; Proust, Julien; Maurer, Thomas; Gérard, Davy; Plain, Jérôme
2017-08-01
An electromagnetic field is able to produce a collective oscillation of free electrons at a metal surface. This allows light to be concentrated in volumes smaller than its wavelength. The resulting waves, called surface plasmons can be applied in various technological applications such as ultra-sensitive sensing, Surface Enhanced Raman Spectroscopy, or metal-enhanced fluorescence, to name a few. For several decades plasmonics has been almost exclusively studied in the visible region by using nanoparticles made of gold or silver as these noble metals support plasmonic resonances in the visible and near-infrared range. Nevertheless, emerging applications will require the extension of nano-plasmonics toward higher energies, in the ultraviolet range. Aluminum is one of the most appealing metal for pushing plasmonics up to ultraviolet energies. The subsequent applications in the field of nano-optics are various. This metal is therefore a highly promising material for commercial applications in the field of ultraviolet nano-optics. As a consequence, aluminum (or ultraviolet, UV) plasmonics has emerged quite recently. Aluminium plasmonics has been demonstrated efficient for numerous potential applications including non-linear optics, enhanced fluorescence, UV-Surface Enhanced Raman Spectroscopy, optoelectronics, plasmonic assisted solid-state lasing, photocatalysis, structural colors and data storage. In this article, different preparation methods developed in the laboratory to obtain aluminum nanostructures with different geometries are presented. Their optical and morphological characterizations of the nanostructures are given and some proof of principle applications such as fluorescence enhancement are discussed.
NASA Technical Reports Server (NTRS)
2008-01-01
[figure removed for brevity, see original site] Side-by-Side Comparison Click on image for larger view This ultraviolet image from NASA's Galaxy Evolution Explorer shows the Southern Pinwheel galaxy, also know as Messier 83 or M83. It is located 15 million light-years away in the southern constellation Hydra. Ultraviolet light traces young populations of stars; in this image, young stars can be seen way beyond the main spiral disk of M83 up to 140,000 light-years from its center. Could life exist around one of these far-flung stars? Scientists say it's unlikely because the outlying regions of a galaxy are lacking in the metals required for planets to form. The image was taken at scheduled intervals between March 15 and May 20, 2007. It is one of the longest-exposure, or deepest, images ever taken of a nearby galaxy in ultraviolet light. Near-ultraviolet light (or longer-wavelength ultraviolet light) is colored yellow, and far-ultraviolet light is blue. What Lies Beyond the Edge of a Galaxy The side-by-side comparison shows the Southern Pinwheel galaxy, or M83, as seen in ultraviolet light (right) and at both ultraviolet and radio wavelengths (left). While the radio data highlight the galaxy's long, octopus-like arms stretching far beyond its main spiral disk (red), the ultraviolet data reveal clusters of baby stars (blue) within the extended arms. The ultraviolet image was taken by NASA's Galaxy Evolution Explorer between March 15 and May 20, 2007, at scheduled intervals. Back in 2005, the telescope first photographed M83 over a shorter period of time. That picture was the first to reveal far-flung baby stars forming up to 63,000 light-years from the edge of the main spiral disk. This came as a surprise to astronomers because a galaxy's outer territory typically lacks high densities of star-forming materials. The newest picture of M83 from the Galaxy Evolution Explorer is shown at the right, and was taken over a longer period ofNASA Astrophysics Data System (ADS)
Goulielmakis, Eleftherios
2017-04-01
Laser-driven generation of coherent radiation in bulk solids extending up to the extreme ultraviolet part of the spectrum has recently open up completely new possibilities for study of electronic phenomena which lie beyond the scope of standard condensed phase physics spectroscopies. I will present how previous and new tools of attosecond metrology can now allow us to gain detailed insight into the fundamental microscopic processes responsible for the EUV emission in solids. We will show that this emission is in reality a macroscopic probe of nanoscale intraband coherent electric currents the frequency of which is extending into multiPetahertz range. On the basis of these findings, I will try to persuade you that we are now entering the realm of coherent electronics. A regime in which electronic circuitry can be conceived on the atomic level and where electronic properties of materials can be accessed and controlled on attosecond time scales.
Coherence properties of the radiation from FLASH
NASA Astrophysics Data System (ADS)
Schneidmiller, E. A.; Yurkov, M. V.
2016-02-01
Free electron LASer in Hamburg is the first free electron laser user facility operating in the vacuum ultraviolet and soft X-ray wavelength range. Many user experiments require knowledge of the spatial and temporal coherence properties of the radiation. In this paper, we present a theoretical analysis of the coherence properties of the radiation for the fundamental and for the higher odd frequency harmonics. We show that temporal and spatial coherence reach their maxima close to the free electron laser (FEL) saturation but may degrade significantly in the post-saturation regime. We also find that the pointing stability of short FEL pulses is limited due to the fact that nonazimuthal FEL eigenmodes are not sufficiently suppressed. We discuss possible ways for improving the degree of transverse coherence and the pointing stability.
Electronic properties with and without electron-phonon coupling
NASA Astrophysics Data System (ADS)
Allen, Philip
To decent approximation, electronic properties P of solids have a temperature dependence of the type ΔP(T) = Σ (dP/dωi) [ni(T) +1/2], where ωi is the frequency of the ith vibrational normal mode, and ni is the Bose-Einstein equilibrium occupation of the mode. The coupling constant (dP/dωi) comes from electron-phonon interactions. At T =0, the ``1/2'' gives the zero-point electron-phonon renormalization of the property P, and at T>ΘD, the total shift ΔP becomes linear in T, extrapolating toward ΔP =0 at T =0. This form of T-dependence arises from the adiabatic or Born-Oppenheimer approximation, where electrons essentially ``don't notice'' the time-dependence of thermal lattice fluctuations. In other words, the leading order theory for P is ΔP(T) = Σ (d2P/duiduj)
Electron Transport Properties of Ge nanowires
NASA Astrophysics Data System (ADS)
Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.
2003-03-01
Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.
Spatial control of photoemitted electron beams using a microlens-array transverse-shaping technique
Halavanau, A.; Qiang, G.; Ha, G.; ...
2017-10-26
A transversely inhomogeneous laser distribution on the photocathode surface generally produces electron beams with degraded beam quality. In this paper, we explore the use of microlens arrays to dramatically improve the transverse uniformity of an ultraviolet drive-laser pulse used in a photoinjector. Here, we also demonstrate a capability of microlens arrays to generate transversely modulated electron beams and present an application of such a feature to diagnose the properties of a magnetized beam.
Extreme Ultraviolet Emission Spectrum of CO_2 Induced by Electron Impact at 200 eV
NASA Technical Reports Server (NTRS)
Kanik, I.; Ajello, J. M.; James, G. K.
1993-01-01
We present the extreme ultraviolet (EUV) emission spectrum of CO_2 induced by electronimpact at 200 eV. There are 36 spectral features which are identified with a resolution of 0.5 nmover the wavelength range of 40 to 125 nm. Absolute emission cross sections were obtained for eachof these features. The EUV emission spectrum induced by electron impact consist of atomicmultiplets of CI,II and OI,II,III as well as CO and CO^+ molecular band systems produced bydissociative excitation. The CI (119.4 nm) multiplet is the strongest feature of CI with a peak crosssection of 3.61 x 10^(-19) cm^2 at 200 eV. The strongest feature of OI in the EUV spectrum is theOI (99.0 nm) multiplet with a peak cross section of 3.59 x 10^(-19) cm^2 at 200 eV.
Effect of Ultraviolet Light Irradiation on Structure and Electrochemical Properties of Iron Surface
NASA Astrophysics Data System (ADS)
Nanjo, Hiroshi; Deng, Huihua; Oconer, Irmin S.; Ishikawa, Ikuo; Suzuki, Toshishige M.
2005-01-01
The effect of ultraviolet light (UV) irradiation (254 nm, 0.8 mW/cm2) on air-formed oxide films and passivated films on iron was investigated by electrochemical methods and scanning tunneling microscopy (STM), in particular with respect to surface micro/nanostructures and the surface protective property. An as-deposited film appeared uniformly flat after UV irradiation for 2-4 h, which is associated with a decrease in current density. UV irradiation for 1-4 h assisted N-dodecylhydroxamic acid (DHA) molecules to strongly bond to the air-formed oxide film. UV irradiation for 1 h led to the formation of a flat terrace of atomic resolution on a surface passivated at 800 mV for 15 min. However, it was difficult to observe a terrace wider than 3 nm on the passive film irradiated for 4 h.
Effect of electron beam on the properties of electron-acoustic rogue waves
NASA Astrophysics Data System (ADS)
El-Shewy, E. K.; Elwakil, S. A.; El-Hanbaly, A. M.; Kassem, A. I.
2015-04-01
The properties of nonlinear electron-acoustic rogue waves have been investigated in an unmagnetized collisionless four-component plasma system consisting of a cold electron fluid, Maxwellian hot electrons, an electron beam and stationary ions. It is found that the basic set of fluid equations is reduced to a nonlinear Schrodinger equation. The dependence of rogue wave profiles and the associated electric field on the carrier wave number, normalized density of hot electron and electron beam, relative cold electron temperature and relative beam temperature are discussed. The results of the present investigation may be applicable in auroral zone plasma.
NASA Astrophysics Data System (ADS)
Wang, Zhiyuan
Solar-blind ultraviolet detection refers to photon detection specifically in the wavelength range of 200 nm to 320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. In this thesis, we design and fabricate a nanophotonic metal-oxide-semiconductor device for solar-blind UV detection. Instead of using semiconductors as the active absorber, we use metal Sn nano- grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between metal and semiconductor region upon UV excitation. The large metal/oxide interfacial energy barrier enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, 85% UV absorption and hot electron excitation can be achieved within the mean free path of 20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. Various fabrication techniques have been developed for preparing nano gratings. For nominally 20 nm-thick deposited Sn, the self- formed pseudo-periodic nanostructure help achieve 75% UV absorption from lambda=200 nm to 300 nm. With another layer of nominally 20 nm-thick Sn, similar UV absorption is maintained while conductivity is improved, which is beneficial for overall device efficiency. The Sn/SiO2/Si MOS devices show good solar-blind character while achieving 13% internal quantum efficiency for 260 nm UV with only 20 nm-thick Sn and some devices demonstrate much higher (even >100%) internal quantum efficiency. While a more accurate estimation of device effective area is needed for proving our calculation, these results indeed show a great potential for this type of hot-electron-based photodetectors and for Sn nanostructure as an effective UV absorber. The simple geometry of the self- assembled Sn
NASA Astrophysics Data System (ADS)
Greetham, Gregory M.; Ellis, Andrew M.
2000-11-01
New electronic transitions of the CaNC and SrNC free radicals have been identified in the near ultraviolet. For CaNC one new system, labeled the D˜-X˜ transition, was observed in the 31 500-33 400 cm-1 region. Two new transitions were found for SrNC, the D˜-X˜ and Ẽ-X˜ systems spanning 29 100-31 000 and 32 750-34 000 cm-1, respectively. Jet-cooled laser excitation spectra yield complex vibrational structure, much of which is attributed to excitation of the bending vibration. This has been used to infer that the molecule adopts a nonlinear equilibrium geometry in the upper electronic state in all three band systems, in contrast to the linear ground electronic state. This structural change is accounted for by the increased diffuseness of the unpaired electron in the excited states, which favors deviation from linearity. All three new excited states are assigned 2A' symmetry and correlate with 2Σ+ states in the linear molecule limit. Tentative estimates for the barriers to linearity in the D˜ 2A' states of CaNC and SrNC have been determined as ˜700 and ˜1050 cm-1, respectively.
NASA Astrophysics Data System (ADS)
Hirano, Ryoichi; Iida, Susumu; Amano, Tsuyoshi; Watanabe, Hidehiro; Hatakeyama, Masahiro; Murakami, Takeshi; Suematsu, Kenichi; Terao, Kenji
2016-03-01
Novel projection electron microscope optics have been developed and integrated into a new inspection system named EBEYE-V30 ("Model EBEYE" is an EBARA's model code) , and the resulting system shows promise for application to half-pitch (hp) 16-nm node extreme ultraviolet lithography (EUVL) patterned mask inspection. To improve the system's inspection throughput for 11-nm hp generation defect detection, a new electron-sensitive area image sensor with a high-speed data processing unit, a bright and stable electron source, and an image capture area deflector that operates simultaneously with the mask scanning motion have been developed. A learning system has been used for the mask inspection tool to meet the requirements of hp 11-nm node EUV patterned mask inspection. Defects are identified by the projection electron microscope system using the "defectivity" from the characteristics of the acquired image. The learning system has been developed to reduce the labor and costs associated with adjustment of the detection capability to cope with newly-defined mask defects. We describe the integration of the developed elements into the inspection tool and the verification of the designed specification. We have also verified the effectiveness of the learning system, which shows enhanced detection capability for the hp 11-nm node.
NASA Astrophysics Data System (ADS)
Ueno, Nami; Wakabayashi, Tomonari; Morisawa, Yusuke
2018-05-01
We measured the attenuated total reflectance-far ultraviolet (ATR-FUV) spectra of poly(ethylene glycol) (PEG; average molecular weights of 200, 300, and 400) and related materials in the liquid state in the 145-200-nm wavelength region. For appropriately assigning the absorption bands, we also performed theoretical simulation of the unit-number dependent electronic spectra. The FUV spectra of PEGs contain three bands, which are assigned to the transitions between n(CH2OCH2)-3s Rydberg state (176 nm), n(CH2OCH2)-3p Rydberg state (163 nm), and n(OH)-3p Rydberg state (153 nm). Since the contribution of n(OH) decreases compared to n(CH2OCH2) with increase in the number of units, the ratios of the molar absorption coefficients, ε, at 153 nm relative to 163 nm, decrease. On the other hand, the ratio of ε at 176 nm to that at 163 nm increases with increase in the number of units, because of the difference in the number of unoccupied orbitals in the transitions. The calculated results suggest that n orbitals form two electronic bands. In the upper band, the electrons expand over the ether chain, whereas in the lower band, the electrons are localized in the terminal OH in the PEGs.
Electronic and Optical properties of Graphene Nanoribbons
NASA Astrophysics Data System (ADS)
Molinari, Elisa; Ferretti, Andrea; Cardoso, Claudia; Prezzi, Deborah; Ruini, Alice
Narrow graphene nanoribbons (GNRs) exhibit substantial electronic band gaps, and optical properties expected to be fundamentally different from the ones of their parent material graphene. Unlike graphene the optical response of GNRs may be tuned by the ribbon width and the directly related electronic band gap. We have addressed the optical properties of chevron-like and finite-size armchair nanoribbons by computing the fundamental and optical gap from ab initio methods. Our results are in very good agreement with the experimental values obtained by STS, ARPES, and differential reflectance spectroscopy, indicating that this computational scheme can be quantitatively predictive for electronic and optical spectroscopies of nanostructures. These study has been partly supported by the EU Centre of Excellence ''MaX - MAterials design at the eXascale''.
Vacuum ultraviolet radiation effects on two-dimensional MoS2 field-effect transistors
NASA Astrophysics Data System (ADS)
McMorrow, Julian J.; Cress, Cory D.; Arnold, Heather N.; Sangwan, Vinod K.; Jariwala, Deep; Schmucker, Scott W.; Marks, Tobin J.; Hersam, Mark C.
2017-02-01
Atomically thin MoS2 has generated intense interest for emerging electronics applications. Its two-dimensional nature and potential for low-power electronics are particularly appealing for space-bound electronics, motivating the need for a fundamental understanding of MoS2 electronic device response to the space radiation environment. In this letter, we quantify the response of MoS2 field-effect transistors (FETs) to vacuum ultraviolet (VUV) total ionizing dose radiation. Single-layer (SL) and multilayer (ML) MoS2 FETs are compared to identify differences that arise from thickness and band structure variations. The measured evolution of the FET transport properties is leveraged to identify the nature of VUV-induced trapped charge, isolating the effects of the interface and bulk oxide dielectric. In both the SL and ML cases, oxide trapped holes compete with interface trapped electrons, exhibiting an overall shift toward negative gate bias. Raman spectroscopy shows no variation in the MoS2 signatures as a result of VUV exposure, eliminating significant crystalline damage or oxidation as possible radiation degradation mechanisms. Overall, this work presents avenues for achieving radiation-hard MoS2 devices through dielectric engineering that reduces oxide and interface trapped charge.
An XUV/VUV free-electron laser oscillator
NASA Astrophysics Data System (ADS)
Goldstein, J. C.; Newnam, B. E.; Cooper, R. K.; Comly, J. C., Jr.
Problems regarding the extension of free-electron laser technology from the visible and near infrared region, where such devices are currently operating, to the ultraviolet have recently been extensively discussed. It was found that significant technical problems must be overcome before free-electron lasers (FELs) can be operated in the VUV (100-200 nm) and the XUV (50-100). However, the present lack of other intense and tunable sources of coherent radiation at these wavelengths together with the intrinsic properties of FELs make the development of such devices potentially very rewarding. The properties of FELs include continuous tunability in wavelength and output in the form of a train of picosecond pulses. An investigation is conducted regarding the feasibility of an operation of a FEL in the XUV/VUV regions, taking into account a theoretical model. It is found that modest improvements in electron beam and optical mirror technologies will make the design of a FEL for operation in the 50-200-nm range of optical wavelength possible.
Extreme-ultraviolet-initiated high-order harmonic generation in Ar+
NASA Astrophysics Data System (ADS)
Clarke, D. D. A.; van der Hart, H. W.; Brown, A. C.
2018-02-01
We employ the R matrix with time dependence method to investigate extreme-ultraviolet-initiated high-order harmonic generation (XIHHG) in Ar+. Using a combination of extreme-ultraviolet (XUV, 92 nm, 3 ×1012W cm-2 ) and time-delayed, infrared (IR, 800 nm, 3 ×1014W cm-2 ) laser pulses, we demonstrate that control over both the mechanism and timing of ionization can afford significant enhancements in the yield of plateau and subthreshold harmonics alike. The presence of the XUV pulse is also shown to alter the relative contribution of different electron emission pathways. Manifestation of the Ar+ electronic structure is found in the appearance of a pronounced Cooper minimum. Interferences among the outer-valence 3 p and inner-valence 3 s electrons are found to incur only a minor suppression of the harmonic intensities, at least for the present combination of XUV and IR laser light. Additionally, the dependence of the XIHHG efficiency on time delay is discussed and rationalized with the aid of classical trajectory simulations.
NASA Astrophysics Data System (ADS)
Zhao, Ting; Wang, Yu-An; Zhao, Zong-Yan; Liu, Qiang; Liu, Qing-Ju
2018-01-01
In order to explore the similarity, difference, and tendency of binary copper-based chalcogenides, the crystal structure, electronic structure, and optical properties of eight compounds of Cu2Q and CuQ (Q = O, S, Se, and Te) have been calculated by density functional theory with HSE06 method. According to the calculated results, the electronic structure and optical properties of Cu2Q and CuQ present certain similarities and tendencies, with the increase of atomic number of Q elements: the interactions between Cu-Q, Cu-Cu, and Q-Q are gradually enhancing; the value of band gap is gradually decreasing, due to the down-shifting of Cu-4p states; the covalent feature of Cu atoms is gradually strengthening, while their ionic feature is gradually weakening; the absorption coefficient in the visible-light region is also increasing. On the other hand, some differences can be found, owing to the different crystal structure and component, for example: CuO presents the characteristics of multi-band gap, which is very favorable to absorb infrared-light; the electron transfer in CuQ is stronger than that in Cu2Q; the absorption peaks and intensity are very strong in the ultraviolet-light region and infrared-light region. The findings in the present work will help to understand the underlying physical mechanism of binary copper-based chalcogenides, and available to design novel copper-based chalcogenides photo-electronics materials and devices.
Research in extreme ultraviolet and far ultraviolet astronomy
NASA Technical Reports Server (NTRS)
Labov, S. E.
1985-01-01
Instruments designed to explore different aspects of far and extreme ultraviolet cosmic radiation were studied. The far ultraviolet imager (FUVI) was flown on the Aries sounding rocket. Its unique large format 75mm detector mapped out the far ultraviolet background radiation with a resolution of only a few arc minutes. Analysis of this data indicates to what extent the FUVI background is extra galactic in origin. A power spectrum of the spatial fluctuations will have direct consequences for galactic evolution.
Corongraphic Observations and Analyses of The Ultraviolet Solar Corona
NASA Technical Reports Server (NTRS)
Kohl, John L.
2000-01-01
The activities supported under NASA Grant NAG5-613 included the following: 1) reduction and scientific analysis of data from three sounding rocket flights of the Rocket Ultraviolet Coronagraph Spectrometer, 2) development of ultraviolet spectroscopic diagnostic techniques to provide a detailed empirical description of the extended solar corona, 3) extensive upgrade of the rocket instrument to become the Ultraviolet Coronal Spectrometer (UVCS) for Spartan 201,4) instrument scientific calibration and characterization, 5) observation planning and mission support for a series of five Spartan 201 missions (fully successful except for STS 87 where the Spartan spacecraft was not successfully deployed and the instruments were not activated), and 6) reduction and scientific analysis of the UVCS/Spartan 201 observational data. The Ultraviolet Coronal Spectrometer for Spartan 201 was one unit of a joint payload and the other unit was a White Light Coronagraph (WLC) provided by the High Altitude Observatory and the Goddard Space Flight Center. The two instruments were used in concert to determine plasma parameters describing structures in the extended solar corona. They provided data that could be used individually or jointly in scientific analyses. The WLC provided electron column densities in high spatial resolution and high time resolution. UVCS/Spartan provided hydrogen velocity distributions, and line of sight hydrogen velocities. The hydrogen intensities from UVCS together with the electron densities from WLC were used to determine hydrogen outflow velocities. The UVCS also provided O VI intensities which were used to develop diagnostics for velocity distributions and outflow velocities of minor ions.
Scalable Sub-micron Patterning of Organic Materials Toward High Density Soft Electronics.
Kim, Jaekyun; Kim, Myung-Gil; Kim, Jaehyun; Jo, Sangho; Kang, Jingu; Jo, Jeong-Wan; Lee, Woobin; Hwang, Chahwan; Moon, Juhyuk; Yang, Lin; Kim, Yun-Hi; Noh, Yong-Young; Jaung, Jae Yun; Kim, Yong-Hoon; Park, Sung Kyu
2015-09-28
The success of silicon based high density integrated circuits ignited explosive expansion of microelectronics. Although the inorganic semiconductors have shown superior carrier mobilities for conventional high speed switching devices, the emergence of unconventional applications, such as flexible electronics, highly sensitive photosensors, large area sensor array, and tailored optoelectronics, brought intensive research on next generation electronic materials. The rationally designed multifunctional soft electronic materials, organic and carbon-based semiconductors, are demonstrated with low-cost solution process, exceptional mechanical stability, and on-demand optoelectronic properties. Unfortunately, the industrial implementation of the soft electronic materials has been hindered due to lack of scalable fine-patterning methods. In this report, we demonstrated facile general route for high throughput sub-micron patterning of soft materials, using spatially selective deep-ultraviolet irradiation. For organic and carbon-based materials, the highly energetic photons (e.g. deep-ultraviolet rays) enable direct photo-conversion from conducting/semiconducting to insulating state through molecular dissociation and disordering with spatial resolution down to a sub-μm-scale. The successful demonstration of organic semiconductor circuitry promise our result proliferate industrial adoption of soft materials for next generation electronics.
Scalable Sub-micron Patterning of Organic Materials Toward High Density Soft Electronics
Kim, Jaekyun; Kim, Myung-Gil; Kim, Jaehyun; Jo, Sangho; Kang, Jingu; Jo, Jeong-Wan; Lee, Woobin; Hwang, Chahwan; Moon, Juhyuk; Yang, Lin; Kim, Yun-Hi; Noh, Yong-Young; Yun Jaung, Jae; Kim, Yong-Hoon; Kyu Park, Sung
2015-01-01
The success of silicon based high density integrated circuits ignited explosive expansion of microelectronics. Although the inorganic semiconductors have shown superior carrier mobilities for conventional high speed switching devices, the emergence of unconventional applications, such as flexible electronics, highly sensitive photosensors, large area sensor array, and tailored optoelectronics, brought intensive research on next generation electronic materials. The rationally designed multifunctional soft electronic materials, organic and carbon-based semiconductors, are demonstrated with low-cost solution process, exceptional mechanical stability, and on-demand optoelectronic properties. Unfortunately, the industrial implementation of the soft electronic materials has been hindered due to lack of scalable fine-patterning methods. In this report, we demonstrated facile general route for high throughput sub-micron patterning of soft materials, using spatially selective deep-ultraviolet irradiation. For organic and carbon-based materials, the highly energetic photons (e.g. deep-ultraviolet rays) enable direct photo-conversion from conducting/semiconducting to insulating state through molecular dissociation and disordering with spatial resolution down to a sub-μm-scale. The successful demonstration of organic semiconductor circuitry promise our result proliferate industrial adoption of soft materials for next generation electronics. PMID:26411932
Scalable Sub-micron Patterning of Organic Materials Toward High Density Soft Electronics
NASA Astrophysics Data System (ADS)
Kim, Jaekyun; Kim, Myung-Gil; Kim, Jaehyun; Jo, Sangho; Kang, Jingu; Jo, Jeong-Wan; Lee, Woobin; Hwang, Chahwan; Moon, Juhyuk; Yang, Lin; Kim, Yun-Hi; Noh, Yong-Young; Yun Jaung, Jae; Kim, Yong-Hoon; Kyu Park, Sung
2015-09-01
The success of silicon based high density integrated circuits ignited explosive expansion of microelectronics. Although the inorganic semiconductors have shown superior carrier mobilities for conventional high speed switching devices, the emergence of unconventional applications, such as flexible electronics, highly sensitive photosensors, large area sensor array, and tailored optoelectronics, brought intensive research on next generation electronic materials. The rationally designed multifunctional soft electronic materials, organic and carbon-based semiconductors, are demonstrated with low-cost solution process, exceptional mechanical stability, and on-demand optoelectronic properties. Unfortunately, the industrial implementation of the soft electronic materials has been hindered due to lack of scalable fine-patterning methods. In this report, we demonstrated facile general route for high throughput sub-micron patterning of soft materials, using spatially selective deep-ultraviolet irradiation. For organic and carbon-based materials, the highly energetic photons (e.g. deep-ultraviolet rays) enable direct photo-conversion from conducting/semiconducting to insulating state through molecular dissociation and disordering with spatial resolution down to a sub-μm-scale. The successful demonstration of organic semiconductor circuitry promise our result proliferate industrial adoption of soft materials for next generation electronics.
Scalable sub-micron patterning of organic materials toward high density soft electronics
Kim, Jaekyun; Kim, Myung -Gil; Kim, Jaehyun; ...
2015-09-28
The success of silicon based high density integrated circuits ignited explosive expansion of microelectronics. Although the inorganic semiconductors have shown superior carrier mobilities for conventional high speed switching devices, the emergence of unconventional applications, such as flexible electronics, highly sensitive photosensors, large area sensor array, and tailored optoelectronics, brought intensive research on next generation electronic materials. The rationally designed multifunctional soft electronic materials, organic and carbon-based semiconductors, are demonstrated with low-cost solution process, exceptional mechanical stability, and on-demand optoelectronic properties. Unfortunately, the industrial implementation of the soft electronic materials has been hindered due to lack of scalable fine-patterning methods. Inmore » this report, we demonstrated facile general route for high throughput sub-micron patterning of soft materials, using spatially selective deep-ultraviolet irradiation. For organic and carbon-based materials, the highly energetic photons (e.g. deep-ultraviolet rays) enable direct photo-conversion from conducting/semiconducting to insulating state through molecular dissociation and disordering with spatial resolution down to a sub-μm-scale. As a result, the successful demonstration of organic semiconductor circuitry promise our result proliferate industrial adoption of soft materials for next generation electronics.« less
Electronic and optical properties of novel carbon allotropes
Wang, Zhanyu; Dong, F.; Shen, B.; ...
2016-01-22
The vibrational properties, electronic structures and optical properties of novel carbon allotropes, such as monolayer penta-graphene (PG), double-layer PG and T12-carbon, were studied by first-principles calculations. Results of phonon calculations demonstrate that these exotic carbon allotropes are dynamically stable. The bulk T12 phase is an indirect-gap semiconductor having a quasiparticle (QP) bandgap of ~5.19 eV. When the bulk material transforms to a two-dimensional (2D) phase, the monolayer and double-layer PG become quasi-direct gap semiconductors with smaller QP bandgaps of ~4.48 eV and ~3.67 eV, respectively. Furthermore, the partial charge density analysis indicates that the 2D phases retain part of themore » electronic characteristics of the T12 phase. The linear photon energy-dependent dielectric functions and related optical properties including refractive index, extinction coefficient, absorption spectrum, reflectivity, and energy-loss spectrum were also computed and discussed. Additionally, the chemical stability of monolayer PG and the electronic and optical properties of double-side hydrogenated monolayer PG were also investigated. Furthermore, the results obtained from our calculations are beneficial to practical applications of these exotic carbon allotropes in optoelectronics and electronics.« less
NASA Astrophysics Data System (ADS)
Relaix, Sabrina; Bourgerette, Christian; Mitov, Michel
2006-12-01
It is shown that the natural ultraviolet light absorbing properties of the liquid crystal constituent during the photoinduced elaboration of a liquid crystalline gel induce the broadening of the reflection bandwidth. The polymer component is then included in a resin by preserving its spatial distribution, and transmission electron microscopy investigations of cross sections show the existence of a structure gradient, which is at the origin of the broadening phenomenon. Such reflectors may be of interest for reflective polarizer-free displays or smart windows for the control of solar light for which a broadband reflection is required.
NASA Technical Reports Server (NTRS)
Chutjian, A.
1979-01-01
Geometries and focal properties are given for two types of electron-lens system commonly needed in electron scattering. One is an electron gun that focuses electrons from a thermionic emitter onto a fixed point (target) over a wide range of final energies. The other is an electron analyzer system that focuses scattered electrons of variable energy onto a fixed position (e.g., the entrance plane of an analyzer) at fixed energy with a zero final beam angle. Analyzer-system focusing properties are given for superelastically, elastically, and inelastically scattered electrons. Computer calculations incorporating recent accurate tube-lens focal properties are used to compute lens voltages, locations and diameters of all pupils and windows, filling factors, and asymptotic rays throughout each lens system. Focus voltages as a function of electron energy and energy change are given, and limits of operation of each system discussed. Both lens systems have been in routine use for several years, and good agreement has been consistently found between calculated and operating lens voltages.
Berry phase effect on electronic properties
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xiao, Di; Chang, Ming-Che; Niu, Qian
2010-01-01
Ever since its discovery, the Berry phase has permeated through all branches of physics. Over the last three decades, it was gradually realized that the Berry phase of the electronic wave function can have a profound effect on material properties and is responsible for a spectrum of phenomena, such as ferroelectricity, orbital magnetism, various (quantum/anomalous/spin) Hall effects, and quantum charge pumping. This progress is summarized in a pedagogical manner in this review. We start with a brief summary of necessary background, followed by a detailed discussion of the Berry phase effect in a variety of solid state applications. A commonmore » thread of the review is the semiclassical formulation of electron dynamics, which is a versatile tool in the study of electron dynamics in the presence of electromagnetic fields and more general perturbations. Finally, we demonstrate a re-quantization method that converts a semiclassical theory to an effective quantum theory. It is clear that the Berry phase should be added as a basic ingredient to our understanding of basic material properties.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dentinger, Paul M.; Cardinale, Gregory F.; Hunter, Luke L.
2003-12-01
We describe the fabrication and characterization of an electrode array test structure, designed for electrical probing of molecules and nanocrystals. We use Extreme Ultraviolet Lithography (EUVL) to define the electrical test platform features. As fabricated, the platform includes nominal electrode gaps of 0 nm, 40 nm, 60 nm, and 80 nm. Additional variation in electrode gap is achieved by controlling the exposure conditions, such as dose and focus. To enable EUVL based nanofabrication, we develop a novel bi-level photoresist process. The bi-level photoresist consists of a combination of a commercially available polydimethylglutarimide (PMGI) bottom layer and an experimental EUVL photoresistmore » top (imaging) layer. We measure the sensitivity of PMGI to EUV exposure dose as a function of photoresist pre-bake temperature, and using this data, optimize a metal lift-off process. Reliable fabrication of 700 Angstrom thick Au structures with sub-1000 Angstrom critical dimensions is achieved, even without the use of a Au adhesion layer, such as Ti. Several test platforms are used to characterize electrical properties of organic molecules deposited as self assembled monolayers.« less
Impact of nanostructured thin ZnO film in ultraviolet protection.
Sasani Ghamsari, Morteza; Alamdari, Sanaz; Han, Wooje; Park, Hyung-Ho
2017-01-01
Nanoscale ZnO is one of the best choices for ultraviolet (UV) protection, not only because of its antimicrobial properties but also due to its potential application for UV preservation. However, the behavior of nanostructured thin ZnO films and long-term effects of UV-radiation exposure have not been studied yet. In this study, we investigated the UV-protection ability of sol gel-derived thin ZnO films after different exposure times. Scanning electron microscopy, atomic force microscopy, and UV-visible optical spectroscopy were carried out to study the structure and optical properties of the ZnO films as a function of the UV-irradiation time. The results obtained showed that the prepared thin ZnO films were somewhat transparent under the visible wavelength region and protective against UV radiation. The UV-protection factor was 50+ for the prepared samples, indicating that they were excellent UV protectors. The deposited thin ZnO films demonstrated promising antibacterial potential and significant light absorbance in the UV range. The experimental results suggest that the synthesized samples have potential for applications in the health care field.
Control of the polarization of a vacuum-ultraviolet, high-gain, free-electron laser
Allaria, Enrico; Diviacco, Bruno; Callegari, Carlo; ...
2014-12-02
The two single-pass, externally seeded free-electron lasers (FELs) of the FERMI user facility are designed around Apple-II-type undulators that can operate at arbitrary polarization in the vacuum ultraviolet-to-soft x-ray spectral range. Furthermore, within each FEL tuning range, any output wavelength and polarization can be set in less than a minute of routine operations. We report the first demonstration of the full output polarization capabilities of FERMI FEL-1 in a campaign of experiments where the wavelength and nominal polarization are set to a series of representative values, and the polarization of the emitted intense pulses is thoroughly characterized by three independentmore » instruments and methods, expressly developed for the task. The measured radiation polarization is consistently >90% and is not significantly spoiled by the transport optics; differing, relative transport losses for horizontal and vertical polarization become more prominent at longer wavelengths and lead to a non-negligible ellipticity for an originally circularly polarized state. The results from the different polarimeter setups validate each other, allow a cross-calibration of the instruments, and constitute a benchmark for user experiments.« less
Amorphous silicon carbide coatings for extreme ultraviolet optics
NASA Technical Reports Server (NTRS)
Kortright, J. B.; Windt, David L.
1988-01-01
Amorphous silicon carbide films formed by sputtering techniques are shown to have high reflectance in the extreme ultraviolet spectral region. X-ray scattering verifies that the atomic arrangements in these films are amorphous, while Auger electron spectroscopy and Rutherford backscattering spectroscopy show that the films have composition close to stoichiometric SiC, although slightly C-rich, with low impurity levels. Reflectance vs incidence angle measurements from 24 to 1216 A were used to derive optical constants of this material, which are presented here. Additionally, the measured extreme ultraviolet efficiency of a diffraction grating overcoated with sputtered amorphous silicon carbide is presented, demonstrating the feasibility of using these films as coatings for EUV optics.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kimura, K.; Ohmi, K.; Tottori University Electronic Display Research Center, 101 Minami4-chome, Koyama-cho, Tottori-shi, Tottori 680-8551
With increasing density of memory devices, the issue of generating soft errors by cosmic rays is becoming more and more serious. Therefore, the irradiation resistance of resistance random access memory (ReRAM) to cosmic radiation has to be elucidated for practical use. In this paper, we investigated the data retention characteristics of ReRAM against ultraviolet irradiation with a Pt/NiO/ITO structure. Soft errors were confirmed to be caused by ultraviolet irradiation in both low- and high-resistance states. An analysis of the wavelength dependence of light irradiation on data retention characteristics suggested that electronic excitation from the valence to the conduction band andmore » to the energy level generated due to the introduction of oxygen vacancies caused the errors. Based on a statistically estimated soft error rates, the errors were suggested to be caused by the cohesion and dispersion of oxygen vacancies owing to the generation of electron-hole pairs and valence changes by the ultraviolet irradiation.« less
Two-dimensional ultraviolet imagery with a microchannel-plate/resistive-anode detector
NASA Technical Reports Server (NTRS)
Opal, C. B.; Feldman, P. D.; Weaver, H. A.; Mcclintock, J. A.
1979-01-01
An imaging ultraviolet detector has been designed for use with a precision pointed telescope flown on a sounding rocket. Resolution of better than 80 microns over a field of 5 mm has been achieved. The ultraviolet image is converted to electrons at the front surface of a CsI coated chevron microchannel-plate electron multiplier. For each photoelectron, the multiplier produces a burst of about 3,000,000 electrons, which impinges on a tellurium-coated resistive anode with four evaporated hyperbolic readout electrodes. The sizes of the four resulting output pulses are digitized to 10 bit accuracy and telemetered to the ground, where they are divided in pairs to give the x and y coordinates of the photoelectron event. The coordinates are used to generate a picture in real time, and are recorded for computer processing later. The detector was successfully flown in December 1978. Good images of Jupiter and Capella in hydrogen Lyman alpha emission were obtained.
Characteristics of ultraviolet light and radicals formed by pulsed discharge in water
NASA Astrophysics Data System (ADS)
Sun, Bing; Kunitomo, Shinta; Igarashi, Chiaki
2006-09-01
In this investigation, the ultraviolet light characteristics and OH radical properties produced by a pulsed discharge in water were studied. For the plate-rod reactor, it was found that the ultraviolet light energy has a 3.2% total energy injected into the reactor. The ultraviolet light changed with the peak voltage and electrode distance. UV characteristics in tap water and the distilled water are given. The intensity of the OH radicals was the highest for the 40 mm electrode distance reactor. In addition, the properties of hydrogen peroxide and ozone were also studied under arc discharge conditions. It was found that the OH radicals were in the ground state and the excited state when a pulsed arc discharge was used. The ozone was produced by the arc discharge even if the oxygen gas is not bubbled into the reactor. The ozone concentration produces a maximum value with treatment time.
Synthesis and electronic properties of nanophase semiconductor materials
NASA Astrophysics Data System (ADS)
Sailor, Michael J.
1993-05-01
The objective of the research effort is to understand and learn to control the morphologic and electronic properties of electrodeposited nanophase semiconductors. The initial work has focused on electrodeposition of nanophase CdSe, using a sequential monolayer deposition technique that we are developing. We are currently extending the synthesis phase of this project into silicon, silicon carbide, and phosphor materials. This work also encompasses studying semiconductor electrodeposition into materials with restricted dimensions, such as microporous alumina and porous silicon membranes. By growing films with very small grain sizes, we hope to produce and study materials that display unusual electronic or luminescent effects. We are primarily interested in the electronic properties of the II-VI and group IV materials, for potential applications in nanoscale electronics and optical detector technologies. The phosphors are being studied for their potential as efficient high-resolution display materials.
NASA Astrophysics Data System (ADS)
Toufarová, M.; Hájková, V.; Chalupský, J.; Burian, T.; Vacík, J.; Vorlíček, V.; Vyšín, L.; Gaudin, J.; Medvedev, N.; Ziaja, B.; Nagasono, M.; Yabashi, M.; Sobierajski, R.; Krzywinski, J.; Sinn, H.; Störmer, M.; Koláček, K.; Tiedtke, K.; Toleikis, S.; Juha, L.
2017-12-01
All carbon materials, e.g., amorphous carbon (a-C) coatings and C60 fullerene thin films, play an important role in short-wavelength free-electron laser (FEL) research motivated by FEL optics development and prospective nanotechnology applications. Responses of a-C and C60 layers to the extreme ultraviolet (SPring-8 Compact SASE Source in Japan) and soft x-ray (free-electron laser in Hamburg) free-electron laser radiation are investigated by Raman spectroscopy, differential interference contrast, and atomic force microscopy. A remarkable difference in the behavior of covalent (a-C) and molecular (C60) carbonaceous solids is demonstrated under these irradiation conditions. Low thresholds for ablation of a fullerene crystal (estimated to be around 0.15 eV/atom for C60 vs 0.9 eV/atom for a-C in terms of the absorbed dose) are caused by a low cohesive energy of fullerene crystals. An efficient mechanism of the removal of intact C60 molecules from the irradiated crystal due to Coulomb repulsion of fullerene-cage cation radicals formed by the ionizing radiation is revealed by a detailed modeling.
Unexpected Far-Ultraviolet Photometric Characteristics On Mimas
NASA Astrophysics Data System (ADS)
Royer, E. M.; Hendrix, A. R.
2013-12-01
While infrared and visible are the most common wavelength domains used to investigate planetary surfaces, ultraviolet (UV) data are significant and useful. Here, we present the first far-UV phase curves of Mimas, thus displaying another piece of the Saturnian System puzzle. Our preliminary results shows that, one more time, Mimas surface properties are far from what we was expected. Namely, we observe a leading hemisphere brighter than the trailing hemisphere at some far-UV wavelengths. We used the far-UV channel of the Cassini/UVIS instrument, ranging from 118 to 190 nm. Disk-integrated phase curves for the leading hemisphere and the trailing hemisphere, at 180nm, have been produced. Data points span from 0.5 to 163.5 degrees in phase angle. Mimas displays a leading hemisphere brighter than its trailing hemisphere, when theory and previous Voyager observations at longer wavelengths attest of a brighter trailing hemisphere due to the impact of the E-ring grains on this face of the satellite. Surprisingly, UVIS data show a very bright opposition effect on Mimas leading hemisphere, greater than what is observed on Tethys or Dione leading hemisphere at the same wavelength of 180 nm. Preliminary results of photometric properties modeling seem to indicate an important contribution of the coherent-backscattering process in the opposition surge. Exogenic processes such as bombardment by energetic electrons and/or E-ring grains are discussed to explain this unexpected surface property of Mimas.
Rancan, F; Nazemi, B; Rautenberg, S; Ryll, M; Hadam, S; Gao, Q; Hackbarth, S; Haag, S F; Graf, C; Rühl, E; Blume-Peytavi, U; Lademann, J; Vogt, A; Meinke, M C
2014-05-01
Several nanoparticle-based formulations used in cosmetics and dermatology are exposed to sunlight once applied to the skin. Therefore, it is important to study possible synergistic effects of nanoparticles and ultraviolet radiation. Electron paramagnetic resonance spectroscopy (EPR) was used to detect intracellular free radicals induced by ultraviolet B (UVB) radiation and amorphous silica nanoparticle and to evaluate the influence of nanoparticle surface chemistry on particle cytotoxicity toward HaCaT cells. Uncoated titanium dioxide nanoparticles served as positive control. In addition, particle intracellular uptake, viability, and induction of interleukin-6 were measured. We found that photo-activated titanium dioxide particles induced a significant amount of intracellular free radicals. On the contrary, no intracellular free radicals were generated by the investigated silica nanoparticles in the dark as well as under UVB radiation. However, under UVB exposure, the non-functionalized silica nanoparticles altered the release of IL-6. At the same concentrations, the amino-functionalized silica nanoparticles had no influence on UVB-induced IL-6 release. EPR spectroscopy is a useful technique to measure nanoparticle-induced intracellular free radicals. Non-toxic concentrations of silica particles enhanced the toxicity of UVB radiation. This synergistic effect was not mediated by particle-generated free radicals and correlated with particle surface charge and intracellular distribution. © 2013 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.
NASA Astrophysics Data System (ADS)
Zhou, Wenhan; Guo, Shiying; Liu, Xuhai; Cai, Bo; Song, Xiufeng; Zhu, Zhen; Zhang, Shengli
2018-01-01
We propose a family of hydrogenated- and halogenated-SbIV (SbIVX-2) materials that simultaneously have two-dimensional (2D) structures, high stability and appealing electronic properties. Based on first-principles total-energy and vibrational-spectra calculations, SbIVX-2 monolayers are found both thermally and dynamically stable. Varying IV and X elements can rationally tune the electronic properties of SbIVX-2 monolayers, effectively modulating the band gap from 0 to 3.42 eV. Regarding such superior stability and broad band-gap range, SbIVX-2 monolayers are expected to be synthesized in experiments and taken as promising candidates for low-dimensional electronic and optoelectronic devices, such as blue-to-ultraviolet light-emitting diodes (LED) and photodetectors.
Genuine binding energy of the hydrated electron
Luckhaus, David; Yamamoto, Yo-ichi; Suzuki, Toshinori; Signorell, Ruth
2017-01-01
The unknown influence of inelastic and elastic scattering of slow electrons in water has made it difficult to clarify the role of the solvated electron in radiation chemistry and biology. We combine accurate scattering simulations with experimental photoemission spectroscopy of the hydrated electron in a liquid water microjet, with the aim of resolving ambiguities regarding the influence of electron scattering on binding energy spectra, photoelectron angular distributions, and probing depths. The scattering parameters used in the simulations are retrieved from independent photoemission experiments of water droplets. For the ground-state hydrated electron, we report genuine values devoid of scattering contributions for the vertical binding energy and the anisotropy parameter of 3.7 ± 0.1 eV and 0.6 ± 0.2, respectively. Our probing depths suggest that even vacuum ultraviolet probing is not particularly surface-selective. Our work demonstrates the importance of quantitative scattering simulations for a detailed analysis of key properties of the hydrated electron. PMID:28508051
Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission
NASA Astrophysics Data System (ADS)
Young, Erin C.; Wu, Feng; Romanov, Alexey E.; Haeger, Daniel A.; Nakamura, Shuji; Denbaars, Steven P.; Cohen, Daniel A.; Speck, James S.
2012-10-01
In this Letter, we report on the growth and properties of relaxed, compositionally graded AlxGa1 - xN buffer layers on freestanding semipolar (202¯1) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 106/cm2 as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.
NASA Astrophysics Data System (ADS)
Jäger, C.; Mutschke, H.; Henning, Th.; Huisken, F.
2008-12-01
Carbon solids are ubiquitous material in interstellar space. However, the formation pathway of carbonaceous matter in astrophysical environments, as well as in terrestrial gas-phase condensation reactions, is not yet understood. Laser ablation of graphite in different quenching gas atmospheres, such as pure He, He/H2, and He/H2O at varying pressures, is used to synthesize very small, fullerene-like carbon nanoparticles. The particles are characterized by very small diameters between 1 and 4 nm and a disturbed onion-like structure. The soot particles extracted from the condensation zone obviously represent a very early stage of particle condensation. The spectral properties have been measured from the far-ultraviolet (FUV; λ = 120 nm) to the mid-infrared (MIR; λ = 15 μm). The seedlike soot particles show strong absorption bands in the 3.4 μm range. The profile and the intensity pattern of the 3.4 μm band of the diffuse interstellar medium can be well reproduced by the measured 3.4 μm profile of the condensed particles; however, all the carbon which is left to form solids is needed to fit the intensity of the interstellar bands. In contrast to the assumption that onion-like soot particles could be the carriers of the interstellar ultraviolet (UV) bump, our very small onion-like carbon nanoparticles do not show distinct UV bands due to (π-π*) transitions.
Psoralen-ultraviolet A treatment with Psoralen-ultraviolet B therapy in the treatment of psoriasis.
Ahmed Asim, Sadaf; Ahmed, Sitwat; Us-Sehar, Najam
2013-05-01
To compare the conventional psoralen-ultraviolet A treatment with psoralen-ultraviolet B therapy in the treatment of psoriasis. We studied 50 patients of plaque type psoriasis who were selected to receive either conventional psoralen-ultraviolet A or psoralen-ultraviolet B treatment. There was no significant difference between the two treatment groups in the number of patients whose skin cleared of psoriasis or the number of exposures required for clearance. Profile of side effects and disease status was also similar after three months of follow up. Psoralen-ultraviolet B treatment is as effective as conventional psoralen-ultraviolet A in the treatment of psoriasis. Further long term studies are needed to assess the safety of psoralen-ultraviolet B.
NASA Astrophysics Data System (ADS)
Bai, Jiandong; Wang, Jieying; He, Jun; Wang, Junmin
2017-04-01
We demonstrate frequency stabilization of a tunable 318.6 nm ultraviolet (UV) laser system using electronic sideband locking. By indirectly changing the frequency of a broadband electro-optic phase modulator, the laser can be continuously tuned over 4 GHz, while a 637.2 nm laser is directly stabilized to a high-finesse ultra-stable optical cavity. The doubling cavity also remains locked to the 637.2 nm light. We show that the tuning range depends mainly on the gain-flattening region of the modulator and the piezo-tunable range of the seed laser. The frequency-stabilized tunable UV laser system is able to compensate for the offset between reference and target frequencies, and has potential applications in precision spectroscopy of cold atoms.
Winget, Paul; Schirra, Laura K; Cornil, David; Li, Hong; Coropceanu, Veaceslav; Ndione, Paul F; Sigdel, Ajaya K; Ginley, David S; Berry, Joseph J; Shim, Jaewon; Kim, Hyungchui; Kippelen, Bernard; Brédas, Jean-Luc; Monti, Oliver L A
2014-07-16
The electronic structure of the hybrid interface between ZnO and the prototypical organic semiconductor PTCDI is investigated via a combination of ultraviolet and X-ray photoelectron spectroscopy (UPS/XPS) and density functional theory (DFT) calculations. The interfacial electronic interactions lead to a large interface dipole due to substantial charge transfer from ZnO to 3,4,9,10-perylenetetracarboxylicdiimide (PTCDI), which can be properly described only when accounting for surface defects that confer ZnO its n-type properties. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Electronic transport properties of some liquid semiconductor
NASA Astrophysics Data System (ADS)
Sonvane, Y. A.; Thakor, P. B.; Jani, A. R.
2012-06-01
Electronic transport properties like electrical resistivity (ρ) and thermoelectric power (Q) of liquid semiconductor (Si, Ga, Ge, In, Sn, Tl and Bi) are calculated in the present study. Our well established single parametric model potential alongwith Percus Yevick hard sphere (PYHS) reference system are used to describe the structural information. To see the influence of exchange and correlation effect, Hartree, Taylor and Sarkar et al local field correlation functions are used. From present results, it is seen that good agreements between present results and experimental data have been achieved. Lastly we conclude that our model potential successfully produces the data of electronic transport properties for some liquid semiconductor (Si, Ga, Ge, In, Sn, Tl and Bi).
Can the circadian system of a diurnal and a nocturnal rodent entrain to ultraviolet light?
Hut, R A; Scheper, A; Daan, S
2000-01-01
Spectral measurements of sunlight throughout the day show close correspondence between the timing of above ground activity of the European ground squirrel and the presence of ultraviolet light in the solar spectrum. However, in a standard entrainment experiment ground squirrels show no entrainment to ultraviolet light, while Syrian hamsters do entrain under the same protocol. Presented transmittance spectra for lenses, corneas, and vitreous bodies may explain the different results of the entrainment experiment. We found ultraviolet light transmittance in the colourless hamster lens (50% cut-off at 341 nm), but not in the yellow ground squirrel lens (50% cut-off around 493 nm). Ultraviolet sensitivity in the ground squirrels based upon possible fluorescence mechanisms was not evident. Possible functions of ultraviolet lens filters in diurnal mammals are discussed, and compared with nocturnal mammals and diurnal birds. Species of the latter two groups lack ultraviolet filtering properties of their lenses and their circadian system is known to respond to ultraviolet light, a feature that does not necessarily has to depend on ultraviolet photoreceptors. Although the circadian system of several species responds to ultraviolet light, we argue that the role of ultraviolet light as a natural Zeitgeber is probably limited.
Psoralen-ultraviolet A treatment with Psoralen-ultraviolet B therapy in the treatment of psoriasis
Ahmed Asim, Sadaf; Ahmed, Sitwat; us-Sehar, Najam
2013-01-01
Objective: To compare the conventional psoralen-ultraviolet A treatment with psoralen-ultraviolet B therapy in the treatment of psoriasis. Methodology: We studied 50 patients of plaque type psoriasis who were selected to receive either conventional psoralen-ultraviolet A or psoralen-ultraviolet B treatment. Results: There was no significant difference between the two treatment groups in the number of patients whose skin cleared of psoriasis or the number of exposures required for clearance. Profile of side effects and disease status was also similar after three months of follow up. Conclusion: Psoralen-ultraviolet B treatment is as effective as conventional psoralen-ultraviolet A in the treatment of psoriasis. Further long term studies are needed to assess the safety of psoralen-ultraviolet B. PMID:24353623
Study on the Electronic Transport Properties of Zigzag GaN Nanotubes
NASA Astrophysics Data System (ADS)
Li, Enling; Wang, Xiqiang; Hou, Liping; Zhao, Danna; Dai, Yuanbin; Wang, Xuewen
2011-02-01
The electronic transport properties of zigzag GaN nanotubes (n, 0) (4 <= n <= 9) have been calculated using the density functional theory and non-equilibrium Green's functions method. Firstly, the density functional theory (DFT) is used to optimize and calculate the electronic structure of GaNNTs (n, 0) (4<=n<=9). Secondly, DFT and non-equilibrium Green function (NEGF) method are also used to predict the electronic transport properties of GaNNTs two-probe system. The results showed: there is a corresponding relation between the electronic transport properties and the valley of state density of each GaNNT. In addition, the volt-ampere curve of GaNNT is approximately linear.
Kim, I Jong; Pae, Ki Hong; Kim, Chul Min; Kim, Hyung Taek; Yun, Hyeok; Yun, Sang Jae; Sung, Jae Hee; Lee, Seong Ku; Yoon, Jin Woo; Yu, Tae Jun; Jeong, Tae Moon; Nam, Chang Hee; Lee, Jongmin
2012-01-01
Coherent short-wavelength radiation from laser–plasma interactions is of increasing interest in disciplines including ultrafast biomolecular imaging and attosecond physics. Using solid targets instead of atomic gases could enable the generation of coherent extreme ultraviolet radiation with higher energy and more energetic photons. Here we present the generation of extreme ultraviolet radiation through coherent high-harmonic generation from self-induced oscillatory flying mirrors—a new-generation mechanism established in a long underdense plasma on a solid target. Using a 30-fs, 100-TW Ti:sapphire laser, we obtain wavelengths as short as 4.9 nm for an optimized level of amplified spontaneous emission. Particle-in-cell simulations show that oscillatory flying electron nanosheets form in a long underdense plasma, and suggest that the high-harmonic generation is caused by reflection of the laser pulse from electron nanosheets. We expect this extreme ultraviolet radiation to be valuable in realizing a compact X-ray instrument for research in biomolecular imaging and attosecond physics. PMID:23187631
Emission spectra of electron irradiated metal foils
DOE Office of Scientific and Technical Information (OSTI.GOV)
Emerson, L. C.; Arakawa, E. T.; Ritchie, R. H.
1963-08-09
Thesis submitted to Univ. of Tennessee by L. C. Emerson. An experimental investigation of the visible and ultraviolet light emitted when a charged particle moves across a boundary between two media with different dielectric properties was carried out. The spectral distributions of the light from evaporated foils of copper, germanium, silver, tin, and antimony bombarded by a 1.5-microamp beam of electrons were measured as a function of electron energy between 25 and 100 kev. The analysis was carried out with a Seya-Namioka vacuum ultraviolet spectrometer, Glan-Foucault prism polarizer, and a quartz- window photomultiplier. Calibration of the optical system with amore » NBS tungsten filament lamp enabled the intensity measurements to be carried out on an absolute basis. The experimental results for light polarized parallel to the plane containing the photon and the electron were compared with the calculated intensity of transftion radiation, and in general the agreement was found to be excellent. The component of the photon intensity polarized perpendicular to this plane was compared with the calculated intensity of the optical portion of the bremsstrahlung spectrum. The predicted dependence on electron energy, photon wavelength, and foil thickness was observed although the measured yield was higher than that predicted by theory. The possibility of contributions from Cherenkov radiation and plasma radiation is also considered, but it is shown that it would be unlikely for these radiations to be observed under the experimental conditions of this study.« less
Navarro-Pardo, Fabiola; Zhao, Haiguang; Wang, Zhiming M; Rosei, Federico
2018-03-20
Semiconductor nanocrystals exhibit size-tunable absorption and emission ranging from the ultraviolet (UV) to the near-infrared (NIR) spectral range, high absorption coefficient, and high photoluminescence quantum yield. Effective surface passivation of these so-called quantum dots (QDs) may be achieved by growing a shell of another semiconductor material. The resulting core/shell QDs can be considered as a model system to study and optimize structure/property relations. A special case consists in growing thick shells (1.5 up to few tens of nanometers) to produce "giant" QDs (g-QDs). Tailoring the chemical composition and structure of CdSe/CdS and PbS/CdS g-QDs is a promising approach to widen the spectral separation of absorption and emission spectra (i.e., the Stokes shift), improve the isolation of photogenerated carriers from surface defects and enhance charge carrier lifetime and mobility. However, most stable systems are limited by a thick CdS shell, which strongly absorbs radiation below 500 nm, covering the UV and part of the visible range. Modification of the interfacial region between the core and shell of g-QDs or tuning their doping with narrow band gap semiconductors are effective approaches to circumvent this challenge. In addition, the synthesis of g-QDs composed of environmentally friendly elements (e.g., CuInSe 2 /CuInS 2 ) represents an alternative to extend their absorption into the NIR range. Additionally, the band gap and band alignment of g-QDs can be engineered by proper selection of the constituents according to their band edge positions and by tuning their stoichiometry during wet chemical synthesis. In most cases, the quasi-type II localization regime of electrons and holes is achieved. In this type of g-QDs, electrons can leak into the shell region, while the holes remain confined within the core region. This electron-hole spatial distribution is advantageous for optoelectronic devices, resulting in efficient electron-hole separation while
Ultraviolet radiation properties as applied to photoclimatherapy at the Dead Sea.
Kudish, A I; Abels, D; Harari, M
2003-05-01
The Dead Sea basin, the lowest terrestrial point on earth, is recognized as a natural treatment center for patients with various cutaneous and rheumatic diseases. Psoriasis is the major skin disease treated at the Dead Sea with excellent improvement to complete clearance exceeding 85% after 4 weeks of treatment. These results were postulated to be associated with a unique spectrum of ultraviolet radiation present in the Dead Sea area. The UVB and UVA radiation at two sites is measured continuously by identical sets of broad-band Solar Light Co. Inc. meters (Philadelphia, PA). The spectral selectivity within the UVB and UVA spectrum was determined using a narrow-band spectroradiometer, UV-Optronics 742 (Orlando, FL). The optimum exposure time intervals for photoclimatherapy, defined as the minimum ratio of erythema to therapeutic radiation intensities, were also determined using a Solar Light Co. Inc. Microtops II, Ozone Monitor-Sunphotometer. The ultraviolet radiation at the Dead Sea is attenuated relative to Beer Sheva as a result of the increased optical path length and consequent enhanced scattering. The UVB radiation is attenuated to a greater extent than UVA and the shorter erythema UVB spectral range decreased significantly compared with the longer therapeutic UVB wavelengths. It was demonstrated that the relative attenuation within the UVB spectral range is greatest for the shorter erythema rays and less for the longer therapeutic UVB wavelengths, thus producing a greater proportion of the longer therapeutic UVB wavelengths in the ultraviolet spectrum. These measurements can be utilized to minimize the exposure to solar radiation by correlating the cumulative UVB radiation dose to treatment efficacy and by formulating a patient sun exposure treatment protocol for Dead Sea photoclimatherapy.
Electronic and optical properties of pristine and oxidized borophene
NASA Astrophysics Data System (ADS)
Lherbier, Aurélien; Botello-Méndez, Andrés Rafael; Charlier, Jean-Christophe
2016-12-01
Borophene, a two-dimensional monolayer of boron atoms, was recently synthesized experimentally and was shown to exhibit polymorphism. In its closed-packed triangular form, borophene is expected to exhibit anisotropic metallic character with relatively high electron velocities. At the same time, very low optical conductivities in the infrared-visible light region were predicted. Based on its promising electronic transport properties and its high transparency, borophene could become a genuine lego piece in the 2D materials assembling game known as the van der Waals heterocrystal approach. However, borophene is naturally degraded in ambient conditions and it is therefore important to assess the mechanisms and the effects of oxidation on borophene monolayers. Optical and electronic properties of pristine and oxidized borophene are here investigated by first-principles approaches. The transparent and conductive properties of borophene are elucidated by analyzing the electronic structure and its interplay with light. Optical response of borophene is found to be strongly affected by oxidation, suggesting that optical measurements can serve as an efficient probe for borophene surface contamination.
Oxides Surfaces and Novel Electronic Properties
NASA Astrophysics Data System (ADS)
Koirala, Pratik
The scope of this thesis extends to the study of surface structures and electronic properties in a number of complex oxides. The c(6x2) surface reconstruction on SrTiO3 (001) was solved using a combination of plan view transmission electron microscopy imaging, atomic resolution secondary electron imaging, and density functional theory calculations. This work provided fundamental insights on the effects of dielectric screening in secondary electron generation. A thorough analysis on the limitation and functionality of transmission plan view imaging showed that the kinematical approximations used in the separation of top and bottom surfaces is only valid in thin samples (˜5 nm or less for SrTiO3). The presence of an inversion center in the surface structure also made separation of the top and bottom surfaces more robust. Surface studies of two other oxides, KTaO3 and NdGaO3, provided understanding on the mechanism of surface heterogeneity and segregation. In the case of KTaO3, selective ion sputtering and the loss of K resulted in large stoichiometric variations at the surface. Annealing of such samples led to the formation of a potassium deficient tetragonal phase (K 6Ta10.8O30) on the surface. A similar phenomenon was also observed in NdGaO3. Exploratory surface studies of the rare earth scandates (ReScO3 , Re = Gd, Tb, Dy) led to the observation of large flexoelectric bending inside an electron microscope. Thin rods of these scandates bent by up to 90 degree under a focused electron beam; the bending was fully reversible. Ex-situ measurements of flexoelectric coe cient performed by an- other graduate student, Christopher Mizzi, confirmed that the scandates have a large flexocoupling voltage (˜42 V). Electronic structure of the lanthanide scandates was studied using temperature depen- dent X-ray photoelectron spectroscopy and hybrid density functional theory calculations. The amount of charging under X-ray illumination was greatly reduced with increasing
NASA Astrophysics Data System (ADS)
Xu, Yunyun; Zhang, Tao; Lin, Zhenrong; Tian, Yanfeng; Zhou, Shandan
Sb2O3- and CeO2-doped ZnO thin films were prepared by RF magnetron sputtering technique. The influence of Sb2O3 and CeO2 on the structure and ultraviolet (UV) absorption properties was studied by X-ray diffraction and UV-Vis spectrophotometry. Results show that multiple doping of films had a prominent effect on the development of crystal grains and the UV absorption property. Ce and Sb exist in many forms in the ZnO film. The multiple-doped films also show enhanced UVA absorption, and the UV absorption peak widens and the absorption intensity increases. Sb plays a dominant role on the structure and UV absorption of ZnO thin films, which are enhanced by Ce.
An ultraviolet responsive hybrid solar cell based on titania/poly(3-hexylthiophene).
Wu, Jihuai; Yue, Gentian; Xiao, Yaoming; Lin, Jianming; Huang, Miaoliang; Lan, Zhang; Tang, Qunwei; Huang, Yunfang; Fan, Leqing; Yin, Shu; Sato, Tsugio
2013-01-01
Here we present an ultraviolet responsive inorganic-organic hybrid solar cell based on titania/poly(3-hexylthiophene) (TiO(2)/P3HT) heterojuction. In this solar cell, TiO(2) is an ultraviolet light absorber and electronic conductor, P3HT is a hole conductor, the light-to-electrical conversion is realized by the cooperation for these two components. Doping ionic salt in P3HT polymer can improve the photovoltaic performance of the solar cell. Under ultraviolet light irradiation with intensity of 100 mW·cm(-2), the hybrid solar cell doped with 1.0 wt.% lithium iodide achieves an energy conversion efficiency of 1.28%, which is increased by 33.3% compared to that of the hybrid solar cell without lithium iodide doping. Our results open a novel sunlight irradiation field for solar energy utilization, demonstrate the feasibility of ultraviolet responsive solar cells, and provide a new route for enhancing the photovoltaic performance of solar cells.
An ultraviolet responsive hybrid solar cell based on titania/poly(3-hexylthiophene)
Wu, Jihuai; Yue, Gentian; Xiao, Yaoming; Lin, Jianming; Huang, Miaoliang; Lan, Zhang; Tang, Qunwei; Huang, Yunfang; Fan, Leqing; Yin, Shu; Sato, Tsugio
2013-01-01
Here we present an ultraviolet responsive inorganic-organic hybrid solar cell based on titania/poly(3-hexylthiophene) (TiO2/P3HT) heterojuction. In this solar cell, TiO2 is an ultraviolet light absorber and electronic conductor, P3HT is a hole conductor, the light-to-electrical conversion is realized by the cooperation for these two components. Doping ionic salt in P3HT polymer can improve the photovoltaic performance of the solar cell. Under ultraviolet light irradiation with intensity of 100 mW·cm−2, the hybrid solar cell doped with 1.0 wt.% lithium iodide achieves an energy conversion efficiency of 1.28%, which is increased by 33.3% compared to that of the hybrid solar cell without lithium iodide doping. Our results open a novel sunlight irradiation field for solar energy utilization, demonstrate the feasibility of ultraviolet responsive solar cells, and provide a new route for enhancing the photovoltaic performance of solar cells. PMID:23412470
Galileo Ultraviolet Spectrometer experiment
NASA Technical Reports Server (NTRS)
Hord, C. W.; Mcclintock, W. E.; Stewart, A. I. F.; Barth, C. A.; Esposito, L. W.; Thomas, G. E.; Sandel, B. R.; Hunten, D. M.; Broadfoot, A. L.; Shemansky, D. E.
1992-01-01
The Galileo ultraviolet spectrometer experiment uses data obtained by the Ultraviolet Spectrometer (UVS) mounted on the pointed orbiter scan platform and from the Extreme Ultraviolet Spectrometer (EUVS) mounted on the spinning part of the orbiter with the field of view perpendicular to the spin axis. The UVS is a Ebert-Fastie design that covers the range 113-432 nm with a wavelength resolution of 0.7 nm below 190 and 1.3 nm at longer wavelengths. The UVS spatial resolution is 0.4 deg x 0.1 deg for illuminated disk observations and 1 deg x 0.1 deg for limb geometries. The EUVS is a Voyager design objective grating spectrometer, modified to cover the wavelength range from 54 to 128 nm with wavelength resolution 3.5 nm for extended sources and 1.5 nm for point sources and spatial resolution of 0.87 deg x 0.17 deg. The EUVS instrument will follow up on the many Voyager UVS discoveries, particularly the sulfur and oxygen ion emissions in the Io torus and molecular and atomic hydrogen auroral and airglow emissions from Jupiter. The UVS will obtain spectra of emission, absorption, and scattering features in the unexplored, by spacecraft, 170-432 nm wavelength region. The UVS and EUVS instruments will provide a powerful instrument complement to investigate volatile escape and surface composition of the Galilean satellites, the Io plasma torus, micro- and macro-properties of the Jupiter clouds, and the composition structure and evolution of the Jupiter upper atmosphere.
Ultraviolet photodetectors based on ZnO sheets: The effect of sheet size on photoresponse properties
NASA Astrophysics Data System (ADS)
Ghasempour Ardakani, Abbas; Pazoki, Meysam; Mahdavi, Seyed Mohammad; Bahrampour, Ali Reza; Taghavinia, Nima
2012-05-01
In this work, ultraviolet photodetectors based on electrodeposited ZnO sheet thin films were fabricated on a glass substrate. Before electrodeposition, a thin buffer layer of ZnO was deposited on the glass by pulsed laser deposition method. This layer not only acted as a nucleation site for ZnO sheet growth, but also made it possible to use cheap glass substrate instead of conventional fluorine-doped tin oxide (FTO) substrate. Our results showed that photoresponse properties of the photodetectors strongly depend on the sheet sizes. The smaller sheets exhibited enhanced photosensitivity, shortened fall times and decreased gain compared to larger ones. We showed that photodetectors based on ZnO sheets have a faster response than ones based on polycrystalline films. It was also shown that even less response time could be obtained by using comb-like electrodes instead of two-electrode.
NASA Astrophysics Data System (ADS)
Sinha, Mukesh Kumar; Das, B. R.; Kumar, Kamal; Kishore, Brij; Prasad, N. Eswara
2017-06-01
The article reports a novel technique for functionization of nanoweb to develop ultraviolet (UV) radiation protective fabric. UV radiation protection effect is produced by combination of electrospinning and electrospraying technique. A nanofibrous web of polyvinylidene difluoride (PVDF) coated on polypropylene nonwoven fabric is produced by latest nanospider technology. Subsequently, web is functionalized by titanium dioxide (TiO2). The developed web is characterized for evaluation of surface morphology and other functional properties; mechanical, chemical, crystalline and thermal. An optimal (judicious) nanofibre spinning condition is achieved and established. The produced web is uniformly coated by defect free functional nanofibres in a continuous form of useable textile structural membrane for ultraviolet (UV) protective clothing. This research initiative succeeds in preparation and optimization of various nanowebs for UV protection. Field Emission Scanning Electron Microscope (FESEM) result reveals that PVDF webs photo-degradative behavior is non-accelerated, as compared to normal polymeric grade fibres. Functionalization with TiO2 has enhanced the photo-stability of webs. The ultraviolet protection factor of functionalized and non-functionalized nanowebs empirically evaluated to be 65 and 24 respectively. The developed coated layer could be exploited for developing various defence, para-military and civilian UV protective light weight clothing (tent, covers and shelter segments, combat suit, snow bound camouflaging nets). This research therefore, is conducted in an attempt to develop a scientific understanding of PVDF fibre coated webs for photo-degradation and applications for defence protective textiles. This technological research in laboratory scale could be translated into bulk productionization.
Structure and Electronic Properties of Interface-Confined Oxide Nanostructures
Liu, Yun; Ning, Yanxiao; Yu, Liang; ...
2017-09-16
The controlled fabrication of nanostructures has often made use of a substrate template to mediate and control the growth kinetics. Electronic substrate-mediated interactions have been demonstrated to guide the assembly of organic molecules or the nucleation of metal atoms but usually at cryogenic temperatures, where the diffusion has been limited. Combining STM, STS, and DFT studies, we report that the strong electronic interaction between transition metals and oxides could indeed govern the growth of low-dimensional oxide nanostructures. As a demonstration, a series of FeO triangles, which are of the same structure and electronic properties but with different sizes (side lengthmore » >3 nm), are synthesized on Pt(111). The strong interfacial interaction confines the growth of FeO nanostructures, leading to a discrete size distribution and a uniform step structure. Given the same interfacial configuration, as-grown FeO nanostructures not only expose identical edge/surface structure but also exhibit the same electronic properties, as manifested by the local density of states and local work functions. We expect the interfacial confinement effect can be generally applied to control the growth of oxide nanostructures on transition metal surfaces. These oxide nanostructures of the same structure and electronic properties are excellent models for studies of nanoscale effects and applications.« less
Structure and Electronic Properties of Interface-Confined Oxide Nanostructures
DOE Office of Scientific and Technical Information (OSTI.GOV)
Liu, Yun; Ning, Yanxiao; Yu, Liang
The controlled fabrication of nanostructures has often made use of a substrate template to mediate and control the growth kinetics. Electronic substrate-mediated interactions have been demonstrated to guide the assembly of organic molecules or the nucleation of metal atoms but usually at cryogenic temperatures, where the diffusion has been limited. Combining STM, STS, and DFT studies, we report that the strong electronic interaction between transition metals and oxides could indeed govern the growth of low-dimensional oxide nanostructures. As a demonstration, a series of FeO triangles, which are of the same structure and electronic properties but with different sizes (side lengthmore » >3 nm), are synthesized on Pt(111). The strong interfacial interaction confines the growth of FeO nanostructures, leading to a discrete size distribution and a uniform step structure. Given the same interfacial configuration, as-grown FeO nanostructures not only expose identical edge/surface structure but also exhibit the same electronic properties, as manifested by the local density of states and local work functions. We expect the interfacial confinement effect can be generally applied to control the growth of oxide nanostructures on transition metal surfaces. These oxide nanostructures of the same structure and electronic properties are excellent models for studies of nanoscale effects and applications.« less
NASA Astrophysics Data System (ADS)
Yadav, P. S.; Pandey, D. K.; Agrawal, S.; Agrawal, B. K.
2010-03-01
An ab initio study of the stability, structural, electronic. and optical properties has been performed for 46 zinc sulfide nanoclusters Zn x S y ( x + y = n = 2 to 5). Five out of them are seen to be unstable as their vibrational frequencies are found to be imaginary. A B3LYP-DFT/6-311G(3df) method is employed to optimize the geometries and a TDDFT method is used for the study of the optical properties. The binding energies (BE), HOMO-LUMO gaps and the bond lengths have been obtained for all the clusters. For the ZnS2, ZnS3, and ZnS4 nanoclusters, our stable structures are seen to be different from those obtained earlier by using the effective core potentials. We have also considered the zero point energy (ZPE) corrections ignored by the earlier workers. For a fixed value of n, we designate the most stable structure the one, which has maximum final binding energy per atom. The adiabatic and vertical ionization potentials (IP) and electron affinities (EA), charges on the atoms, dipole moments, optical properties, vibrational frequencies, infrared intensities, relative infrared intensities, and Raman scattering activities have been investigated for the most stable structures. The nanoclusters containing large number of S atoms for each n is found to be most stable. The HOMO-LUMO gap decreases from n = 2-3 and then increases above n = 3. The IP and EA both fluctuate with the cluster size n. The optical absorption is quite weak in visible region but strong in the ultraviolet region in most of the nanoclusters except a few. The optical absorption spectrum or electron energy loss spectrum (EELS) is unique for every nanocluster and may be used to characterize a specific nanocluster. The growth of most stable nanoclusters may be possible in the experiments.
ULTRAVIOLET SPECTROSCOPY OF BL Hyi AND EF Eri IN HIGH AND INTERMEDIATE STATES
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sanad, M. R.; Abdel-Sabour, M. A.
2016-08-01
We present the first phase-resolved ultraviolet spectroscopy of two polar systems, BL Hyi and EF Eri, in high and intermediate states from the International Ultraviolet Explorer ( IUE ) during the periods between 1982–1995 and 1979–1991, respectively. The flux curves for the C iv and He ii emission lines for both systems showing variations in their fluxes at different orbital phases are presented. The emission lines are produced in the accretion stream. The reddening for the two polars is found to be 0.00. Our results show that there are variations of the line fluxes with time, similar to the lightmore » curves found for both BL Hyi and EF Eri in the optical, infrared, ultraviolet, and X-ray bands. IUE observations support a radiative shock model of BL Hyi with the heating of matter by radiation from the accretion shock and cooling by the electrons scattering off ultraviolet photons from the surface of the white dwarf. EF Eri observations support a two-temperature white dwarf model producing sufficient ultraviolet flux for orbital modulations.« less
Toufarová, M.; Hájková, V.; Chalupský, J.; ...
2017-12-04
All carbon materials, e.g., amorphous carbon (a-C) coatings and C 60 fullerene thin films, play an important role in short-wavelength free-electron laser (FEL) research motivated by FEL optics development and prospective nanotechnology applications. We investigate responses of a-C and C 60 layers to the extreme ultraviolet (SPring-8 Compact SASE Source in Japan) and soft x-ray (free-electron laser in Hamburg) free-electron laser radiation by Raman spectroscopy, differential interference contrast, and atomic force microscopy. A remarkable difference in the behavior of covalent (a-C) and molecular ( C 60 ) carbonaceous solids is demonstrated under these irradiation conditions. Low thresholds for ablation ofmore » a fullerene crystal (estimated to be around 0.15 eV/atom for C 60 vs 0.9 eV/atom for a-C in terms of the absorbed dose) are caused by a low cohesive energy of fullerene crystals. An efficient mechanism of the removal of intact C 60 molecules from the irradiated crystal due to Coulomb repulsion of fullerene-cage cation radicals formed by the ionizing radiation is revealed by a detailed modeling.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Toufarová, M.; Hájková, V.; Chalupský, J.
All carbon materials, e.g., amorphous carbon (a-C) coatings and C 60 fullerene thin films, play an important role in short-wavelength free-electron laser (FEL) research motivated by FEL optics development and prospective nanotechnology applications. We investigate responses of a-C and C 60 layers to the extreme ultraviolet (SPring-8 Compact SASE Source in Japan) and soft x-ray (free-electron laser in Hamburg) free-electron laser radiation by Raman spectroscopy, differential interference contrast, and atomic force microscopy. A remarkable difference in the behavior of covalent (a-C) and molecular ( C 60 ) carbonaceous solids is demonstrated under these irradiation conditions. Low thresholds for ablation ofmore » a fullerene crystal (estimated to be around 0.15 eV/atom for C 60 vs 0.9 eV/atom for a-C in terms of the absorbed dose) are caused by a low cohesive energy of fullerene crystals. An efficient mechanism of the removal of intact C 60 molecules from the irradiated crystal due to Coulomb repulsion of fullerene-cage cation radicals formed by the ionizing radiation is revealed by a detailed modeling.« less
Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham
2016-01-15
Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less
CHARACTERIZING THE CONFORMATIONAL AND ELECTRONIC PROPERTIES OF CONAZOLE FUNGICIDES
Conazole fungicides have important environmental and human health considerations including chemical reactivity and transformation pathways. The electronic and conformational properties of an organic molecule determines in conjunction with solvent properties, its chemical reacti...
Electronic properties of Cr-N codoped rutile TiO2(110) thin films
NASA Astrophysics Data System (ADS)
Cheng, Zhengwang; Zhang, Lili; Dong, Shihui; Ma, Xiaochuan; Ju, Huanxin; Zhu, Junfa; Cui, Xuefeng; Zhao, Jin; Wang, Bing
2017-12-01
We report our investigation on the electronic properties of Cr-N codoped rutile TiO2(110) single crystal thin films, homoepitaxially grown by pulsed-laser-deposition method, and characterized using scanning tunneling microscopy and spectroscopy (STM/STS), X-ray/ultraviolet photoemission spectroscopy (XPS/UPS), in combination with first-principles calculations. Our results show that the bandgap reduction of the TiO2(110) surface is mainly contributed by the delocalized states whose position is at 2.0 eV below the Fermi level, introduced by the substitutional codoped Cr-2N pair, which is evidenced by the accordance of the results between the STS spectra and the calculated DOS. The codoped Cr-N pair contributes the gap state at about 0.8 eV below the Fermi level, in consistent with the theoretical calculations. While, the monodoped Cr contributes the states either close to the valence band maximum or the conduction band minimum, which should not contribute to the bandgap reduction too much. Our experimental results joint with theoretical calculations provide an atomic view of the bandgap reduction of the rutile TiO2(110) surface, which indicates that the excess substitutional N atoms should be important to efficiently narrow the bandgap by introducing the Cr-2N pairs.
Gorman, D S; Levine, R P
1966-12-01
The copper protein plastocyanin has been found to be an essential component of the photosynthetic electron transport chain of Chlamydomonas reinhardi, and in this paper we describe a method for its isolation and purification from the wild-type strain. In addition, we describe some of its properties and compare them with those reported for spinach plastocyanin.The plastocyanin was extracted from acetone powders prepared from intact cells, and it was purified by ion exchange chromatography on DEAE cellulose and gel filtration on Sephadex G-75. The yield of the purified protein ranged from plastocyanin equivalent to 2.0 to 2.5 mug atoms copper per 1000 mumoles chlorophyll. In general the absorption spectrum of plastocyanin from C. reinhardi resembled that of the plastocyanin from spinach. Some spectral differences were found in the ultraviolet region where, in contrast to spinach plastocyanin, that of C. reinhardi had a greater absorbance (relative to peaks in the visible) and less evidence for phenylalanine fine structure. The normal oxidation-reduction potential of C. reinhardi plastocyanin was found to be + 0.37 volts, the same as reported for spinach plastocyanin. The molecular weight of C. reinhardi plastocyanin has been estimated to be 13,000 +/- 2000. In contrast, the value for spinach plastocyanin has been found to be 21,000.
Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission
DOE Office of Scientific and Technical Information (OSTI.GOV)
Young, Erin C.; Wu Feng; Haeger, Daniel A.
In this Letter, we report on the growth and properties of relaxed, compositionally graded Al{sub x}Ga{sub 1-x}N buffer layers on freestanding semipolar (2021) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 10{sup 6}/cm{sup 2} as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.
Wang, Lin; Zhao, Dong-Zhi; Yang, Jian-Hong; Chen, Yan-Long
2010-12-01
Chromophoric dissolved organic matter (CDOM) near ultraviolet absorption spectra contains CDOM molecular structure, composition and other important physical and chemical information. Based on the measured data of CDOM absorption coefficient in March 2009 in the north area of Yellow Sea, the present paper analyzed near ultraviolet absorption spectral properties of CDOM. The results showed that due to the impact of near-shore terrigenous input, the composition of CDOM is quite different in the north area of Yellow Sea, and this area is a typical case II water; fitted slope with specific range of spectral band and absorption coefficient at specific band can indicate the relative size of CDOM molecular weight, correlation between spectral slope of the Sg,275-300), Sg,300-350, Sg,350-400 and Sg,250-275 and the relative size of CDOM molecular weight indicative parameter M increases in turn and the highest is up to 0.95. Correlation between a(g)(lambda) and M value increases gradually with the increase in wavelength, and the highest is up to 0.92 at 400 nm; being correlated or not between spectral slope and absorption coefficient is decided by the fitting-band wavelength range for the spectra slope and the wavelength for absorption coefficient. Correlation between Sg,275-300 and a(g)(400) is the largest, up to 0.87.
Impact of nanostructured thin ZnO film in ultraviolet protection
Sasani Ghamsari, Morteza; Alamdari, Sanaz; Han, Wooje; Park, Hyung-Ho
2017-01-01
Nanoscale ZnO is one of the best choices for ultraviolet (UV) protection, not only because of its antimicrobial properties but also due to its potential application for UV preservation. However, the behavior of nanostructured thin ZnO films and long-term effects of UV-radiation exposure have not been studied yet. In this study, we investigated the UV-protection ability of sol gel-derived thin ZnO films after different exposure times. Scanning electron microscopy, atomic force microscopy, and UV-visible optical spectroscopy were carried out to study the structure and optical properties of the ZnO films as a function of the UV-irradiation time. The results obtained showed that the prepared thin ZnO films were somewhat transparent under the visible wavelength region and protective against UV radiation. The UV-protection factor was 50+ for the prepared samples, indicating that they were excellent UV protectors. The deposited thin ZnO films demonstrated promising antibacterial potential and significant light absorbance in the UV range. The experimental results suggest that the synthesized samples have potential for applications in the health care field. PMID:28096668
Arc-evaporated carbon films: optical properties and electron mean free paths
DOE Office of Scientific and Technical Information (OSTI.GOV)
Williams, M.W.; Arakawa, E.T.; Dolfini, S.M.
1984-01-01
This paper describes briefly a method which can be used to calculate inelastic mean free paths for electrons with energies in the range of interest for the interpretation of surface phenomena. This method requires a knowledge of the optical properties of the material for the photon energies associated with the oscillator strength of the valence electrons. However, in general it is easier to obtain accurate values of the required properties than it is to measure the electron attenuation lengths in the energy region of interest. This technique, demonstrated here for arc-evaporated carbon, can be used for any material for whichmore » the optical properties can be measured over essentially the whole energy range corresponding to the valence electron response.« less
Husain, Mudassir M; Tandon, H C; Varadwaj, Pradeep R
2008-03-01
The ultraviolet-visual spectrum of 3-methylchrysene, 4-methylchrysene and their radical cations formed by ultraviolet radiations, were measured in glass matrix at the room temperature. In the measured singlet state spectrum we were able to identify the alpha, p, beta, beta' (Clar's) or (1)L(b), (1)L(a)(1)B(b), (1)B(a) (Platt's notation) bands. The presence of alpha, beta or (1)L(b), (1)B(b) was confirmed by calculating their wavelength ratio lambda(alpha)/lambda(beta). Since matrix induces perturbation in the measured spectrum; it becomes necessary to take into account the perturbation while computing the spectrum. An effort has been made in this work to simulate the electronic spectrum in the same environment as is measured. This study presents the first calculated spectrum of these systems and their cations in glass matrix by semi empirical methods. To observe the magnitude of perturbation and hence to see the spectral shift in glass matrix, the spectrum was calculated in the free state as well. Spectral properties such as frontier orbitals gap, dipole moment, mean polarizabilities and its tensors were also computed both in glass matrix and free state using semiemperical method. The measured bands of 3-methylchrysene cation at wavelength 416.50 and 473.85 nm closely match with the available diffuse intersteallar bands (DIBs) at 417.55 and 472.64 nm, respectively. Also the observed 474.85 nm band of 4-methylchrysene cation matches the DIB at 476.00 nm.
Machine learning of molecular electronic properties in chemical compound space
NASA Astrophysics Data System (ADS)
Montavon, Grégoire; Rupp, Matthias; Gobre, Vivekanand; Vazquez-Mayagoitia, Alvaro; Hansen, Katja; Tkatchenko, Alexandre; Müller, Klaus-Robert; Anatole von Lilienfeld, O.
2013-09-01
The combination of modern scientific computing with electronic structure theory can lead to an unprecedented amount of data amenable to intelligent data analysis for the identification of meaningful, novel and predictive structure-property relationships. Such relationships enable high-throughput screening for relevant properties in an exponentially growing pool of virtual compounds that are synthetically accessible. Here, we present a machine learning model, trained on a database of ab initio calculation results for thousands of organic molecules, that simultaneously predicts multiple electronic ground- and excited-state properties. The properties include atomization energy, polarizability, frontier orbital eigenvalues, ionization potential, electron affinity and excitation energies. The machine learning model is based on a deep multi-task artificial neural network, exploiting the underlying correlations between various molecular properties. The input is identical to ab initio methods, i.e. nuclear charges and Cartesian coordinates of all atoms. For small organic molecules, the accuracy of such a ‘quantum machine’ is similar, and sometimes superior, to modern quantum-chemical methods—at negligible computational cost.
Electron Beam-Cure Polymer Matrix Composites: Processing and Properties
NASA Technical Reports Server (NTRS)
Wrenn, G.; Frame, B.; Jensen, B.; Nettles, A.
2001-01-01
Researchers from NASA and Oak Ridge National Laboratory are evaluating a series of electron beam curable composites for application in reusable launch vehicle airframe and propulsion systems. Objectives are to develop electron beam curable composites that are useful at cryogenic to elevated temperatures (-217 C to 200 C), validate key mechanical properties of these composites, and demonstrate cost-saving fabrication methods at the subcomponent level. Electron beam curing of polymer matrix composites is an enabling capability for production of aerospace structures in a non-autoclave process. Payoffs of this technology will be fabrication of composite structures at room temperature, reduced tooling cost and cure time, and improvements in component durability. This presentation covers the results of material property evaluations for electron beam-cured composites made with either unidirectional tape or woven fabric architectures. Resin systems have been evaluated for performance in ambient, cryogenic, and elevated temperature conditions. Results for electron beam composites and similar composites cured in conventional processes are reviewed for comparison. Fabrication demonstrations were also performed for electron beam-cured composite airframe and propulsion piping subcomponents. These parts have been built to validate manufacturing methods with electron beam composite materials, to evaluate electron beam curing processing parameters, and to demonstrate lightweight, low-cost tooling options.
Structural and electronic properties for atomic clusters
NASA Astrophysics Data System (ADS)
Sun, Yan
We have studied the structural and electronic properties for different groups of atomic clusters by doing a global search on the potential energy surface using the Taboo Search in Descriptors Space (TSDS) method and calculating the energies with Kohn-Sham Density Functional Theory (KS-DFT). Our goal was to find the structural and electronic principles for predicting the structure and stability of clusters. For Ben (n = 3--20), we have found that the evolution of geometric and electronic properties with size reflects a change in the nature of the bonding from van der Waals to metallic and then bulk-like. The cluster sizes with extra stability agree well with the predictions of the jellium model. In the 4d series of transition metal (TM) clusters, as the d-type bonding becomes more important, the preferred geometric structure changes from icosahedral (Y, Zr), to distorted compact structures (Nb, Mo), and FCC or simple cubic crystal fragments (Tc, Ru, Rh) due to the localized nature of the d-type orbital. Analysis of relative isomer energies and their electronic density of states suggest that these clusters tend to follow a maximum hardness principle (MHP). For A4B12 clusters (A is divalent, B is monovalent), we found unusually large (on average 1.95 eV) HOMO-LUMO gap values. This shows the extra stability at an electronic closed shell (20 electrons) predicted by the jellium model. The importance of symmetry, closed electronic and ionic shells in stability is shown by the relative stability of homotops of Mg4Ag12 which also provides support for the hypothesis that clusters that satisfy more than one stability criterion ("double magic") should be particularly stable.
Electronic properties of a molecular system with Platinum
NASA Astrophysics Data System (ADS)
Ojeda, J. H.; Medina, F. G.; Becerra-Alonso, David
2017-10-01
The electronic properties are studied using a finite homogeneous molecule called Trans-platinum-linked oligo(tetraethenylethenes). This system is composed of individual molecules such as benzene rings, platinum, Phosphore and Sulfur. The mechanism for the study of the electron transport through this system is based on placing the molecule between metal contacts to control the current through the molecular system. We study this molecule based on the tight-binding approach for the calculation of the transport properties using the Landauer-Büttiker formalism and the Fischer-Lee relationship, based on a semi-analytic Green's function method within a real-space renormalization approach. Our results show a significant agreement with experimental measurements.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Limão-Vieira, P., E-mail: plimaovieira@fct.unl.pt; Department of Physics, Sophia University, Tokyo 102-8554; Department of Physical Sciences, The Open University, Walton Hall, Milton Keynes MK7 6AA
2015-02-14
The electronic state spectroscopy of carbonyl sulphide, COS, has been investigated using high resolution vacuum ultraviolet photoabsorption spectroscopy and electron energy loss spectroscopy in the energy range of 4.0–10.8 eV. The spectrum reveals several new features not previously reported in the literature. Vibronic structure has been observed, notably in the low energy absorption dipole forbidden band assigned to the (4π←3π) ({sup 1}Δ←{sup 1}Σ{sup +}) transition, with a new weak transition assigned to ({sup 1}Σ{sup −}←{sup 1}Σ{sup +}) reported here for the first time. The absolute optical oscillator strengths are determined for ground state to {sup 1}Σ{sup +} and {sup 1}Πmore » transitions. Based on our recent measurements of differential cross sections for the optically allowed ({sup 1}Σ{sup +} and {sup 1}Π) transitions of COS by electron impact, the optical oscillator strength f{sub 0} value and integral cross sections (ICSs) are derived by applying a generalized oscillator strength analysis. Subsequently, ICSs predicted by the scaling are confirmed down to 60 eV in the intermediate energy region. The measured absolute photoabsorption cross sections have been used to calculate the photolysis lifetime of carbonyl sulphide in the upper stratosphere (20–50 km)« less
Room temperature mechanical properties of electron beam welded zircaloy-4 sheet
DOE Office of Scientific and Technical Information (OSTI.GOV)
Parga, C. J.; Rooyen, I. J.; Coryell, B. D.
Room temperature mechanical properties of electron beam welded and plain Zircaloy-4 sheet (1.6mm thick) have been measured and compared. Various welding parameters were utilized to join sheet material. Electron beam welded specimens and as-received sheet specimens show comparable mechanical properties. Zr-4 sheet displays anisotropy; tensile properties measured for transverse display higher elastic modulus, yield strength, reduction of area and slightly lower ductility than for the longitudinal (rolling direction). Higher welding power increases the alloy’s hardness, elastic modulus and yield strength, with a corresponding decrease in tensile strength and ductility. The hardness measured at weld is comparable to the parent metalmore » hardness. Hardness at heat-affected-zone is slightly higher. Electron microscopic examination shows distinct microstructure morphology and grain size at the weld zone, HAZ and parent metal. A correlation between welding parameters, mechanical properties and microstructural features was established for electron beam welded Zircaloy-4 sheet material.« less
Room temperature mechanical properties of electron beam welded zircaloy-4 sheet
Parga, C. J.; Rooyen, I. J.; Coryell, B. D.; ...
2017-11-04
Room temperature mechanical properties of electron beam welded and plain Zircaloy-4 sheet (1.6mm thick) have been measured and compared. Various welding parameters were utilized to join sheet material. Electron beam welded specimens and as-received sheet specimens show comparable mechanical properties. Zr-4 sheet displays anisotropy; tensile properties measured for transverse display higher elastic modulus, yield strength, reduction of area and slightly lower ductility than for the longitudinal (rolling direction). Higher welding power increases the alloy’s hardness, elastic modulus and yield strength, with a corresponding decrease in tensile strength and ductility. The hardness measured at weld is comparable to the parent metalmore » hardness. Hardness at heat-affected-zone is slightly higher. Electron microscopic examination shows distinct microstructure morphology and grain size at the weld zone, HAZ and parent metal. A correlation between welding parameters, mechanical properties and microstructural features was established for electron beam welded Zircaloy-4 sheet material.« less
Inverse opal with an ultraviolet photonic gap
NASA Astrophysics Data System (ADS)
Ni, Peigen; Cheng, Bingying; Zhang, Daozhong
2002-03-01
Photonic crystals composed of TiO2 and air voids fabricated by the template method exhibit an ultraviolet photonic stop band (˜380 nm) in the Γ-L direction. Scanning electron microscopy images show that the inverse opal possesses face-centered-cubic symmetry with a lattice constant of 240 nm. The transmission spectra show that the change in transmittance is one order of magnitude in the gap, which is in accord with the reflection spectrum.
Ultraviolet and thermally stable polymer compositions
NASA Technical Reports Server (NTRS)
Reinisch, R. F.; Gloria, H. R.; Goldsberry, R. E.; Adamson, M. J. (Inventor)
1976-01-01
A new class of polymers is provided, namely, poly (diarylsiloxy) arylazines. These novel polymers have a basic chemical composition which has the property of stabilizing the optical and physical properties of the polymer against the degradative effect of ultraviolet light and high temperatures. This stabilization occurs at wavelengths including those shorter than found on the surface of the earth and in the absence or presence of oxygen, making the polymers useful for high performance coating applications in extraterrestrial space as well as similar applications in terrestrial service. The invention also provides novel aromatic azines which are useful in the preparation of polymers such as those described.
Ultraviolet and thermally stable polymer compositions
NASA Technical Reports Server (NTRS)
Reinisch, R. F.; Gloria, H. R.; Goldsberry, R. E.; Adamson, M. J. (Inventor)
1974-01-01
A class of polymers is provided, namely, poly(diarylsiloxy) arylazines. These polymers have a basic chemical composition which has the property of stabilizing the optical and physical properties of the polymer against the degradative effect of ultraviolet light and high temperatures. This stabilization occurs at wavelengths including those shorter than found on the surface of the earth and in the absence or presence of oxygen, making the polymers of the present invention useful for high performance coating applications in extraterrestrial space as well as similar applications in terrestrial service. The invention also provides aromatic azines which are useful in the preparation of polymers such as those of the present invention.
ANS ultraviolet observations of dwarf Cepheids
NASA Astrophysics Data System (ADS)
Sturch, C. R.; Wu, C.-C.
1983-03-01
Ultraviolet observations of three dwarf Cepheids (VZ Cnc, SX Phe, and AI Vel) are presented. The UV light curves are consistent with those in the visual region. When compared to standard stars, all three dwarf Cepheids exhibit flux deficiencies at the shortest observed wavelengths. The most extreme deficiencies appear for SX Phe; these may be related to the other properties previously noted for this star, including low metallicity, high space motion, and low luminosity.
Saeki, Akinori; Kozawa, Takahiro; Ohnishi, Yuko; Tagawa, Seiichi
2007-02-22
The initial decrease of solvated electrons in tetrahydrofuran (THF) upon addition of biphenyl was investigated by picosecond pulse radiolysis. Transient absorption spectra derived from the biphenyl radical anion (centered at 408 and 655 nm) and solvated electrons of THF (infrared) were successfully measured in the wavelength region from 400 to 900 nm by the extension of a femtosecond continuum probe light to near-ultraviolet using a second harmonic generation of Ti:sapphire laser and a CaF2 plate. From the analysis of kinetic traces at 1300 nm considering the overlap of primary solvated electrons and partial biphenyl radical anion, C37, which is defined by the solute concentration to reduce the initial yield of solvated electrons to 1/e, was found to be 87 +/- 3 mM. The rate constant of solvated electrons with biphenyl was determined as 5.8 +/- 0.3 x 10(10) M(-1) s(-1). We demonstrate that the kinetic traces at both 408 nm mainly due to biphenyl radical anion and 1300 nm mainly due to solvated electrons are reproduced with high accuracy and consistency by a simple kinetic analysis. Much higher concentrations of biphenyl (up to 2 M) were examined, showing further increase of the initial yield of biphenyl radical anion accompanying a fast decay component. This observation is discussed in terms of geminate ion recombination, scavenging, delayed geminate ion recombination, and direct ionization of biphenyl at high concentration.
NASA Astrophysics Data System (ADS)
Zhang, Zi-Hui; Huang Chen, Sung-Wen; Chu, Chunshuang; Tian, Kangkai; Fang, Mengqian; Zhang, Yonghui; Bi, Wengang; Kuo, Hao-Chung
2018-04-01
This work reports a nearly efficiency-droop-free AlGaN-based deep ultraviolet light-emitting diode (DUV LED) emitting in the peak wavelength of 270 nm. The DUV LED utilizes a specifically designed superlattice p-type electron blocking layer (p-EBL). The superlattice p-EBL enables a high hole concentration in the p-EBL which correspondingly increases the hole injection efficiency into the multiple quantum wells (MQWs). The enhanced hole concentration within the MQW region can more efficiently recombine with electrons in the way of favoring the radiative recombination, leading to a reduced electron leakage current level. As a result, the external quantum efficiency for the proposed DUV LED structure is increased by 100% and the nearly efficiency-droop-free DUV LED structure is obtained experimentally.
Synthesis Properties and Electron Spin Resonance Properties of Titanic Materials (abstract)
NASA Astrophysics Data System (ADS)
Cho, Jung Min; Lee, Jun; Kim, Tak Hee; Sun, Min Ho; Jang, Young Bae; Cho, Sung June
2009-04-01
Titanic materials were synthesized by hydrothermal method of TiO2 anatase in 10M LiOH, 10M NaOH, and 14M KOH at 130° C for 30 hours. Alkaline media were removed from the synthesized products using 0.1N HCl aqueous solution. The as-prepared samples were characterized by scanning electron microscope, transmission electron microscope, X-ray diffraction, Brunauer-Emmett-Teller isotherm, and electron spin resonance. Different shapes of synthesized products were observed through the typical electron microscope and indicated that the formation of the different morphologies depends on the treatment conditions of highly alkaline media. Many micropores were observed in the cubic or octahedral type of TiO2 samples through the typical electron microscope and Langmuir adsorption-desorption isotherm of liquid nitrogen at 77° K. Electron spin resonance studies have also been carried out to verify the existence of paramagnetic sites such as oxygen vacancies on the titania samples. The effect of alkali metal ions on the morphologies and physicochemical properties of nanoscale titania are discussed.
INFLUENCE OF FLORAL OPTICAL PROPERTIES ON THE ULTRAVIOLET RADIATION ENVIRONMENT OF POLLEN
Pollen in unopened flowers of most species is totally screened from solar ultraviolet-B radiation by imbricated petals that are largely opaque to UV-B. Following flower opening but before another dehiscence, the anther walls of the species investigated filter out over 98% of the ...
The Ultraviolet Surprise. Efficient Soft X-Ray High Harmonic Generation in Multiply-Ionized Plasmas
Popmintchev, Dimitar; Hernandez-Garcia, Carlos; Dollar, Franklin; ...
2015-12-04
High-harmonic generation is a universal response of matter to strong femtosecond laser fields, coherently upconverting light to much shorter wavelengths. Optimizing the conversion of laser light into soft x-rays typically demands a trade-off between two competing factors. Reduced quantum diffusion of the radiating electron wave function results in emission from each species which is highest when a short-wavelength ultraviolet driving laser is used. But, phase matching—the constructive addition of x-ray waves from a large number of atoms—favors longer-wavelength mid-infrared lasers. We identified a regime of high-harmonic generation driven by 40-cycle ultraviolet lasers in waveguides that can generate bright beams inmore » the soft x-ray region of the spectrum, up to photon energies of 280 electron volts. Surprisingly, the high ultraviolet refractive indices of both neutral atoms and ions enabled effective phase matching, even in a multiply ionized plasma. We observed harmonics with very narrow linewidths, while calculations show that the x-rays emerge as nearly time-bandwidth–limited pulse trains of ~100 attoseconds.« less
NASA Technical Reports Server (NTRS)
Bless, R. C.; Code, A. D.; Fairchild, E. T.
1976-01-01
The absolute energy distribution in the ultraviolet is given for the stars alpha Vir, eta UMa, and alpha Leo. The calibration is based on absolute heterochromatic photometry between 2920 and 1370 A carried out with an Aerobee sounding rocket. The fundamental radiation standard is the synchrotron radiation from 240-MeV electrons in a certain synchrotron storage ring. On the basis of the sounding-rocket calibration, the preliminary OAO-2 spectrometer calibration has been revised; the fluxes for the three program stars are tabulated in energy per second per square centimeter per unit wavelength interval.
Electronic transport properties of nanostructured MnSi-films
NASA Astrophysics Data System (ADS)
Schroeter, D.; Steinki, N.; Scarioni, A. Fernández; Schumacher, H. W.; Süllow, S.; Menzel, D.
2018-05-01
MnSi, which crystallizes in the cubic B20 structure, shows intriguing magnetic properties involving the existence of skyrmions in the magnetic phase diagram. Bulk MnSi has been intensively investigated and thoroughly characterized, in contrast to MnSi thin film, which exhibits widely varying properties in particular with respect to electronic transport. In this situation, we have set out to reinvestigate the transport properties in MnSi thin films by means of studying nanostructure samples. In particular, Hall geometry nanostructures were produced to determine the intrinsic transport properties.
Use of MgF2 and LiF photocathodes in the extreme ultraviolet.
NASA Technical Reports Server (NTRS)
Lapson, L. B.; Timothy, J. G.
1973-01-01
The photoelectric yields of 2000-A thick samples of MgF2 and LiF have been measured at wavelengths in the range from 1216 to 461 A. Peak values of 43 and 34%, respectively, were obtained at wavelengths around 550 A at 45 deg incidence. Coating the cathode of a channel electron multiplier with 3000 A of MgF2 produced no significant deterioration in the electrical properties and increased the sensitivity by factors of 1.62, 2.76, and 2.60 at wavelengths of 742, 584, and 461 A, respectively. Since the stability of response of the MgF2 photocathodes appears to be equal to that of conventional metallic and semiconducting cathodes, it is concluded that MgF2 would be a practical, high-efficiency photocathode for use in the extreme ultraviolet.
Research in extreme ultraviolet and far ultraviolet astronomy
NASA Technical Reports Server (NTRS)
Bowyer, C. S.
1985-01-01
The Far Ultraviolet imager (FUVI) was flown on the Aries class sounding rocket 24.015, producing outstanding results. The diffuse extreme ultraviolet (EUV) background spectrometer which is under construction is described. It will be launched on the Black Brant sounding rocket flight number 27.086. Ongoing design studies of a high resolution spectrometer are discussed. This instrument incorporates a one meter normal incidence mirror and will be suitable for an advanced Spartan mission.
Ultraviolet absorption hygrometer
Gersh, M.E.; Bien, F.; Bernstein, L.S.
1986-12-09
An ultraviolet absorption hygrometer is provided including a source of pulsed ultraviolet radiation for providing radiation in a first wavelength region where water absorbs significantly and in a second proximate wavelength region where water absorbs weakly. Ultraviolet radiation in the first and second regions which has been transmitted through a sample path of atmosphere is detected. The intensity of the radiation transmitted in each of the first and second regions is compared and from this comparison the amount of water in the sample path is determined. 5 figs.
Ultraviolet surprise: Efficient soft x-ray high-harmonic generation in multiply ionized plasmas.
Popmintchev, Dimitar; Hernández-García, Carlos; Dollar, Franklin; Mancuso, Christopher; Pérez-Hernández, Jose A; Chen, Ming-Chang; Hankla, Amelia; Gao, Xiaohui; Shim, Bonggu; Gaeta, Alexander L; Tarazkar, Maryam; Romanov, Dmitri A; Levis, Robert J; Gaffney, Jim A; Foord, Mark; Libby, Stephen B; Jaron-Becker, Agnieszka; Becker, Andreas; Plaja, Luis; Murnane, Margaret M; Kapteyn, Henry C; Popmintchev, Tenio
2015-12-04
High-harmonic generation is a universal response of matter to strong femtosecond laser fields, coherently upconverting light to much shorter wavelengths. Optimizing the conversion of laser light into soft x-rays typically demands a trade-off between two competing factors. Because of reduced quantum diffusion of the radiating electron wave function, the emission from each species is highest when a short-wavelength ultraviolet driving laser is used. However, phase matching--the constructive addition of x-ray waves from a large number of atoms--favors longer-wavelength mid-infrared lasers. We identified a regime of high-harmonic generation driven by 40-cycle ultraviolet lasers in waveguides that can generate bright beams in the soft x-ray region of the spectrum, up to photon energies of 280 electron volts. Surprisingly, the high ultraviolet refractive indices of both neutral atoms and ions enabled effective phase matching, even in a multiply ionized plasma. We observed harmonics with very narrow linewidths, while calculations show that the x-rays emerge as nearly time-bandwidth-limited pulse trains of ~100 attoseconds. Copyright © 2015, American Association for the Advancement of Science.
Electronic Properties of Synthetic Shrimp Pathogens-derived DNA Schottky Diodes.
Rizan, Nastaran; Yew, Chan Yen; Niknam, Maryam Rajabpour; Krishnasamy, Jegenathan; Bhassu, Subha; Hong, Goh Zee; Devadas, Sridevi; Din, Mohamed Shariff Mohd; Tajuddin, Hairul Anuar; Othman, Rofina Yasmin; Phang, Siew Moi; Iwamoto, Mitsumasa; Periasamy, Vengadesh
2018-01-17
The exciting discovery of the semiconducting-like properties of deoxyribonucleic acid (DNA) and its potential applications in molecular genetics and diagnostics in recent times has resulted in a paradigm shift in biophysics research. Recent studies in our laboratory provide a platform towards detecting charge transfer mechanism and understanding the electronic properties of DNA based on the sequence-specific electronic response, which can be applied as an alternative to identify or detect DNA. In this study, we demonstrate a novel method for identification of DNA from different shrimp viruses and bacteria using electronic properties of DNA obtained from both negative and positive bias regions in current-voltage (I-V) profiles. Characteristic electronic properties were calculated and used for quantification and further understanding in the identification process. Aquaculture in shrimp industry is a fast-growing food sector throughout the world. However, shrimp culture in many Asian countries faced a huge economic loss due to disease outbreaks. Scientists have been using specific established methods for detecting shrimp infection, but those methods do have their significant drawbacks due to many inherent factors. As such, we believe that this simple, rapid, sensitive and cost-effective tool can be used for detection and identification of DNA from different shrimp viruses and bacteria.
A multi-wavelength study of the evolution of early-type galaxies in groups: the ultraviolet view
NASA Astrophysics Data System (ADS)
Rampazzo, R.; Mazzei, P.; Marino, A.; Bianchi, L.; Plana, H.; Trinchieri, G.; Uslenghi, M.; Wolter, A.
2018-04-01
The ultraviolet-optical colour magnitude diagram of rich galaxy groups is characterised by a well developed Red Sequence, a Blue Cloud and the so-called Green Valley. Loose, less evolved groups of galaxies which are probably not virialised yet may lack a well defined Red Sequence. This is actually explained in the framework of galaxy evolution. We are focussing on understanding galaxy migration towards the Red Sequence, checking for signatures of such a transition in their photometric and morphological properties. We report on the ultraviolet properties of a sample of early-type (ellipticals+S0s) galaxies inhabiting the Red Sequence. The analysis of their structures, as derived by fitting a Sérsic law to their ultraviolet luminosity profiles, suggests the presence of an underlying disk. This is the hallmark of dissipation processes that still must have a role to play in the evolution of this class of galaxies. Smooth particle hydrodynamic simulations with chemo-photometric implementations able to match the global properties of our targets are used to derive their evolutionary paths through ultraviolet-optical colour magnitude diagrams, providing some fundamental information such as the crossing time through the Green Valley, which depends on their luminosity. The transition from the Blue Cloud to the Red Sequence takes several Gyrs, being about 3-5 Gyr for the brightest galaxies and longer for fainter ones, if occurring. The photometric study of nearby galaxy structures in the ultraviolet is seriously hampered by either the limited field of view of the cameras (e.g., in Hubble Space Telescope) or by the low spatial resolution of the images (e.g., in the Galaxy Evolution Explorer). Current missions equipped with telescopes and cameras sensitive to ultraviolet wavelengths, such as Swift- UVOT and Astrosat-UVIT, provide a relatively large field of view and a better resolution than the Galaxy Evolution Explorer. More powerful ultraviolet instruments (size, resolution
Signature properties of water: Their molecular electronic origins
Jones, Andrew P.; Cipcigan, Flaviu S.; Crain, Jason; Martyna, Glenn J.
2015-01-01
Water challenges our fundamental understanding of emergent materials properties from a molecular perspective. It exhibits a uniquely rich phenomenology including dramatic variations in behavior over the wide temperature range of the liquid into water’s crystalline phases and amorphous states. We show that many-body responses arising from water’s electronic structure are essential mechanisms harnessed by the molecule to encode for the distinguishing features of its condensed states. We treat the complete set of these many-body responses nonperturbatively within a coarse-grained electronic structure derived exclusively from single-molecule properties. Such a “strong coupling” approach generates interaction terms of all symmetries to all orders, thereby enabling unique transferability to diverse local environments such as those encountered along the coexistence curve. The symmetries of local motifs that can potentially emerge are not known a priori. Consequently, electronic responses unfiltered by artificial truncation are then required to embody the terms that tip the balance to the correct set of structures. Therefore, our fully responsive molecular model produces, a simple, accurate, and intuitive picture of water’s complexity and its molecular origin, predicting water’s signature physical properties from ice, through liquid–vapor coexistence, to the critical point. PMID:25941394
Observations and Operational Products from the Special Sensor Ultraviolet Limb Imager (SSULI)
NASA Astrophysics Data System (ADS)
Dandenault, Patrick; Nicholas, Andrew C.; Coker, Clayton; Budzien, Scott A.; Chua, Damien H.; Finne, Ted T.; Metzler, Christopher A.; Dymond, Kenneth F.
The Naval Research Laboratory (NRL) has developed five ultraviolet remote sensing instru-ments for the Air Force Defense Meteorological Satellite Program (DMSP). These instruments known as SSULI (Special Sensor Ultraviolet Limb Imager) are on the DMSP block of 5D3 satellites, which first launched in 2003. The DMSP satellites are launched in a near-polar, sun-synchronous orbit at an altitude of approximately 830 km. SSULI measures vertical profiles of the natural airglow radiation from atoms, molecules and ions in the upper atmosphere and ionosphere by viewing the earth's limb at a tangent altitude of approximately 50 km to 750 km. Limb observations are made from the extreme ultraviolet (EUV) to the far ultraviolet (FUV) over the wavelength range of 80 nm to 170 nm, with 1.8 nm resolution. An extensive operational data processing system, the SSULI Ground Data Analysis Software (GDAS), has been developed to generate environmental data products from SSULI spectral data in near-real time for use at the Air Force Weather Agency (AFWA). The operational software uses advanced science algorithms developed at NRL and was designed to calibrate data from USAF Raw Sensor Data Records (RSDR) and generate Environmental Data Records (EDRs). Data products from SSULI observations include vertical profiles of electron (Ne) densities, N2, O2, O, O+, Temperature and also vertical Total Electron Content (TEC). On October 18, 2009, the third SSULI sensor launched from Vandenberg Air Force Base, aboard the DMSP F18 spacecraft. An overview of the SSULI operational program and the status of the F18 sensor will be discussed.
NASA Astrophysics Data System (ADS)
de Brito, A. C. F.; Correa, R. S.; Pinto, A. A.; Matos, M. J. S.; Tenorio, J. C.; Taylor, J. G.; Cazati, T.
2018-07-01
Isoxazoles have well established biological activities but, have been underexplored as synthetic intermediates for applications in materials science. The aims of this work are to synthesis a novel isoxazole and analyze its structural and photophysical properties for application in electronic organic materials. The novel bis (phenylisoxazolyl) benzene compound was synthesized in four steps and characterized by NMR, high resolution mass spectrometry, differential thermal analysis, infrared spectroscopy, cyclic voltammetry, ultraviolet-visible spectroscopy, fluorescence spectroscopy, DFT and TDDFT calculations. The molecule presented optical absorption in the ultraviolet region (from 290 nm to 330 nm), with maximum absorption length centered at 306 nm. The molar extinction coefficients (ε), fluorescence emission spectra and quantum efficiencies in chloroform and dimethylformamide solution were determined. Cyclic voltammetry analysis was carried out for estimating the HOMO energy level and these properties make it desirable material for photovoltaic device applications. Finally, the excited-state properties of present compound were calculated by time-dependent density functional theory (TDDFT).
Dongre, Atul M; Pai, Gitanjali G; Khopkar, Uday S
2007-01-01
Patients receiving phototherapy for various dermatoses are at increased risk of eye damage due to ultraviolet (UV) rays. They are prescribed UV protective sunglasses by dermatologists but their exact protecting effects are not known. To study the ultraviolet protective properties of branded and unbranded UV protective sunglasses available in the Indian market, in UV phototherapy chambers. Sixteen different branded and unbranded UV protective sunglasses were collected from two opticians in Mumbai. Baseline irradiance of the UV chamber was calculated by exposing the photosensitive probe of UV photometer in the chamber. Then, the photosensitive probe of the UV photometer was covered with the UV protective glass to be studied and irradiance was noted. Such readings were taken for each of the UV protective sunglasses. The percentage reduction in the UV rays' penetration of different UV protective sunglasses was calculated. Thirteen sunglasses provided > 80% reduction in UVA rays penetration, of which four were branded (out of the four branded studied) and nine were unbranded (out of the 12 unbranded studied). More than 70% reduction in UVB penetration was provided by 12 sunglasses, which included 10 unbranded and two branded sunglasses. All branded sunglasses provided good protection against UVA penetration, but UVB protection provided by both branded and unbranded sunglasses was not satisfactory. A few unbranded sunglasses had poor efficacy for UVA and UVB spectra; one branded glass had poor efficacy for protection against the UVB spectrum. The efficacy of sunglasses used for phototherapy should be assessed before use.
Analogies in electronic properties of graphene wormhole and perturbed nanocylinder
NASA Astrophysics Data System (ADS)
Pincak, R.; Smotlacha, J.
2013-11-01
The electronic properties of the wormhole and the perturbed nanocylinder were investigated using two different methods: the continuum gauge field-theory model that deals with the continuum approximation of the surface and the Haydock recursion method that transforms the surface into a simplier structure and deals with the nearest-neighbor interactions. Furthermore, the changes of the electronic properties were investigated for the case of enclosing the appropriate structure, and possible substitutes for the encloser were derived. Finally, the character of the electron flux through the perturbed wormhole was predicted from the model based on the multiwalled nanotubes. The effect of the "graphene blackhole" is introduced.
Amdjadi, Parisa; Nojehdehian, Hanieh; Najafi, Farhood; Ghasemi, Amir; Seifi, Massoud; Dashtimoghadam, Erfan; Fahimipour, Farahnaz; Tayebi, Lobat
2017-07-01
Since octafluoropentyl methacrylate is an antifouling polymer, surface modification of polyether ether ketone with octafluoropentyl methacrylate is a practical approach to obtaining anti-biofilm biocompatible devices. In the current study, the surface treatment of polyether ether ketone by the use of ultraviolet irradiation, so as to graft (octafluoropentyl methacrylate) polymer chains, was initially implemented and then investigated. The Fourier-transform infrared and nuclear magnetic resonance spectra corroborated the appearance of new signals associated with the fluoroacrylate group. Thermogravimetric curves indicated enhanced asymmetry in the polymer structure due to the introduction of the said new groups. Measuring the peak area in differential scanning calorimetry experiments also showed additional bond formation. Static water contact angle measurements indicated a change in wettability to the more hydrophobic surface. The polyether ether ketone-octafluoropentyl methacrylate surface greatly reduced the protein adsorption. This efficient method can modulate and tune the surface properties of polyether ether ketone according to specific applications.
Zhang, Zi-Hui; Huang Chen, Sung-Wen; Chu, Chunshuang; Tian, Kangkai; Fang, Mengqian; Zhang, Yonghui; Bi, Wengang; Kuo, Hao-Chung
2018-04-24
This work reports a nearly efficiency-droop-free AlGaN-based deep ultraviolet light-emitting diode (DUV LED) emitting in the peak wavelength of 270 nm. The DUV LED utilizes a specifically designed superlattice p-type electron blocking layer (p-EBL). The superlattice p-EBL enables a high hole concentration in the p-EBL which correspondingly increases the hole injection efficiency into the multiple quantum wells (MQWs). The enhanced hole concentration within the MQW region can more efficiently recombine with electrons in the way of favoring the radiative recombination, leading to a reduced electron leakage current level. As a result, the external quantum efficiency for the proposed DUV LED structure is increased by 100% and the nearly efficiency-droop-free DUV LED structure is obtained experimentally.
NASA Astrophysics Data System (ADS)
Chain, Fernando; Iramain, Maximiliano Alberto; Grau, Alfredo; Catalán, César A. N.; Brandán, Silvia Antonia
2017-01-01
N-(3,4-dimethoxybenzyl)-hexadecanamide (DMH) was characterized by using Fourier Transform infrared (FT-IR) and Raman (FT-Raman), Ultraviolet- Visible (UV-Visible) and Hydrogen and Carbon Nuclear Magnetic Resonance (1H and 13C NMR) spectroscopies. The structural, electronic, topological and vibrational properties were evaluated in gas phase and in n-hexane employing ONIOM and self-consistent force field (SCRF) calculations. The atomic charges, molecular electrostatic potentials, stabilization energies and topological properties of DMH were analyzed and compared with those calculated for N-(3,4-dimethoxybenzyl)-acetamide (DMA) in order to evaluate the effect of the side chain on the properties of DMH. The reactivity and behavior of this alkamide were predicted by using the gap energies and some descriptors. Force fields and the corresponding force constants were reported for DMA only in gas phase and n-hexane due to the high number of vibration normal modes showed by DMH, while the complete vibrational assignments are presented for DMA and both forms of DMH. The comparisons between the experimental FTIR, FT-Raman, UV-Visible and 1H and 13C NMR spectra with the corresponding theoretical ones showed a reasonable concordance.
Electronic and Optical Properties of Twisted Bilayer Graphene
NASA Astrophysics Data System (ADS)
Huang, Shengqiang
The ability to isolate single atomic layers of van der Waals materials has led to renewed interest in the electronic and optical properties of these materials as they can be fundamentally different at the monolayer limit. Moreover, these 2D crystals can be assembled together layer by layer, with controllable sequence and orientation, to form artificial materials that exhibit new features that are not found in monolayers nor bulk. Twisted bilayer graphene is one such prototype system formed by two monolayer graphene layers placed on top of each other with a twist angle between their lattices, whose electronic band structure depends on the twist angle. This thesis presents the efforts to explore the electronic and optical properties of twisted bilayer graphene by Raman spectroscopy and scanning tunneling microscopy measurements. We first synthesize twisted bilayer graphene with various twist angles via chemical vapor deposition. Using a combination of scanning tunneling microscopy and Raman spectroscopy, the twist angles are determined. The strength of the Raman G peak is sensitive to the electronic band structure of twisted bilayer graphene and therefore we use this peak to monitor changes upon doping. Our results demonstrate the ability to modify the electronic and optical properties of twisted bilayer graphene with doping. We also fabricate twisted bilayer graphene by controllable stacking of two graphene monolayers with a dry transfer technique. For twist angles smaller than one degree, many body interactions play an important role. It requires eight electrons per moire unit cell to fill up each band instead of four electrons in the case of a larger twist angle. For twist angles smaller than 0.4 degree, a network of domain walls separating AB and BA stacking regions forms, which are predicted to host topologically protected helical states. Using scanning tunneling microscopy and spectroscopy, these states are confirmed to appear on the domain walls when inversion
NASA Astrophysics Data System (ADS)
Behzad, Somayeh
2016-04-01
The electronic and optical properties of α-graphyne sheet are investigated by using density functional theory. The results confirm that α-graphyne sheet is a zero-gap semimetal. The optical properties of the α-graphyne sheet such as dielectric function, refraction index, electron energy loss function, reflectivity, absorption coefficient and extinction index are calculated for both parallel and perpendicular electric field polarizations. The optical spectra are strongly anisotropic along these two polarizations. For (E ∥ x), absorption edge is at 0 eV, while there is no absorption below 8 eV for (E ∥ z).
Dolgopolova, Ekaterina A.; Brandt, Amy J.; Ejegbavwo, Otega A.; ...
2017-03-18
The development of porous well-defined hybrid materials (e.g., metal-organic frameworks or MOFs) will add a new dimension to a wide number of applications ranging from supercapacitors and electrodes to 'smart' membranes and thermoelectrics. From this perspective, the understanding and tailoring of the electronic properties of MOFs are key fundamental challenges that could unlock the full potential of these materials. In this work, we focused on the fundamental insights responsible for the electronic properties of three distinct classes of bimetallic systems, M x-yM' y-MOFs, M xM' y- MOFs, and M x(ligand-M' y)-MOFs, in which the second metal (M') incorporation occurs throughmore » (i) metal (M) replacement in the framework nodes (type I), (ii) metal node extension (type II), and (iii) metal coordination to the organic ligand (type III), respectively. We employed microwave conductivity, X-ray photoelectron spectroscopy, diffuse reflectance spectroscopy, powder X-ray diffraction, inductively coupled plasma atomic emission spectroscopy, pressed-pellet conductivity, and theoretical modeling to shed light on the key factors responsible for the tunability of MOF electronic structures. Experimental prescreening of MOFs was performed based on changes in the density of electronic states near the Fermi edge, which was used as a starting point for further selection of suitable MOFs. As a result, we demonstrated that the tailoring of MOF electronic properties could be performed as a function of metal node engineering, framework topology, and/or the presence of unsaturated metal sites while preserving framework porosity and structural integrity. Finally, these studies unveil the possible pathways for transforming the electronic properties of MOFs from insulating to semiconducting, as well as provide a blueprint for the development of hybrid porous materials with desirable electronic structures.« less
Single-crystalline aluminum film for ultraviolet plasmonic nanolasers
Chou, Bo-Tsun; Chou, Yu-Hsun; Wu, Yen-Mo; Chung, Yi-Cheng; Hsueh, Wei-Jen; Lin, Shih-Wei; Lu, Tien-Chang; Lin, Tzy-Rong; Lin, Sheng-Di
2016-01-01
Significant advances have been made in the development of plasmonic devices in the past decade. Plasmonic nanolasers, which display interesting properties, have come to play an important role in biomedicine, chemical sensors, information technology, and optical integrated circuits. However, nanoscale plasmonic devices, particularly those operating in the ultraviolet regime, are extremely sensitive to the metal and interface quality. Thus, these factors have a significant bearing on the development of ultraviolet plasmonic devices. Here, by addressing these material-related issues, we demonstrate a low-threshold, high-characteristic-temperature metal-oxide-semiconductor ZnO nanolaser that operates at room temperature. The template for the ZnO nanowires consists of a flat single-crystalline Al film grown by molecular beam epitaxy and an ultrasmooth Al2O3 spacer layer synthesized by atomic layer deposition. By effectively reducing the surface plasmon scattering and metal intrinsic absorption losses, the high-quality metal film and the sharp interfaces formed between the layers boost the device performance. This work should pave the way for the use of ultraviolet plasmonic nanolasers and related devices in a wider range of applications. PMID:26814581
Modeling of radiative properties of Sn plasmas for extreme-ultraviolet source
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sasaki, Akira; Sunahara, Atsushi; Furukawa, Hiroyuki
Atomic processes in Sn plasmas are investigated for application to extreme-ultraviolet (EUV) light sources used in microlithography. We develop a full collisional radiative (CR) model of Sn plasmas based on calculated atomic data using Hebrew University Lawrence Livermore Atomic Code (HULLAC). Resonance and satellite lines from singly and multiply excited states of Sn ions, which contribute significantly to the EUV emission, are identified and included in the model through a systematic investigation of their effect on the emission spectra. The wavelengths of the 4d-4f+4p-4d transitions of Sn{sup 5+} to Sn{sup 13+} are investigated, because of their importance for determining themore » conversion efficiency of the EUV source, in conjunction with the effect of configuration interaction in the calculation of atomic structure. Calculated emission spectra are compared with those of charge exchange spectroscopy and of laser produced plasma EUV sources. The comparison is also carried out for the opacity of a radiatively heated Sn sample. A reasonable agreement is obtained between calculated and experimental EUV emission spectra observed under the typical condition of EUV sources with the ion density and ionization temperature of the plasma around 10{sup 18} cm{sup -3} and 20 eV, respectively, by applying a wavelength correction to the resonance and satellite lines. Finally, the spectral emissivity and opacity of Sn plasmas are calculated as a function of electron temperature and ion density. The results are useful for radiation hydrodynamics simulations for the optimization of EUV sources.« less
21 CFR 872.6350 - Ultraviolet detector.
Code of Federal Regulations, 2010 CFR
2010-04-01
... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...
21 CFR 872.6350 - Ultraviolet detector.
Code of Federal Regulations, 2014 CFR
2014-04-01
... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...
21 CFR 872.6350 - Ultraviolet detector.
Code of Federal Regulations, 2011 CFR
2011-04-01
... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...
21 CFR 872.6350 - Ultraviolet detector.
Code of Federal Regulations, 2012 CFR
2012-04-01
... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...
21 CFR 872.6350 - Ultraviolet detector.
Code of Federal Regulations, 2013 CFR
2013-04-01
... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...
A new series of two-dimensional silicon crystals with versatile electronic properties
NASA Astrophysics Data System (ADS)
Chae, Kisung; Kim, Duck Young; Son, Young-Woo
2018-04-01
Silicon (Si) is one of the most extensively studied materials owing to its significance to semiconductor science and technology. While efforts to find a new three-dimensional (3D) Si crystal with unusual properties have made some progress, its two-dimensional (2D) phases have not yet been explored as much. Here, based on a newly developed systematic ab initio materials searching strategy, we report a series of novel 2D Si crystals with unprecedented structural and electronic properties. The new structures exhibit perfectly planar outermost surface layers of a distorted hexagonal network with their thicknesses varying with the atomic arrangement inside. Dramatic changes in electronic properties ranging from semimetal to semiconducting with indirect energy gaps and even to one with direct energy gaps are realized by varying thickness as well as by surface oxidation. Our predicted 2D Si crystals with flat surfaces and tunable electronic properties will shed light on the development of silicon-based 2D electronics technology.
Magnetic fluorescent lamp having reduced ultraviolet self-absorption
Berman, Samuel M.; Richardson, Robert W.
1985-01-01
The radiant emission of a mercury-argon discharge in a fluorescent lamp assembly (10) is enhanced by providing means (30) for establishing a magnetic field with lines of force along the path of electron flow through the bulb (12) of the lamp assembly, to provide Zeeman splitting of the ultraviolet spectral line. Optimum results are obtained when the magnetic field strength causes a Zeeman splitting of approximately 1.7 times the thermal line width.
Ultraviolet reflecting photonic microstructures in the King Penguin beak.
Dresp, Birgitta; Jouventin, Pierre; Langley, Keith
2005-09-22
King and emperor penguins (Aptenodytes patagonicus and Aptenodytes forsteri) are the only species of marine birds so far known to reflect ultraviolet (UV) light from their beaks. Unlike humans, most birds perceive UV light and several species communicate using the near UV spectrum. Indeed, UV reflectance in addition to the colour of songbird feathers has been recognized as an important signal when choosing a mate. The king penguin is endowed with several highly coloured ornaments, notably its beak horn and breast and auricular plumage, but only its beak reflects UV, a property considered to influence its sexual attraction. Because no avian UV-reflecting pigments have yet been identified, the origin of such reflections is probably structural. In an attempt to identify the structures that give rise to UV reflectance, we combined reflectance spectrophotometry and morphological analysis by both light and electron microscopy, after experimental removal of surface layers of the beak horn. Here, we characterize for the first time a multilayer reflector photonic microstructure that produces the UV reflections in the king penguin beak.
Electronic and optical properties of GaN under pressure: DFT calculations
NASA Astrophysics Data System (ADS)
Javaheri, Sahar; Boochani, Arash; Babaeipour, Manuchehr; Naderi, Sirvan
2017-12-01
Optical and electronic properties of ZB, RS and WZ structures of gallium nitride (GaN) are studied in equilibrium and under pressure using the first-principles calculation in the density functional theory (DFT) framework to obtain quantities like dielectric function, loss function, reflectance and absorption spectra, refractive index and their relation parameters. The electronic properties are studied using EV-GGA and GGA approximations and the results calculated by EV-GGA approximation were found to be much closer to the experimental results. The interband electron transitions are studied using the band structure and electron transition peaks in the imaginary part of the dielectric function; these transitions occur in three structures from N-2p orbital to Ga-4s and Ga-4p orbitals in the conduction band. Different optical properties of WZ structure were calculated in two polarization directions of (100) and (001) and the results were close to each other. Plasmon energy corresponding to the main peak of the energy-loss function in RS with the value of 26 eV was the highest one, which increased under pressure. In general, RS shows more different properties than WZ and ZB.
Wang, Xueding; Xu, Yilian; Yang, Lu; Lu, Xiang; Zou, Hao; Yang, Weiqing; Zhang, Yuanyuan; Li, Zicheng; Ma, Menglin
2018-03-01
A series of 1,3,5-triazines were synthesized and their UV absorption properties were tested. The computational chemistry methods were used to construct quantitative structure-property relationship (QSPR), which was used to computer aided design of new 1,3,5-triazines ultraviolet rays absorber compounds. The experimental UV absorption data are in good agreement with those predicted data using the Time-dependent density functional theory (TD-DFT) [B3LYP/6-311 + G(d,p)]. A suitable forecasting model (R > 0.8, P < 0.0001) was revealed. Predictive three-dimensional quantitative structure-property relationship (3D-QSPR) model was established using multifit molecular alignment rule of Sybyl program, which conclusion is consistent with the TD-DFT calculation. The exceptional photostability mechanism of such ultraviolet rays absorber compounds was studied and confirmed as principally banked upon their ability to undergo excited-state deactivation via an ultrafast excited-state proton transfer (ESIPT). The intramolecular hydrogen bond (IMHB) of 1,3,5-triazines compounds is the basis for the excited state proton transfer, which was explored by IR spectroscopy, UV spectra, structural and energetic aspects of different conformers and frontier molecular orbitals analysis.
Photochemical tuning of ultrathin TiO2/ p-Si p-n junction properties via UV-induced H doping
NASA Astrophysics Data System (ADS)
Lee, Sang Yeon; Kim, Jinseo; Ahn, Byungmin; Cho, In Sun; Yu, Hak Ki; Seo, Hyungtak
2017-03-01
We report a modified TiO2/ p-Si electronic structure that uses ultraviolet exposure for the incorporation of H. This structure was characterized using various photoelectron spectroscopic techniques. The ultraviolet (UV) exposure of the TiO2 surface allowed the Fermi energy level to be tuned by the insertion of H radicals, which induced changes in the heterojunction TiO2/ p-Si diode properties. The UV exposure of the TiO2 surface was performed in air. On UVexposure, a photochemical reaction involving the incorporation of UV-induced H radicals led to the creation of a surface Ti-O-OH group and caused interstitial H doping (Ti-H-O) in the bulk, which modified the electronic structures in different ways, depending on the location of the H. On the basis of the band alignment determined using a combined spectroscopic analysis, it is suggested that the UV-induced H incorporation into the TiO2 could be utilized for the systematic tuning of the heterojunction property for solar cells, photocatalytic applications, and capacitors.
Predicting the electronic properties of aqueous solutions from first-principles
NASA Astrophysics Data System (ADS)
Schwegler, Eric; Pham, Tuan Anh; Govoni, Marco; Seidel, Robert; Bradforth, Stephen; Galli, Giulia
Predicting the electronic properties of aqueous liquids has been a long-standing challenge for quantum-mechanical methods. Yet it is a crucial step in understanding and predicting the key role played by aqueous solutions and electrolytes in a wide variety of emerging energy and environmental technologies, including battery and photoelectrochemical cell design. Here we propose an efficient and accurate approach to predict the electronic properties of aqueous solutions, based on the combination of first-principles methods and experimental validation using state-of-the-art spectroscopic measurements. We present results for the photoelectron spectra of a broad range of solvated ions, showing that first-principles molecular dynamics simulations and electronic structure calculations using dielectric hybrid functionals provide a quantitative description of their electronic properties, including excitation energies, of the solvent and solutes. The proposed computational framework is general and applicable to other liquids, thereby offering great promise in understanding and engineering solutions and liquid electrolytes for a variety of important energy technologies. Part of this work was performed under the auspices of the U.S. Department of Energy at LLNL under Contract DE-AC52-07A27344.
Electronic structure of lanthanide scandates
NASA Astrophysics Data System (ADS)
Mizzi, Christopher A.; Koirala, Pratik; Marks, Laurence D.
2018-02-01
X-ray photoelectron spectroscopy, ultraviolet photoelectron spectroscopy, and density functional theory calculations were used to study the electronic structure of three lanthanide scandates: GdSc O3,TbSc O3 , and DySc O3 . X-ray photoelectron spectra simulated from first-principles calculations using a combination of on-site hybrid and GGA +U methods were found to be in good agreement with experimental x-ray photoelectron spectra. The hybrid method was used to model the ground state electronic structure and the GGA +U method accounted for the shift of valence state energies due to photoelectron emission via a Slater-Janak transition state approach. From these results, the lanthanide scandate valence bands were determined to be composed of Ln 4 f ,O 2 p , and Sc 3 d states, in agreement with previous work. However, contrary to previous work the minority Ln 4 f states were found to be located closer to, and in some cases at, the valence band maximum. This suggests that minority Ln 4 f electrons may play a larger role in lanthanide scandate properties than previously thought.
Ultraviolet imaging detectors for the GOLD mission
NASA Astrophysics Data System (ADS)
Siegmund, O. H. W.; McPhate, J.; Curtis, T.; Jelinsky, S.; Vallerga, J. V.; Hull, J.; Tedesco, J.
2016-07-01
The GOLD mission is a NASA Explorer class ultraviolet Earth observing spectroscopy instrument that will be flown on a telecommunications satellite in geostationary orbit in 2018. Microchannel plate detectors operating in the 132 nm to 162 nm FUV bandpass with 2D imaging cross delay line readouts and electronics have been built for each of the two spectrometer channels for GOLD. The detectors are "open face" with CsI photocathodes, providing 30% efficiency at 130.4 nm and 15% efficiency at 160.8 nm. These detectors with their position encoding electronics provide 600 x 500 FWHM resolution elements and are photon counting, with event handling rates of > 200 KHz. The operational details of the detectors and their performance are discussed.
Spectral ellipsometry studying of iron's optical and electronic properties
NASA Astrophysics Data System (ADS)
Chernukha, Yevheniia; Stashchuk, Vasyl S.; Polianska, Olena; Oshtuk, Olexsandr
2014-05-01
Fe's optical and electronic properties were investigated at room temperature in different structural states. The sample's surface was explored in wide spectral range λ = 0,23-17,0 μm (E = 4,96 - 0,07 еV ) by the Beatty's spectral ellipsometry method. While an experiment was carried out ellipsometry parameters Δ and ψ were measure near the principal angle of incidence. The refraction index R , permittivity Ɛ and optical conductivity σ( hν ) , that is proportional to the interband density of electronic states, were calculated using these parameters. Fe's optical conductivities in liquid, amorphous and crystalline states were compared in this work. The optical conductivity was calculated using the published data of the iron's density of electronic states in crystalline, amorphous and liquid states for the comparison of the experimental and theoretical results. It is shown that, at structural transformations "amorphous, liquid state- crystalline state", the optical properties of metallic iron are determined, in the first turn, by the nearest neighborhood, and the electronic structure is not subjected to significant modifications.
Thin film filter lifetesting results in the extreme ultraviolet
NASA Technical Reports Server (NTRS)
Vedder, P. W.; Vallerga, J. V.; Gibson, J. L.; Stock, J.; Siegmund, O. H. W.
1993-01-01
We present the results of the thin film filter lifetesting program conducted as part of the NASA Extreme Ultraviolet Explorer (EUVE) satellite mission. This lifetesting program is designed to monitor changes in the transmission and mechanical properties of the EUVE filters over the lifetime of the mission (fabrication, assembly, launch and operation). Witness test filters were fabricated from thin film foils identical to those used in the flight filters. The witness filters have been examined and calibrated periodically over the past seven years. The filters have been examined for evidence of pinholing, mechanical degradation, and oxidation. Absolute transmissions of the flight and witness filters have been measured in the extreme ultraviolet (EUV) over six orders of magnitude at numerous wavelengths using the Berkeley EUV Calibration Facility.
NASA Astrophysics Data System (ADS)
Dagdeviren, Omur; Zhou, Chao; Zou, Ke; Simon, Georg; Albright, Stephen; Mandal, Subhasish; Morales-Acosta, Mayra; Zhu, Xiaodong; Ismail-Beigi, Sohrab; Walker, Frederick; Ahn, Charles; Schwarz, Udo; Altman, Eric
Revealing the local electronic properties of surfaces and their link to structural properties is an important problem for topological crystalline insulators (TCI) in which metallic surface states are protected by crystal symmetry. The microstructure and electronic properties of TCI SnTe film surfaces grown by molecular beam epitaxy were characterized using scanning probe microscopy. These results reveal the influence of various defects on the electronic properties: tilt boundaries leading to dislocation arrays that serve as periodic nucleation sites for pit growth; screw dislocations, and point defects. These features have varying length scale and display variations in the electronic structure of the surface, which are mapped with scanning tunneling microscopy images as standing waves superimposed on atomic scale images of the surface topography that consequently shape the wave patterns. Since the growth process results in symmetry breaking defects that patterns the topological states, we propose that the scanning probe tip can pattern the surface and electronic structure and enable the fabrication of topological devices on the SnTe surface. Financial support from the National Science Foundation through the Yale Materials Research Science and Engineering Center (Grant No. MRSEC DMR-1119826) and FAME.
AlN/GaN Digital Alloy for Mid- and Deep-Ultraviolet Optoelectronics.
Sun, Wei; Tan, Chee-Keong; Tansu, Nelson
2017-09-19
The AlN/GaN digital alloy (DA) is a superlattice-like nanostructure formed by stacking ultra-thin ( ≤ 4 monolayers) AlN barriers and GaN wells periodically. Here we performed a comprehensive study on the electronics and optoelectronics properties of the AlN/GaN DA for mid- and deep-ultraviolet (UV) applications. Our numerical analysis indicates significant miniband engineering in the AlN/GaN DA by tuning the thicknesses of AlN barriers and GaN wells, so that the effective energy gap can be engineered from ~3.97 eV to ~5.24 eV. The band structure calculation also shows that the valence subbands of the AlN/GaN DA is properly rearranged leading to the heavy-hole (HH) miniband being the top valence subband, which results in the desired transverse-electric polarized emission. Furthermore, our study reveals that the electron-hole wavefunction overlaps in the AlN/GaN DA structure can be remarkably enhanced up to 97% showing the great potential of improving the internal quantum efficiency for mid- and deep-UV device application. In addition, the optical absorption properties of the AlN/GaN DA are analyzed with wide spectral coverage and spectral tunability in mid- and deep-UV regime. Our findings suggest the potential of implementing the AlN/GaN DA as a promising active region design for high efficiency mid- and deep-UV device applications.
Electronic structures and magnetic/optical properties of metal phthalocyanine complexes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Baba, Shintaro; Suzuki, Atsushi, E-mail: suzuki@mat.usp.ac.jp; Oku, Takeo
2016-02-01
Electronic structures and magnetic / optical properties of metal phthalocyanine complexes were studied by quantum calculations using density functional theory. Effects of central metal and expansion of π orbital on aromatic ring as conjugation system on the electronic structures, magnetic, optical properties and vibration modes of infrared and Raman spectra of metal phthalocyanines were investigated. Electron and charge density distribution and energy levels near frontier orbital and excited states were influenced by the deformed structures varied with central metal and charge. The magnetic parameters of chemical shifts in {sup 13}C-nuclear magnetic resonance ({sup 13}C-NMR), principle g-tensor, A-tensor, V-tensor of electricmore » field gradient and asymmetry parameters derived from the deformed structures with magnetic interaction of nuclear quadruple interaction based on electron and charge density distribution with a bias of charge near ligand under crystal field.« less
NASA Astrophysics Data System (ADS)
Lee, Young-Ahn; Han, Seung-Ik; Rhee, Hanju; Seo, Hyungtak
2018-05-01
Polarons have been suggested to explain the mechanism of the coloration of WO3 induced by UV light. However, despite the many experimental results that support small polarons as a key mechanism, direct observation of the carrier dynamics of polarons have yet to be reported. Here, we investigate the correlation between the electronic structure and the coloration of WO3 upon exposure to UV light in 5% H2/N2 gas and, more importantly, reveal photon-induced excited d-electron generation/relaxation via the W5+ oxidation state. The WO3 is fabricated by radio-frequency magnetron sputtering. X-ray diffraction patterns show that prepared WO3 is amorphous. Optical bandgap of 3.1 eV is measured by UV-vis before and after UV light. The results of Fourier transform infrared and Raman exhibit pristine WO3 is formed with surface H2O. The colored WO3 shows reduced state of W5+ state (34.3 eV) by using X-ray photoelectron spectroscopy. The valence band maximum of WO3 after UV light in H2 is shifted from mid gap to shallow donor by using ultraviolet photoelectron spectroscopy. During the exploration of the carrier dynamics, pump (700 nm)-probe (1000 nm) spectroscopy at the femtosecond scale was used. The results indicated that electron-phonon relaxation of UV-irradiated WO3, which is the origin of the polaron-induced local surface plasmonic effect, is dominant, resulting in slow decay (within a few picoseconds); in contrast, pristine WO3 shows fast decay (less than a picosecond). Accordingly, the long photoinduced carrier relaxation is ascribed to the prolonged hot-carrier lifetime in reduced oxides resulting in a greater number of free d-electrons and, therefore, more interactions with the W5+ sub-gap states.
Effect of etching time on morphological, optical, and electronic properties of silicon nanowires
2012-01-01
Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet–visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties. PMID:22799265
Many-body effects and ultraviolet renormalization in three-dimensional Dirac materials
NASA Astrophysics Data System (ADS)
Throckmorton, Robert E.; Hofmann, Johannes; Barnes, Edwin; Das Sarma, S.
2015-09-01
We develop a theory for electron-electron interaction-induced many-body effects in three-dimensional Weyl or Dirac semimetals, including interaction corrections to the polarizability, electron self-energy, and vertex function, up to second order in the effective fine-structure constant of the Dirac material. These results are used to derive the higher-order ultraviolet renormalization of the Fermi velocity, effective coupling, and quasiparticle residue, revealing that the corrections to the renormalization group flows of both the velocity and coupling counteract the leading-order tendencies of velocity enhancement and coupling suppression at low energies. This in turn leads to the emergence of a critical coupling above which the interaction strength grows with decreasing energy scale. In addition, we identify a range of coupling strengths below the critical point in which the Fermi velocity varies nonmonotonically as the low-energy, noninteracting fixed point is approached. Furthermore, we find that while the higher-order correction to the flow of the coupling is generally small compared to the leading order, the corresponding correction to the velocity flow carries an additional factor of the Dirac cone flavor number (the multiplicity of electron species, e.g. ground-state valley degeneracy arising from the band structure) relative to the leading-order result. Thus, for materials with a larger multiplicity, the regime of velocity nonmonotonicity is reached for modest values of the coupling strength. This is in stark contrast to an approach based on a large-N expansion or the random phase approximation (RPA), where higher-order corrections are strongly suppressed for larger values of the Dirac cone multiplicity. This suggests that perturbation theory in the coupling constant (i.e., the loop expansion) and the RPA/large-N expansion are complementary in the sense that they are applicable in different parameter regimes of the theory. We show how our results for the
NASA Astrophysics Data System (ADS)
He, Jian; Li, Wei; Xu, Rui; Qi, Kang-Cheng; Jiang, Ya-Dong
2011-12-01
The relationship between structure and electronic properties of n-type doped hydrogenated amorphous silicon (a-Si:H) thin films was investigated. Samples with different features were prepared by plasma enhanced chemical vapor deposition (PECVD) at various substrate temperatures. Raman spectroscopy and Fourier transform infrared (FTIR) spectroscopy were used to evaluate the structural evolution, meanwhile, electronic-spin resonance (ESR) and optical measurement were applied to explore the electronic properties of P-doped a-Si:H thin films. Results reveal that the changes in materials structure affect directly the electronic properties and the doping efficiency of dopant.
Uusikivi, Jari; Vähätalo, Anssi V.; Granskog, Mats A.; Sommaruga, Ruben
2010-01-01
In the Baltic Sea ice, the spectral absorption coefficients for particulate matter (PM) were about two times higher at ultraviolet wavelengths than at photosynthetically available radiation (PAR) wavelengths. PM absorption spectra included significant absorption by mycosporine-like amino acids (MAAs) between 320 and 345 nm. In the surface ice layer, the concentration of MAAs (1.37 μg L−1) was similar to that of chlorophyll a, resulting in a MAAs-to-chlorophyll a ratio as high as 0.65. Ultraviolet radiation (UVR) intensity and the ratio of UVR to PAR had a strong relationship with MAAs concentration (R2 = 0.97, n = 3) in the ice. In the surface ice layer, PM and especially MAAs dominated the absorption (absorption coefficient at 325 nm: 0.73 m−1). In the columnar ice layers, colored dissolved organic matter was the most significant absorber in the UVR (< 380 nm) (absorption coefficient at 325 nm: 1.5 m−1). Our measurements and modeling of UVR and PAR in Baltic Sea ice show that organic matter, both particulate and dissolved, influences the optical properties of sea ice and strongly modifies the UVR exposure of biological communities in and under snow-free sea ice. PMID:20585592
ULTRAVIOLET EXTINCTION AT HIGH GALACTIC LATITUDES
DOE Office of Scientific and Technical Information (OSTI.GOV)
Peek, J. E. G.; Schiminovich, David, E-mail: jegpeek@gmail.com
In order to study the properties and effects of high Galactic latitude dust, we present an analysis of 373,303 galaxies selected from the Galaxy Evolution Explorer All-Sky Survey and Wide-field Infrared Explorer All-Sky Data Release. By examining the variation in aggregate ultraviolet colors and number density of these galaxies, we measure the extinction curve at high latitude. We additionally consider a population of spectroscopically selected galaxies from the Sloan Digital Sky Survey to measure extinction in the optical. We find that dust at high latitude is neither quantitatively nor qualitatively consistent with standard reddening laws. Extinction in the FUV andmore » NUV is {approx}10% and {approx}35% higher than expected, with significant variation across the sky. We find that no single R{sub V} parameter fits both the optical and ultraviolet extinction at high latitude, and that while both show detectable variation across the sky, these variations are not related. We propose that the overall trends we detect likely stem from an increase in very small silicate grains in the interstellar medium.« less
Magnetic properties and core electron binding energies of liquid water
NASA Astrophysics Data System (ADS)
Galamba, N.; Cabral, Benedito J. C.
2018-01-01
The magnetic properties and the core and inner valence electron binding energies of liquid water are investigated. The adopted methodology relies on the combination of molecular dynamics and electronic structure calculations. Born-Oppenheimer molecular dynamics with the Becke and Lee-Yang-Parr functionals for exchange and correlation, respectively, and includes an empirical correction (BLYP-D3) functional and classical molecular dynamics with the TIP4P/2005-F model were carried out. The Keal-Tozer functional was applied for predicting magnetic shielding and spin-spin coupling constants. Core and inner valence electron binding energies in liquid water were calculated with symmetry adapted cluster-configuration interaction. The relationship between the magnetic shielding constant σ(17O), the role played by the oxygen atom as a proton acceptor and donor, and the tetrahedral organisation of liquid water are investigated. The results indicate that the deshielding of the oxygen atom in water is very dependent on the order parameter (q) describing the tetrahedral organisation of the hydrogen bond network. The strong sensitivity of magnetic properties on changes of the electronic density in the nuclei environment is illustrated by a correlation between σ(17O) and the energy gap between the 1a1[O1s] (core) and the 2a1 (inner valence) orbitals of water. Although several studies discussed the eventual connection between magnetic properties and core electron binding energies, such a correlation could not be clearly established. Here, we demonstrate that for liquid water this correlation exists although involving the gap between electron binding energies of core and inner valence orbitals.
Fragmentation of mercury compounds under ultraviolet light irradiation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kokkonen, E.; Hautala, L.; Jänkälä, K.
2015-08-21
Ultraviolet light induced photofragmentation of mercury compounds is studied experimentally with electron energy resolved photoelectron-photoion coincidence techniques and theoretically with computational quantum chemical methods. A high resolution photoelectron spectrum using synchrotron radiation is presented. Fragmentation of the molecule is studied subsequent to ionization to the atomic-mercury-like d orbitals. State dependent fragmentation behaviour is presented and specific reactions for dissociation pathways are given. The fragmentation is found to differ distinctly in similar orbitals of different mercury compounds.
Modulation of electronic and magnetic properties in InSe nanoribbons: edge effect
NASA Astrophysics Data System (ADS)
Wu, Meng; Shi, Jun-jie; Zhang, Min; Ding, Yi-min; Wang, Hui; Cen, Yu-lang; Guo, Wen-hui; Pan, Shu-hang; Zhu, Yao-hui
2018-05-01
Quite recently, the two-dimensional (2D) InSe nanosheet has become a hot material with great promise for advanced functional nano-devices. In this work, for the first time, we perform first-principles calculations on the structural, electronic, magnetic and transport properties of 1D InSe nanoribbons with/without hydrogen or halogen saturation. We find that armchair ribbons, with various edges and distortions, are all nonmagnetic semiconductors, with a direct bandgap of 1.3 (1.4) eV for bare (H-saturated) ribbons, and have the same high electron mobility of about 103 cm2V‑1s‑1 as the 2D InSe nanosheet. Zigzag InSe nanoribbons exhibit metallic behavior and diverse intrinsic ferromagnetic properties, with the magnetic moment of 0.5–0.7 μ B per unit cell, especially for their single-edge spin polarization. The edge spin orientation, mainly dominated by the unpaired electrons of the edge atoms, depends sensitively on the edge chirality. Hydrogen or halogen saturation can effectively recover the structural distortion, and modulate the electronic and magnetic properties. The binding energy calculations show that the stability of InSe nanoribbons is analogous to that of graphene and better than in 2D InSe nanosheets. These InSe nanoribbons, with novel electronic and magnetic properties, are thus very promising for use in electronic, spintronic and magnetoresistive nano-devices.
Modulation of electronic and magnetic properties in InSe nanoribbons: edge effect.
Wu, Meng; Shi, Jun-Jie; Zhang, Min; Ding, Yi-Min; Wang, Hui; Cen, Yu-Lang; Guo, Wen-Hui; Pan, Shu-Hang; Zhu, Yao-Hui
2018-05-18
Quite recently, the two-dimensional (2D) InSe nanosheet has become a hot material with great promise for advanced functional nano-devices. In this work, for the first time, we perform first-principles calculations on the structural, electronic, magnetic and transport properties of 1D InSe nanoribbons with/without hydrogen or halogen saturation. We find that armchair ribbons, with various edges and distortions, are all nonmagnetic semiconductors, with a direct bandgap of 1.3 (1.4) eV for bare (H-saturated) ribbons, and have the same high electron mobility of about 10 3 cm 2 V -1 s -1 as the 2D InSe nanosheet. Zigzag InSe nanoribbons exhibit metallic behavior and diverse intrinsic ferromagnetic properties, with the magnetic moment of 0.5-0.7 μ B per unit cell, especially for their single-edge spin polarization. The edge spin orientation, mainly dominated by the unpaired electrons of the edge atoms, depends sensitively on the edge chirality. Hydrogen or halogen saturation can effectively recover the structural distortion, and modulate the electronic and magnetic properties. The binding energy calculations show that the stability of InSe nanoribbons is analogous to that of graphene and better than in 2D InSe nanosheets. These InSe nanoribbons, with novel electronic and magnetic properties, are thus very promising for use in electronic, spintronic and magnetoresistive nano-devices.
Chemo-physical properties of renal capsules under ultraviolet-c exposure
NASA Astrophysics Data System (ADS)
Baghapour, Sh.; Parvin, P.; Reyhani, A.; Mortazavi, S. Z.; Mokhtari, S.; Amjadi, A.
2014-08-01
The renal capsule tissue of lamb was irradiated with ultraviolet-C light and the treated samples were analyzed by uniaxial tensile test, dynamic mechanical analysis, attenuated total reflectance Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy and contact angle measurements. It was shown that the skin cross-linking is dominant in low doses in accordance with the contact angle assessment. Conversely, the strong bulk degradation takes place at high doses. Similarly, the bulk cross-linking affects the mechanical tests as to enhance the stiffness at low doses, whereas strong degradation occurs at high doses that mainly arises from the strong bulk chain scission.
State-specific transport properties of electronically excited Ar and C
NASA Astrophysics Data System (ADS)
Istomin, V. A.; Kustova, E. V.
2018-05-01
In the present study, a theoretical model of state-resolved transport properties in electronically excited atomic species developed earlier is applied to argon and carbon atomic species. It is shown that for Ar and C, similarly to the case of atomic nitrogen and oxygen, the Slater-like models can be applied to calculate diameters of electronically excited atoms. Using the Slater-like model it is shown that for half-filled N (2 px1py1pz1) and full-filled Ar (3 px2py2pz2) electronic shells the growth of atomic radius goes slowly compared to C (2 px1py1) and O (2 px2py1pz1). The effect of collision diameters on the transport properties of Ar and C is evaluated. The influence of accounted number of electronic levels on the transport coefficients is examined for the case of Boltzmann distributions over electronic energy levels. It is emphasized that in the temperature range 1000-14000 K, for Boltzmann-like distributions over electronic states the number of accounted electronic levels do not influence the transport coefficients. Contrary to this, for higher temperatures T > 14000 K this effect becomes of importance, especially for argon.
ULTRAVIOLET HALOS AROUND SPIRAL GALAXIES. I. MORPHOLOGY
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hodges-Kluck, Edmund; Cafmeyer, Julian; Bregman, Joel N., E-mail: hodgeskl@umich.edu
2016-12-10
We examine ultraviolet halos around a sample of highly inclined galaxies within 25 Mpc to measure their morphology and luminosity. Despite contamination from galactic light scattered into the wings of the point-spread function, we find that ultraviolet (UV) halos occur around each galaxy in our sample. Around most galaxies the halos form a thick, diffuse disk-like structure, but starburst galaxies with galactic superwinds have qualitatively different halos that are more extensive and have filamentary structure. The spatial coincidence of the UV halos above star-forming regions, the lack of consistent association with outflows or extraplanar ionized gas, and the strong correlationmore » between the halo and galaxy UV luminosity suggest that the UV light is an extragalactic reflection nebula. UV halos may thus represent 10{sup 6}–10{sup 7} M {sub ⊙} of dust within 2–10 kpc of the disk, whose properties may change with height in starburst galaxies.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yamaguchi, Hisato; Ogawa, Shuichi; Watanabe, Daiki
We report valence band electronic structure evolution of graphene oxide (GO) upon its thermal reduction. Degree of oxygen functionalization was controlled by annealing temperatures, and an electronic structure evolution was monitored using real-time ultraviolet photoelectron spectroscopy. We observed a drastic increase in density of states around the Fermi level upon thermal annealing at ~600 °C. The result indicates that while there is an apparent band gap for GO prior to a thermal reduction, the gap closes after an annealing around that temperature. This trend of band gap closure was correlated with electrical, chemical, and structural properties to determine a setmore » of GO material properties that is optimal for optoelectronics. The results revealed that annealing at a temperature of ~500 °C leads to the desired properties, demonstrated by a uniform and an order of magnitude enhanced photocurrent map of an individual GO sheet compared to as-synthesized counterpart.« less
Yamaguchi, Hisato; Ogawa, Shuichi; Watanabe, Daiki; ...
2016-09-01
We report valence band electronic structure evolution of graphene oxide (GO) upon its thermal reduction. Degree of oxygen functionalization was controlled by annealing temperatures, and an electronic structure evolution was monitored using real-time ultraviolet photoelectron spectroscopy. We observed a drastic increase in density of states around the Fermi level upon thermal annealing at ~600 °C. The result indicates that while there is an apparent band gap for GO prior to a thermal reduction, the gap closes after an annealing around that temperature. This trend of band gap closure was correlated with electrical, chemical, and structural properties to determine a setmore » of GO material properties that is optimal for optoelectronics. The results revealed that annealing at a temperature of ~500 °C leads to the desired properties, demonstrated by a uniform and an order of magnitude enhanced photocurrent map of an individual GO sheet compared to as-synthesized counterpart.« less
Electronic properties of T graphene-like C-BN sheets: A density functional theory study
NASA Astrophysics Data System (ADS)
Majidi, R.
2015-11-01
We have used density functional theory to study the electronic properties of T graphene-like C, C-BN and BN sheets. The planar T graphene with metallic property has been considered. The results show that the presence of BN has a considerable effect on the electronic properties of T graphene. The T graphene-like C-BN and BN sheets show semiconducting properties. The energy band gap is increased by enhancing the number of BN units. The possibility of opening and controlling band gap opens the door for T graphene in switchable electronic devices.
Structure and Electronic Properties of Crystalline and Amorphous Zinc Indium Tin Oxide Thin Films
NASA Astrophysics Data System (ADS)
Proffit, Diana Elizabeth
The local structures and surface electronic properties of crystalline (c-) and amorphous (a-) Zn and Sn codoped In2O3 (ZITO) films were studied. X-ray absorption spectroscopy (XAS) measurements confirm that Zn and Sn dopants occupy In sites in the bixbyite structure of c-ZITO. Also, Zn dopants are generally under-coordinated and some compensated Sn dopants are over-coordinated, as demonstrated by the trend in coordination numbers (CN) of CNSn>CNIn>CNZn. Aliovalent Sn dopants form Frank-Kostlin clusters, (2Sn•InO'' i)x , which can act as donors when reduced. XAS and anomalous X-ray scattering studies on a-ZITO show that the local structure in a-ZITO is somewhat different than that in c-ZITO, particularly around Zn. The Zn-O bond length is significantly smaller than in c-ZITO and Zn is 4-fold coordinated. The smaller coordination numbers in a-ZITO follow the same trend as in c-ZITO. Unlike in c-ZITO, variations in the Sn/Zn ratio do not alter the electrical properties of a-ZITO, although variations in deposition oxygen pressure do. The 3-D geometrical arrangement linking local structure units seems to play a key role in charge balancing ZITO. As measured by in situ grazing incidence wide angle X-ray scattering, ZITO crystallizes at a higher temperature than In2 O3 and Sn-doped In2O3. The difference is attributed to a higher activation energy, which may result from the unique structure around Zn in a-ZITO. Increasing the codoping level consistently increases crystallization temperature. For a given codoping level, the crystallization temperature during deposition is lower than that during post-deposition annealing. X-ray and ultraviolet photoelectron spectroscopy measurements show that a-ZITO and c-ZITO thin films have similar surface electronic properties. In situ a-ZITO and c-ZITO films have low ionization potentials that are similar to In2O3. However, dry-air-annealed in situ films, ex situ films, and bulk ceramics have higher ionization potentials that are
DOE Office of Scientific and Technical Information (OSTI.GOV)
Al tahtamouni, T. M., E-mail: talal@yu.edu.jo; Lin, J. Y.; Jiang, H. X.
2014-04-15
Mg-doped AlN/AlGaN superlattice (Mg-SL) and Mg-doped AlGaN epilayers have been investigated in the 284 nm deep ultraviolet (DUV) light emitting diodes (LEDs) as electron blocking layers. It was found that the use of Mg-SL improved the material quality of the p-GaN contact layer, as evidenced in the decreased density of surface pits and improved surface morphology and crystalline quality. The performance of the DUV LEDs fabricated using Mg-SL was significantly improved, as manifested by enhanced light intensity and output power, and reduced turn-on voltage. The improved performance is attributed to the enhanced blocking of electron overflow, and enhanced hole injection.
Chien, Jui-Fen; Liao, Hua-Yang; Yu, Sheng-Fu; Lin, Ray-Ming; Shiojiri, Makoto; Shyue, Jing-Jong; Chen, Miin-Jang
2013-01-23
Remote plasma in situ atomic layer doping technique was applied to prepare an n-type nitrogen-doped ZnO (n-ZnO:N) layer upon p-type magnesium-doped GaN (p-GaN:Mg) to fabricate the n-ZnO:N/p-GaN:Mg heterojuntion light-emitting diodes. The room-temperature electroluminescence exhibits a dominant ultraviolet peak at λ ≈ 370 nm from ZnO band-edge emission and suppressed luminescence from GaN, as a result of the decrease in electron concentration in ZnO and reduced electron injection from n-ZnO:N to p-GaN:Mg because of the nitrogen incorporation. The result indicates that the in situ atomic layer doping technique is an effective approach to tailoring the electrical properties of materials in device applications.
The Ultraviolet Spectrograph on NASA's Juno Mission
NASA Astrophysics Data System (ADS)
Gladstone, G. Randall; Persyn, Steven C.; Eterno, John S.; Walther, Brandon C.; Slater, David C.; Davis, Michael W.; Versteeg, Maarten H.; Persson, Kristian B.; Young, Michael K.; Dirks, Gregory J.; Sawka, Anthony O.; Tumlinson, Jessica; Sykes, Henry; Beshears, John; Rhoad, Cherie L.; Cravens, James P.; Winters, Gregory S.; Klar, Robert A.; Lockhart, Walter; Piepgrass, Benjamin M.; Greathouse, Thomas K.; Trantham, Bradley J.; Wilcox, Philip M.; Jackson, Matthew W.; Siegmund, Oswald H. W.; Vallerga, John V.; Raffanti, Rick; Martin, Adrian; Gérard, J.-C.; Grodent, Denis C.; Bonfond, Bertrand; Marquet, Benoit; Denis, François
2017-11-01
The ultraviolet spectrograph instrument on the Juno mission (Juno-UVS) is a long-slit imaging spectrograph designed to observe and characterize Jupiter's far-ultraviolet (FUV) auroral emissions. These observations will be coordinated and correlated with those from Juno's other remote sensing instruments and used to place in situ measurements made by Juno's particles and fields instruments into a global context, relating the local data with events occurring in more distant regions of Jupiter's magnetosphere. Juno-UVS is based on a series of imaging FUV spectrographs currently in flight—the two Alice instruments on the Rosetta and New Horizons missions, and the Lyman Alpha Mapping Project on the Lunar Reconnaissance Orbiter mission. However, Juno-UVS has several important modifications, including (1) a scan mirror (for targeting specific auroral features), (2) extensive shielding (for mitigation of electronics and data quality degradation by energetic particles), and (3) a cross delay line microchannel plate detector (for both faster photon counting and improved spatial resolution). This paper describes the science objectives, design, and initial performance of the Juno-UVS.
Properties of the electron cloud in a high-energy positron and electron storage ring
Harkay, K. C.; Rosenberg, R. A.
2003-03-20
Low-energy, background electrons are ubiquitous in high-energy particle accelerators. Under certain conditions, interactions between this electron cloud and the high-energy beam can give rise to numerous effects that can seriously degrade the accelerator performance. These effects range from vacuum degradation to collective beam instabilities and emittance blowup. Although electron-cloud effects were first observed two decades ago in a few proton storage rings, they have in recent years been widely observed and intensely studied in positron and proton rings. Electron-cloud diagnostics developed at the Advanced Photon Source enabled for the first time detailed, direct characterization of the electron-cloud properties in amore » positron and electron storage ring. From in situ measurements of the electron flux and energy distribution at the vacuum chamber wall, electron-cloud production mechanisms and details of the beam-cloud interaction can be inferred. A significant longitudinal variation of the electron cloud is also observed, due primarily to geometrical details of the vacuum chamber. Furthermore, such experimental data can be used to provide realistic limits on key input parameters in modeling efforts, leading ultimately to greater confidence in predicting electron-cloud effects in future accelerators.« less
Electron beam technology for modifying the functional properties of maize starch
NASA Astrophysics Data System (ADS)
Nemţanu, M. R.; Minea, R.; Kahraman, K.; Koksel, H.; Ng, P. K. W.; Popescu, M. I.; Mitru, E.
2007-09-01
Maize starch is a versatile biopolymer with a wide field of applications (e.g. foods, pharmaceutical products, adhesives, etc.). Nowadays there is a continuous and intensive search for new methods and techniques to modify its functional properties due to the fact that native form of starch may exhibit some disadvantages in certain applications. Radiation technology is frequently used to change the properties of different polymeric materials. Thus, the goal of the work is to discuss the application of accelerated electron beams on maize starch in the view of changing some of its functional properties. Maize starch has been irradiated with doses up to 52.15 kGy by using electron beam technology and the modifications of differential scanning calorimetry (DSC) and pasting characteristics, paste clarity, freezing and thawing stability as well as colorimetric characteristics have been investigated. The results of the study revealed that the measured properties can be modified by electron beam treatment and, therefore, this method can be an efficient and ecological alternative to obtain modified maize starch.
NASA Astrophysics Data System (ADS)
Hassan, M.; Arshad, I.; Mahmood, Q.
2017-11-01
We report the structural, electronic, optical and thermoelectric (TE) properties of X3PbO (X = Ca, Sr, Ba) anti-perovskites as a function of X cations belonging to the group IIA. The computations are done by using the most recently introduced modified Becke-Johnson potential. It has been observed that the cubic lattice constant increases as the cations change from Ca to Ba, consequently, the bulk modulus reduces. The bottom of conduction band shows strong hybridization between Pb-6p, O-2p and X-s states, in contrast, valence band maxima are mainly manufactured by Pb-6p states. The anti-perovskites exhibit narrow direct band gap that show an inverse relation to the static real dielectric constants that verifies Penn’s model. In addition, the X cations induced tuning of the absorption edge in the visible and the ultraviolet energy suggest optical device applications. The computed TE parameters have been found sensitive to the X cations and have been demonstrated to be best suited for the TE devices operating at high temperatures.
Electronic properties of high-temperature superconductors
NASA Astrophysics Data System (ADS)
Richert, Brent Armand
1989-08-01
A semiempirical tight-binding model was developed for the electronic energy bands, the local and total densities of states, and the atomic valences in the high temperature superconductors La(1.85)Sr(0.15)CuO4, YBaCu307, Bi2Sr2CuO6, Bi2CaSr2Cu2O8, Tl2Ba2CuO6, Tl2CaBa2Cu2O8, Tl2Ca2Ba2Cu3O10, TlCa3Ba2Cu4O11, BaPb(0.75)Bi(0.25)O3, and Ba(0.6)K(0.4)BiO3. Calculations of the changes in electronic properties associated with atomic substitutions in YBa2Cu3O7, Bi2CaSr2Cu2O8, and Tl2CaBa2Cu2O8 give results in agreement with expected chemical trends and consistent with observed changes in the superconducting properties. For example, substitution of Lead for Bismuth in BiMCaSr2Cu2O8 increases the concentration of hole carriers within the CuO2 planes. Similarly, doping with Mercury or Pb in TlMCaBa2Cu2O8 also affects the carrier concentration, with Hg creating holes and Pb destroying them. Oxygen vacancies in both La(1.85)Sr(0.15)CuO(4-y) and YBa2Cu3O(7-y) act as electron donors. This is consistent with the observations that oxygen vacancies degrade the superconductivity and metallic conductivity in these materials. Lanthanum vacancies in La2-xCuO4 donate holes, giving the same electronic effect as doping with divalent metal atoms or excess oxygen initially stoichiometric La2CuO4. A specific excitonic mechanism for high temperature superconductivity is proposed which requires insulating metal oxide layers adjacent to the superconducting planes.
NASA Astrophysics Data System (ADS)
Tseng, Shih-Feng; Hsiao, Wen-Tse; Chiang, Donyau; Huang, Kuo-Cheng; Chou, Chang-Pin
2011-06-01
The fluorine-doped tin oxide (FTO) thin film deposited on a soda-lime glass substrate was annealed by a defocus ultraviolet (UV) laser irradiation at ambient temperature. The mechanical and optoelectric properties of FTO films annealed by using the various laser processing parameters were reported. After the FTO films were subjected to laser post-annealing, the microhardness were slightly less but the reduced modulus values were larger than that of unannealed FTO films, respectively. The average optical transmittance in the visible waveband slightly increased with increasing the laser annealing energy and scan speed. Moreover, all the sheet resistance of laser annealed films was less than that of the unannealed ones. We found that the sheet resistance decrease was obviously influenced by annealing. The suitable annealing conditions could maintain the film thickness and relief the internal stress generated in the film preparation process to improve the electrical conductivity via decreasing laser energy or increasing scan speed.
Two normal incidence collimators designed for the calibration of the extreme ultraviolet explorer
NASA Technical Reports Server (NTRS)
Jelinsky, Sharon R.; Welsh, Barry; Jelinsky, Patrick; Spiller, Eberhard
1988-01-01
Two Dall-Kirkham, normal incidence collimators have been designed to calibrate the imaging properties of the Extreme Ultraviolet Explorer over the wavelength region from 114 to 2000 A. The mirrors of the short-wavelength, 25-cm diameter collimator are superpolished Zerodur which have been multilayer coated for optimal reflectivity at 114 A. The mirrors of the long-wavelength, 41.25-cm diameter collimator are gold coated Zerodur for high reflectance above 300 A. The design, performance, and future use of these collimators in the extreme ultra-violet is discussed.
NASA Astrophysics Data System (ADS)
Shugani, Mani; Aynyas, Mahendra; Sanyal, S. P.
2018-05-01
We present a structural, Electronic and Fermi surface properties of Aluminum Praseodymium (AlPr) using First-principles density functional calculation by using full potential linearized augmented plane wave (FP-LAPW) method within generalized gradient approximation (GGA). The ground state properties along with electronic and Fermi surface properties are studied. It is found that AlPr is metallic and the bonding between Al and Pr is covalent.
Structural and electronic properties of double-walled boron nitride nanocones
NASA Astrophysics Data System (ADS)
Brito, E.; Silva, T. S.; Guerra, T.; Leite, L.; Azevedo, S.; Freitas, A.; Kaschny, J. R.
2018-01-01
First principles calculations were applied to study the structural and electronic properties of different configurations of double-walled boron nitride nanocones with a disclination angle of 60°. The analysis includes different rotation angles, distance between apexes, as well as distinct types of antiphase boundaries. The calculations indicate that the non-rotated configuration of double-walled nanocone with a defective line composed by C and N atoms, forming C-N bonds, is the most stable configuration. It was found that the yam angle, apexes distance and defective line composition present significant influence on the electronic properties of such structures. Moreover, analyzing the spin charge density, for the electronic states near the Fermi level, it was also found that the configuration with a defective line containing C atoms presents a net magnetic moment.
Enhanced performance of perovskite solar cells by ultraviolet-ozone treatment of mesoporous TiO2
NASA Astrophysics Data System (ADS)
Wang, Zengze; Fang, Jin; Mi, Yang; Zhu, Xiaoyang; Ren, He; Liu, Xinfeng; Yan, Yong
2018-04-01
The performance of a semiconductor electronic or photonic device depends greatly on the properties of the interface. In a typical perovskite solar cell (PSC), the interface between electron transport layer (ETL) and perovskites is found to significantly influence the power conversion efficiency (PCE). Herein, Ultraviolet-ozone (UVO) treatment, a technique commonly used to clean a device substrate, is applied on ETL, specially, mesoporous/compact TiO2 layer. This treatment increases the conductivity of ETL and removes the residual organics at the surface. Consequently, an improved interface between mesoporous TiO2 and perovskite is achieved to enhance the performance of PSC. For example, the fill factor (FF) increases by ∼13%, the short-circuit current density (Jsc) and open-circuit voltage (Voc) increase by ∼2%, and the PCE finally enhances by ∼20% with 15 min of UVO treatment. With this method, the PCE of the best cell reaches to 20.43% under the illumination of AM 1.5 (100 mW cm-2) simulated sunlight.
Electronic and optical properties of Si and Ge nanocrystals: An ab initio study
NASA Astrophysics Data System (ADS)
Pulci, Olivia; Degoli, Elena; Iori, Federico; Marsili, Margherita; Palummo, Maurizia; Del Sole, Rodolfo; Ossicini, Stefano
2010-01-01
First-principles calculations within density functional theory and many-body perturbation theory have been carried out in order to investigate the structural, electronic and optical properties of undoped and doped silicon nanostructures. We consider Si nanoclusters co-doped with B and P. We find that the electronic band gap is reduced with respect to that of the undoped crystals, suggesting the possibility of impurity based engineering of electronic and optical properties of Si nanocrystals. Finally, motivated by recent suggestions concerning the chance of exploiting Ge dots for photovoltaic nanodevices, we present calculations of the electronic and optical properties of a Ge 35H 36 nanocrystal, and compare the results with those for the corresponding Si 35H 36 nanocrystals and the co-doped Si 33BPH 36.
Extreme Ultraviolet Explorer. Long look at the next window
NASA Technical Reports Server (NTRS)
Maran, Stephen P.
1991-01-01
The Extreme Ultraviolet Explorer (EUVE) will map the entire sky to determine the existence, direction, brightness, and temperature of thousands of objects that are sources of so-called extreme ultraviolet (EUV) radiation. The EUV spectral region is located between the x-ray and ultraviolet regions of the electromagnetic spectrum. From the sky survey by EUVE, astronomers will determine the nature of sources of EUV light in our galaxy, and infer the distribution of interstellar gas for hundreds of light years around the solar system. It is from this gas and the accompanying dust in space that new stars and solar systems are born and to which evolving and dying stars return much of their material in an endless cosmic cycle of birth, death, and rebirth. Besides surveying the sky, astronomers will make detailed studies of selected objects with EUVE to determine their physical properties and chemical compositions. Also, they will learn about the conditions that prevail and the processes at work in stars, planets, and other sources of EUV radiation, maybe even quasars. The EUVE mission and instruments are described. The objects that EUVE will likely find are described.
Bright high-repetition-rate source of narrowband extreme-ultraviolet harmonics beyond 22 eV
Wang, He; Xu, Yiming; Ulonska, Stefan; Robinson, Joseph S.; Ranitovic, Predrag; Kaindl, Robert A.
2015-01-01
Novel table-top sources of extreme-ultraviolet light based on high-harmonic generation yield unique insight into the fundamental properties of molecules, nanomaterials or correlated solids, and enable advanced applications in imaging or metrology. Extending high-harmonic generation to high repetition rates portends great experimental benefits, yet efficient extreme-ultraviolet conversion of correspondingly weak driving pulses is challenging. Here, we demonstrate a highly-efficient source of femtosecond extreme-ultraviolet pulses at 50-kHz repetition rate, utilizing the ultraviolet second-harmonic focused tightly into Kr gas. In this cascaded scheme, a photon flux beyond ≈3 × 1013 s−1 is generated at 22.3 eV, with 5 × 10−5 conversion efficiency that surpasses similar harmonics directly driven by the fundamental by two orders-of-magnitude. The enhancement arises from both wavelength scaling of the atomic dipole and improved spatio-temporal phase matching, confirmed by simulations. Spectral isolation of a single 72-meV-wide harmonic renders this bright, 50-kHz extreme-ultraviolet source a powerful tool for ultrafast photoemission, nanoscale imaging and other applications. PMID:26067922
NASA Astrophysics Data System (ADS)
Marchetti, Barbara; Karsili, Tolga N. V.; Cipriani, Maicol; Hansen, Christopher S.; Ashfold, Michael N. R.
2017-07-01
The near ultraviolet spectroscopy and photodissociation dynamics of two families of asymmetrically substituted thiophenols (2- and 3-YPhSH, with Y = F and Me) have been investigated experimentally (by H (Rydberg) atom photofragment translational spectroscopy) and by ab initio electronic structure calculations. Photoexcitation in all cases populates the 11ππ* and/or 11πσ* excited states and results in S-H bond fission. Analyses of the experimentally obtained total kinetic energy release (TKER) spectra yield the respective parent S-H bond strengths, estimates of ΔE(A ˜ -X ˜ ), the energy splitting between the ground (X ˜ ) and first excited (A ˜ ) states of the resulting 2-(3-)YPhS radicals, and reveal a clear propensity for excitation of the C-S in-plane bending vibration in the radical products. The companion theory highlights roles for both geometric (e.g., steric effects and intramolecular H-bonding) and electronic (i.e., π (resonance) and σ (inductive)) effects in determining the respective parent minimum energy geometries, and the observed substituent and position-dependent trends in S-H bond strength and ΔE(A ˜ -X ˜ ). 2-FPhSH shows some clear spectroscopic and photophysical differences. Intramolecular H-bonding ensures that most 2-FPhSH molecules exist as the syn rotamer, for which the electronic structure calculations return a substantial barrier to tunnelling from the photoexcited 11ππ* state to the 11πσ* continuum. The 11ππ* ← S0 excitation spectrum of syn-2-FPhSH thus exhibits resolved vibronic structure, enabling photolysis studies with a greater parent state selectivity. Structure apparent in the TKER spectrum of the H + 2-FPhS products formed when exciting at the 11ππ* ← S0 origin is interpreted by assuming unintended photoexcitation of an overlapping resonance associated with syn-2-FPhSH(v33 = 1) molecules. The present data offer tantalising hints that such out-of-plane motion influences non-adiabatic coupling in the vicinity
Duan, H. Diessel; Lubner, Carolyn E.; Tokmina-Lukaszewska, Monika; ...
2018-02-09
A newly-recognized third fundamental mechanism of energy conservation in biology, electron bifurcation, uses free energy from exergonic redox reactions to drive endergonic redox reactions. Flavin-based electron bifurcation furnishes low potential electrons to demanding chemical reactions such as reduction of dinitrogen to ammonia. We employed the heterodimeric flavoenzyme FixAB from the diazotrophic bacterium Rhodopseudomonas palustris to elucidate unique properties that underpin flavin-based electron bifurcation.
A guide to the design of electronic properties of graphene nanoribbons.
Yazyev, Oleg V
2013-10-15
Graphene nanoribbons (GNRs) are one-dimensional nanostructures predicted to display a rich variety of electronic behaviors. Depending on their structure, GNRs realize metallic and semiconducting electronic structures with band gaps that can be tuned across broad ranges. Certain GNRs also exhibit a peculiar gapped magnetic phase for which the half-metallic state can be induced as well as the topologically nontrivial quantum spin Hall electronic phase. Because their electronic properties are highly tunable, GNRs have quickly become a popular subject of research toward the design of graphene-based nanostructures for technological applications. This Account presents a pedagogical overview of the various degrees of freedom in the atomic structure and interactions that researchers can use to tailor the electronic structure of these materials. The Account provides a broad picture of relevant physical concepts that would facilitate the rational design of GNRs with desired electronic properties through synthetic techniques. We start by discussing a generic model of zigzag GNR within the tight-binding model framework. We then explain how different modifications and extensions of the basic model affect the electronic band structures of GNRs. We classify the modifications based on the following categories: (1) electron-electron and spin-orbit interactions, (2) GNR configuration, which includes width and the crystallographic orientation of the nanoribbon (chirality), and (3) the local structure of the edge. We subdivide this last category into two groups: the effects of the termination of the π-electron system and the variations of electrostatic potential at the edge. This overview of the structure-property relationships provides a view of the many different electronic properties that GNRs can realize. The second part of this Account reviews three recent experimental methods for the synthesis of structurally well-defined GNRs. We describe a family of techniques that use
Optical and electronic properties of self-assembled nanoparticle-ligand metasurfaces
NASA Astrophysics Data System (ADS)
Fontana, Jake; Livenere, John; Caldwell, Joshua; Spillmann, Christopher; Naciri, Jawad; Rendell, Ronald; Ratna, Banahalli
2013-03-01
The optical and electronic properties of inorganic nanoparticles organized into two-dimensional lattices sensitively depend on the properties of the organic ligand shell coating the nanoparticles. We study the optical and electronic properties of these two-dimensional metasurfaces consisting of gold nanoparticles functionalized with ligands and self-assembled into macroscopic monolayers on non-templated substrates. Using these metasurfaces we demonstrate an average surface-enhanced Raman scattering (SERS) enhancement factor on the order of 108 for benzenethiol ligands and study the mechanisms that influence the enhancement. These metasurfaces may provide a platform for the development of low-power, low-cost next-generation chem/bio-sensors and new insights into the organic-inorganic interface at the nanoscale. This work was supported with funding provided from the Office of Naval Research
Electronic, thermoelectric and transport properties of cesium cadmium trifluoride: A DFT study
NASA Astrophysics Data System (ADS)
Abraham, Jisha Annie; Pagare, G.; Sanyal, Sankar P.
2018-04-01
The full potential linearized augmented plane wave method based on density functional theory is employed to investigate the electronic structure of CsCdF3. The electronic properties of this compound have been studied from the band structure plot and density of states. The presence of indirect energy gap reveals its insulating nature. Using constant relaxation time, the electrical conductivity, electronic thermal conductivity, Seebeck coefficient and figure of merit are calculated by using Boltzmann transport theory. We have also studied the temperature dependence of thermoelectric properties of this compound.
Key issues of ultraviolet radiation of OH at high altitudes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Yuhuai; Wan, Tian; Jiang, Jianzheng
2014-12-09
Ultraviolet (UV) emissions radiated by hydroxyl (OH) is one of the fundamental elements in the prediction of radiation signature of high-altitude and high-speed vehicle. In this work, the OH A{sup 2}Σ{sup +}→X{sup 2}Π ultraviolet emission band behind the bow shock is computed under the experimental condition of the second bow-shock ultraviolet flight (BSUV-2). Four related key issues are discussed, namely, the source of hydrogen element in the high-altitude atmosphere, the formation mechanism of OH species, efficient computational algorithm of trace species in rarefied flows, and accurate calculation of OH emission spectra. Firstly, by analyzing the typical atmospheric model, the verticalmore » distributions of the number densities of different species containing hydrogen element are given. According to the different dominating species containing hydrogen element, the atmosphere is divided into three zones, and the formation mechanism of OH species is analyzed in the different zones. The direct simulation Monte Carlo (DSMC) method and the Navier-Stokes equations are employed to compute the number densities of the different OH electronically and vibrationally excited states. Different to the previous work, the trace species separation (TSS) algorithm is applied twice in order to accurately calculate the densities of OH species and its excited states. Using a non-equilibrium radiation model, the OH ultraviolet emission spectra and intensity at different altitudes are computed, and good agreement is obtained with the flight measured data.« less
Key issues of ultraviolet radiation of OH at high altitudes
NASA Astrophysics Data System (ADS)
Zhang, Yuhuai; Wan, Tian; Jiang, Jianzheng; Fan, Jing
2014-12-01
Ultraviolet (UV) emissions radiated by hydroxyl (OH) is one of the fundamental elements in the prediction of radiation signature of high-altitude and high-speed vehicle. In this work, the OH A2Σ+→ X2Π ultraviolet emission band behind the bow shock is computed under the experimental condition of the second bow-shock ultraviolet flight (BSUV-2). Four related key issues are discussed, namely, the source of hydrogen element in the high-altitude atmosphere, the formation mechanism of OH species, efficient computational algorithm of trace species in rarefied flows, and accurate calculation of OH emission spectra. Firstly, by analyzing the typical atmospheric model, the vertical distributions of the number densities of different species containing hydrogen element are given. According to the different dominating species containing hydrogen element, the atmosphere is divided into three zones, and the formation mechanism of OH species is analyzed in the different zones. The direct simulation Monte Carlo (DSMC) method and the Navier-Stokes equations are employed to compute the number densities of the different OH electronically and vibrationally excited states. Different to the previous work, the trace species separation (TSS) algorithm is applied twice in order to accurately calculate the densities of OH species and its excited states. Using a non-equilibrium radiation model, the OH ultraviolet emission spectra and intensity at different altitudes are computed, and good agreement is obtained with the flight measured data.
Investigation of the electronic, magnetic and optical properties of newest carbon allotrope
NASA Astrophysics Data System (ADS)
Kazemi, Samira; Moradian, Rostam
2018-05-01
We investigate triple properties of monolayer pentagon graphene that include electronic, magnetic and optical properties based on density functional theory (DFT). Our results show that in the electronic and magnetic properties this structure with a direct energy gap of about 2.2 eV along Γ - Γ direction and total magnetic moment of 0.0013 μB per unit cell is almost a non-magnetic semiconductor. Also, its optical properties show that if this allotrope used in solar cell technology, its efficiency in the low energy will be better, because, in the range of energy, its loss energy function and reflectivity will be minimum.
SSUSI-Lite: a far-ultraviolet hyper-spectral imager for space weather remote sensing
NASA Astrophysics Data System (ADS)
Ogorzalek, Bernard; Osterman, Steven; Carlsson, Uno; Grey, Matthew; Hicks, John; Hourani, Ramsey; Kerem, Samuel; Marcotte, Kathryn; Parker, Charles; Paxton, Larry J.
2015-09-01
SSUSI-Lite is a far-ultraviolet (115-180nm) hyperspectral imager for monitoring space weather. The SSUSI and GUVI sensors, its predecessors, have demonstrated their value as space weather monitors. SSUSI-Lite is a refresh of the Special Sensor Ultraviolet Spectrographic Imager (SSUSI) design that has flown on the Defense Meteorological Satellite Program (DMSP) spacecraft F16 through F19. The refresh updates the 25-year-old design and insures that the next generation of SSUSI/GUVI sensors can be accommodated on any number of potential platforms. SSUSI-Lite maintains the same optical layout as SSUSI, includes updates to key functional elements, and reduces the sensor volume, mass, and power requirements. SSUSI-Lite contains an improved scanner design that results in precise mirror pointing and allows for variable scan profiles. The detector electronics have been redesigned to employ all digital pulse processing. The largest decrease in volume, mass, and power has been obtained by consolidating all control and power electronics into one data processing unit.
Detection of latent fingerprints by ultraviolet spectral imaging
NASA Astrophysics Data System (ADS)
Huang, Wei; Xu, Xiaojing; Wang, Guiqiang
2013-12-01
Spectral imaging technology research is becoming more popular in the field of forensic science. Ultraviolet spectral imaging technology is an especial part of the full spectrum of imaging technology. This paper finished the experiment contents of the ultraviolet spectrum imaging method and image acquisition system based on ultraviolet spectral imaging technology. Ultraviolet spectral imaging experiments explores a wide variety of ultraviolet reflectance spectra of the object material curve and its ultraviolet spectrum of imaging modalities, can not only gives a reference for choosing ultraviolet wavelength to show the object surface potential traces of substances, but also gives important data for the ultraviolet spectrum of imaging technology development.
Electrons on a spherical surface: Physical properties and hollow spherical clusters
NASA Astrophysics Data System (ADS)
Cricchio, Dario; Fiordilino, Emilio; Persico, Franco
2012-07-01
We discuss the physical properties of a noninteracting electron gas constrained to a spherical surface. In particular we consider its chemical potentials, its ionization potential, and its electric static polarizability. All these properties are discussed analytically as functions of the number N of electrons. The trends obtained with increasing N are compared with those of the corresponding properties experimentally measured or theoretically evaluated for quasispherical hollow atomic and molecular clusters. Most of the properties investigated display similar trends, characterized by a prominence of shell effects. This leads to the definition of a scale-invariant distribution of magic numbers which follows a power law with critical exponent -0.5. We conclude that our completely mechanistic and analytically tractable model can be useful for the analysis of self-assembling complex systems.
Designing electronic properties of two-dimensional crystals through optimization of deformations
NASA Astrophysics Data System (ADS)
Jones, Gareth W.; Pereira, Vitor M.
2014-09-01
One of the enticing features common to most of the two-dimensional (2D) electronic systems that, in the wake of (and in parallel with) graphene, are currently at the forefront of materials science research is the ability to easily introduce a combination of planar deformations and bending in the system. Since the electronic properties are ultimately determined by the details of atomic orbital overlap, such mechanical manipulations translate into modified (or, at least, perturbed) electronic properties. Here, we present a general-purpose optimization framework for tailoring physical properties of 2D electronic systems by manipulating the state of local strain, allowing a one-step route from their design to experimental implementation. A definite example, chosen for its relevance in light of current experiments in graphene nanostructures, is the optimization of the experimental parameters that generate a prescribed spatial profile of pseudomagnetic fields (PMFs) in graphene. But the method is general enough to accommodate a multitude of possible experimental parameters and conditions whereby deformations can be imparted to the graphene lattice, and complies, by design, with graphene's elastic equilibrium and elastic compatibility constraints. As a result, it efficiently answers the inverse problem of determining the optimal values of a set of external or control parameters (such as substrate topography, sample shape, load distribution, etc) that result in a graphene deformation whose associated PMF profile best matches a prescribed target. The ability to address this inverse problem in an expedited way is one key step for practical implementations of the concept of 2D systems with electronic properties strain-engineered to order. The general-purpose nature of this calculation strategy means that it can be easily applied to the optimization of other relevant physical quantities which directly depend on the local strain field, not just in graphene but in other 2D
Transmitting and reflecting diffuser. [for ultraviolet light
NASA Technical Reports Server (NTRS)
Keafer, L. S., Jr.; Burcher, E. E.; Kopia, L. P. (Inventor)
1973-01-01
A near-Lambertian diffuser is described which transmits and reflects ultraviolet light. An ultraviolet grade fused silica substrate is coated with vaporized fuse silica. The coating thickness is controlled, one thickness causing ultraviolet light to diffuse and another thickness causing ultraviolet light to reflect a near Lambertian pattern.
NASA Astrophysics Data System (ADS)
Russell, Ashley; Laubscher, Andrea; Jimenez-Flores, Rafael; Laiho, Lily H.
2010-02-01
Current research on bioactive molecules in milk has documented health advantages of bovine milk and its components. Milk Phospholipids, selected for this study, represent molecules with great potential benefit in human health and nutrition. In this study we used confocal reflectance and multiphoton microscopy to monitor changes in skin morphology upon skin exposure to ultraviolet light and evaluate the potential of milk phospholipids in preventing photodamage to skin equivalent models. The results suggest that milk phospholipids act upon skin cells in a protective manner against the effect of ultraviolet (UV) radiation. Similar results were obtained from MTT tissue viability assay and histology.
Mechanical and electronic properties of Janus monolayer transition metal dichalcogenides
NASA Astrophysics Data System (ADS)
Shi, Wenwu; Wang, Zhiguo
2018-05-01
The mechanical and electronic properties of Janus monolayer transition metal dichalcogenides MXY (M = Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W; X/Y = S, Se, Te) were investigated using density functional theory. Results show that breaking the out-of-plane structural symmetry can be used to tune the electronic and mechanical behavior of monolayer transition metal dichalcogenides. The band gaps of monolayer WXY and MoXY are in the ranges of 0.16–1.91 and 0.94–1.69 eV, respectively. A semiconductor to metallic phase transition occurred in Janus monolayer MXY (M = Ti, Zr and Hf). The monolayers MXY (M = V, Nb, Ta and Cr) show metallic characteristics, which show no dependence on the structural symmetry breaking. The mechanical properties of MXY depended on the composition. Monolayer MXY (M = Mo, Ti, Zr, Hf and W) showed brittle characteristic, whereas monolayer CrXY and VXY are with ductile characteristic. The in-plane stiffness of pristine and Janus monolayer MXY are in the range between 22 and 158 N m‑1. The tunable electronic and mechanical properties of these 2D materials would advance the development of ultra-sensitive detectors, nanogenerators, low-power electronics, and energy harvesting and electromechanical systems.
Electronic properties and mechanical strength of β-phosphorene nano-ribbons
DOE Office of Scientific and Technical Information (OSTI.GOV)
Swaroop, Ram; Bhatia, Pradeep; Kumar, Ashok, E-mail: ashok@cup.ac.in
We have performed first principles calculations to find out the effect of mechanical strain on the electronic properties of zig-zag edged nano ribbons of β-phosphorene. It is found that electronic band-gap get opened-up to 2.61 eV by passivation of the edges of ribbons. Similarly, the mechanical strength is found to be increase from 1.75 GPa to 2.65 GPa on going from unpassivated nano ribbons to passivated ones along with the 2% increase in ultimate tensile strain. The band-gap value of passivated ribbon gets decreased to 0.43 eV on applying strain up to which the ribbon does not break. These tunable properties ofmore » β-phospherene with passivation with H-atom and applying mechanical strain offer its use in tunable nano electronics.« less
Electronic properties of new topological quantum materials
NASA Astrophysics Data System (ADS)
Kaminski, Adam
Topological materials are characterized by the presence of nontrivial quantum electronic states, where often the electron spin is locked to its momentum. This opens up the possibility for developing new devices in which information is processed or stored by means of spin rather than charge. In this talk we will discuss the electronic properties of several of newly discovered topological quantum materials. In WTe2 we have observed a topological transition involving a change of the Fermi surface topology (known as a Lifshitz transition) driven by temperature. The strong temperature-dependence of the chemical potential that is at the heart of this phenomenon is also important for understanding the thermoelectric properties of such semimetals. Both WTe2 and MoTe2 were proposed to host type II Weyl semimetalic state. Indeed our data provides first experimental confirmation of such state in both of these materials. We will also present evidence for a new topological state in PtSn4 where pairs of extended Dirac node arcs rather are present rather than Dirac points, that is so far not understood theoretically. Our research opens up new directions on enhancing topological responsiveness of new quantum materials. This work was supported by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, Materials Science and Engineering Division (ARPES measurements), Center for Emergent Materials, an NSF MRSEC, under Grant DMR-1420451 (theory and data anal.
Otoni, Caio G; Avena-Bustillos, Roberto J; Chiou, Bor-Sen; Bilbao-Sainz, Cristina; Bechtel, Peter J; McHugh, Tara H
2012-09-01
Cold- and warm-water fish gelatin granules were exposed to ultraviolet-B radiation for doses up to 29.7 J/cm(2). Solutions and films were prepared from the granules. Gel electrophoresis and refractive index were used to examine changes in molecular weight of the samples. Also, the gel strength and rheological properties of the solutions as well as the tensile and water vapor barrier properties of the films were characterized. SDS-PAGE and refractive index results indicated cross-linking of gelatin chains after exposure to radiation. Interestingly, UV-B treated samples displayed higher gel strengths, with cold- and warm-water fish gelatin having gel strength increases from 1.39 to 2.11 N and from 7.15 to 8.34 N, respectively. In addition, both gelatin samples exhibited an increase in viscosity for higher UV doses. For gelatin films, the cold-water fish gelatin samples made from irradiated granules showed greater tensile strength. In comparison, the warm-water gelatin films made from irradiated granules had lower tensile strength, but better water vapor barrier properties. This might be due to the UV induced cross-linking in warm-water gelatin that disrupted helical structures. Journal of Food Science copy; 2012 Institute of Food Technologists® No claim to original US government works.
ASASSN-15LH: A SUPERLUMINOUS ULTRAVIOLET REBRIGHTENING OBSERVED BY SWIFT AND HUBBLE
DOE Office of Scientific and Technical Information (OSTI.GOV)
Brown, Peter J.; Yang, Yi; Wang, Lifan
2016-09-01
We present and discuss ultraviolet and optical photometry from the Ultraviolet/Optical Telescope, X-ray limits from the X-Ray Telescope on Swift, and imaging polarimetry and ultraviolet/optical spectroscopy with the Hubble Space Telescope , all from observations of ASASSN-15lh. It has been classified as a hydrogen-poor superluminous supernova (SLSN I), making it more luminous than any other supernova observed. ASASSN-15lh is not detected in the X-rays in individual or co-added observations. From the polarimetry we determine that the explosion was only mildly asymmetric. We find the flux of ASASSN-15lh to increase strongly into the ultraviolet, with an ultraviolet luminosity 100 times greatermore » than the hydrogen-rich, ultraviolet-bright SLSN II SN 2008es. We find that objects as bright as ASASSN-15lh are easily detectable beyond redshifts of ∼4 with the single-visit depths planned for the Large Synoptic Survey Telescope. Deep near-infrared surveys could detect such objects past a redshift of ∼20, enabling a probe of the earliest star formation. A late rebrightening—most prominent at shorter wavelengths—is seen about two months after the peak brightness, which is itself as bright as an SLSN. The ultraviolet spectra during the rebrightening are dominated by the continuum without the broad absorption or emission lines seen in SLSNe or tidal disruption events (TDEs) and the early optical spectra of ASASSN-15lh. Our spectra show no strong hydrogen emission, showing only Ly α absorption near the redshift previously found by optical absorption lines of the presumed host. The properties of ASASSN-15lh are extreme when compared to either SLSNe or TDEs.« less
The interplay of mutations and electronic properties in disease-related genes
NASA Astrophysics Data System (ADS)
Shih, Chi-Tin; Wells, Stephen A.; Hsu, Ching-Ling; Cheng, Yun-Yin; Römer, Rudolf A.
2012-02-01
Electronic properties of DNA are believed to play a crucial role in many phenomena in living organisms, for example the location of DNA lesions by base excision repair (BER) glycosylases and the regulation of tumor-suppressor genes such as p53 by detection of oxidative damage. However, the reproducible measurement and modelling of charge migration through DNA molecules at the nanometer scale remains a challenging and controversial subject even after more than a decade of intense efforts. Here we show, by analysing 162 disease-related genes from a variety of medical databases with a total of almost 20,000 observed pathogenic mutations, a significant difference in the electronic properties of the population of observed mutations compared to the set of all possible mutations. Our results have implications for the role of the electronic properties of DNA in cellular processes, and hint at the possibility of prediction, early diagnosis and detection of mutation hotspots.
ERIC Educational Resources Information Center
Brau, Charles A.
1988-01-01
Describes the use of free-electron lasers as a source of coherent radiation over a broad range of wavelengths from the far-infrared to the far-ultraviolet regions of the spectrum. Discusses some applications of these lasers, including medicine and strategic defense. (TW)
Ultraviolet weathering of photostabilized wood-flour-filled high-density polyethylene composites
Nicole M. Stark; Laurent M. Matuana
2003-01-01
Woodâplastic composites are being increasingly examined for nonstructural or semistructural building applications. As outdoor applications become more widespread, durability becomes an issue. Ultraviolet exposure can lead to photodegradation, which results in a change in appearance and/or mechanical properties. Photodegradation can be slowed through the addition of...
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chin, Chih-Hao; Chen, Sian-Cong; Liu, Meng-Chen
We recorded the infrared and ultraviolet absorption spectra of CH{sub 4}:N{sub 2} matrix samples that underwent electron bombardment during deposition in the temperature range of 10–44 K. In contrast to a previous experiment on the IR spectroscopy of electron-bombarded icy samples, methyl and azide radicals became the main products upon electron bombardment during deposition; furthermore, reduced production of nitrile species was observed for deposition at 10 and 20 K. On the other hand, for deposition above 33 K, the observed bands of the radical species (such as methyl and azide) decreased, and bands of large nitriles appeared. This observation maymore » suggest that radical species easily diffuse and recombine to form more complex molecules in solid nitrogen at higher temperatures. Further measurements of similar samples at 10–33 K in the UV region revealed the intense band of azide radicals at 272.5 nm and weak, broad, overlapping features of methyl and azide radicals in the 225–197 nm region. For deposition at 44 K, only a broad feature centered at 219.4 nm was observed, and the possible carriers of nitrile species were proposed based on the corresponding IR spectrum and theoretical predictions of excitation energy. This band is similar to the observed absorption feature of Pluto’s surface recorded by the Hubble telescope in terms of both band position and bandwidth. Our findings therefore further support the suggestion that complex nitrile species may exist on the surface of Pluto.« less
Vacancy effects on the electronic and structural properties pentacene
NASA Astrophysics Data System (ADS)
Laraib, Iflah; Janotti, Anderson
Defects in organic crystals are likely to affect charge transport in organic electronic devices. Vacancies can create lattice distortions and modify electronic states associated with the molecules in its surrounding. Spectroscopy experiments indicate that molecular vacancies trap charge carriers. Experimental characterization of individual defects is challenging and unambiguous. Here we use density functional calculations including van der Waals interactions in a supercell approach to study the single vacancy in pentacene, a prototype organic semiconductor. We determine formation energies, local lattice relaxations, and discuss how vacancies locally distort the lattice and affect the electronic properties of the host organic semiconductor.
Electronic and transport properties of BCN alloy nanoribbons
NASA Astrophysics Data System (ADS)
Darvishi Gilan, Mahdi; Chegel, Raad
2018-03-01
The dependence of the carbon (C) concentration on the electronic and transport properties of boron carbonitride (BCN) alloy nanoribbons have been investigated using surface Green's functions technique and random Hamiltonian model by considering random hopping parameters including first and second nearest neighbors. Our calculations indicate that substituting boron (nitrogen) sites with carbon atoms induces a new band close to conduction (valence) band and carbon atoms behave like a donor (acceptor) dopants. Also, while both nitrogen and boron sites are substituted randomly by carbon atoms, new bands are induced close to both valence and conduction bands. The band gap decreases with C substituting and the number of charge carriers increases in low bias voltage. Far from Fermi level in the higher range of energy, transmission coefficient and current of the system are reduced by increasing the C concentration. Based on our results, tuning the electronic and transport properties of BCN alloy nanoribbons by random carbon dopants could be applicable to design nanoelectronics devices.
Ultraviolet Spectral Irradiance Scale Comparison: 210 nm to 300 nm
Thompson, Ambler; Early, Edward A.; O’Brian, Thomas R.
1998-01-01
Comparison of the irradiances from a number of ultraviolet spectral irradiance standards, based on different physical principles, showed agreement to within their combined standard uncertainties as assigned to them by NIST. The wavelength region of the spectral irradiance comparison was from 210 nm to 300 nm. The spectral irradiance sources were: an electron storage ring, 1000 W quartz-halogen lamps, deuterium arc lamps, and a windowless argon miniarc. PMID:28009378
Telescience - Concepts and contributions to the Extreme Ultraviolet Explorer mission
NASA Technical Reports Server (NTRS)
Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.
1987-01-01
It is shown how the contradictory goals of low-cost and fast data turnaround characterizing the Extreme Ultraviolet Explorer (EUVE) mission can be achieved via the early use of telescience style transparent tools and simulations. The use of transparent tools reduces the parallel development of capability while ensuring that valuable prelaunch experience is not lost in the operations phase. Efforts made to upgrade the 'EUVE electronics' simulator are described.
Didiot, Clement; Pons, Stephane; Kierren, Bertrand; Fagot-Revurat, Yannick; Malterre, Daniel
2007-10-01
The self-organized growth of nanostructures on surfaces could offer many advantages in the development of new catalysts, electronic devices and magnetic data-storage media. The local density of electronic states on the surface at the relevant energy scale strongly influences chemical reactivity, as does the shape of the nanoparticles. The electronic properties of surfaces also influence the growth and decay of nanostructures such as dimers, chains and superlattices of atoms or noble metal islands. Controlling these properties on length scales shorter than the diffusion lengths of the electrons and spins (some tens of nanometres for metals) is a major goal in electronics and spintronics. However, to date, there have been few studies of the electronic properties of self-organized nanostructures. Here we report the self-organized growth of macroscopic superlattices of Ag or Cu nanostructures on Au vicinal surfaces, and demonstrate that the electronic properties of these systems depend on the balance between the confinement and the perturbation of the surface states caused by the steps and the nanostructures' superlattice. We also show that the local density of states can be modified in a controlled way by adjusting simple parameters such as the type of metal deposited and the degree of coverage.
β-armchair antimony nanotube: Structure, stability and electronic properties
NASA Astrophysics Data System (ADS)
Singh, Shilpa; Gupta, Sanjeev K.; Sonvane, Yogesh; Gajjar, P. N.
2018-05-01
In the present work, we have used density functional theory (DFT) to investigate the structure, stability and electronic properties of β-armchair antimony nanotube (ASbNT). We have calculated formation energy and found that β-armchair antimony nanotube (ASbNT) is energetically less stable than β-antimonene. The result shows that β-ASbNT of higher diameter are more stable than nanotubes of lower diameter while electronic band structure shows semiconducting nature of these nanotubes.
NASA Astrophysics Data System (ADS)
Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.
2014-06-01
The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.
Real-time observation of valence electron motion.
Goulielmakis, Eleftherios; Loh, Zhi-Heng; Wirth, Adrian; Santra, Robin; Rohringer, Nina; Yakovlev, Vladislav S; Zherebtsov, Sergey; Pfeifer, Thomas; Azzeer, Abdallah M; Kling, Matthias F; Leone, Stephen R; Krausz, Ferenc
2010-08-05
The superposition of quantum states drives motion on the atomic and subatomic scales, with the energy spacing of the states dictating the speed of the motion. In the case of electrons residing in the outer (valence) shells of atoms and molecules which are separated by electronvolt energies, this means that valence electron motion occurs on a subfemtosecond to few-femtosecond timescale (1 fs = 10(-15) s). In the absence of complete measurements, the motion can be characterized in terms of a complex quantity, the density matrix. Here we report an attosecond pump-probe measurement of the density matrix of valence electrons in atomic krypton ions. We generate the ions with a controlled few-cycle laser field and then probe them through the spectrally resolved absorption of an attosecond extreme-ultraviolet pulse, which allows us to observe in real time the subfemtosecond motion of valence electrons over a multifemtosecond time span. We are able to completely characterize the quantum mechanical electron motion and determine its degree of coherence in the specimen of the ensemble. Although the present study uses a simple, prototypical open system, attosecond transient absorption spectroscopy should be applicable to molecules and solid-state materials to reveal the elementary electron motions that control physical, chemical and biological properties and processes.
Measurements of Lunar Dust Charging Properties by Electron Impact
NASA Technical Reports Server (NTRS)
Abbas, Mian M.; Tankosic, Dragana; Craven, Paul D.; Schneider, Todd A.; Vaughn, Jason A.; LeClair, Andre; Spann, James F.; Norwood, Joseph K.
2009-01-01
Dust grains in the lunar environment are believed to be electrostatically charged predominantly by photoelectric emissions resulting from solar UV radiation on the dayside, and on the nightside by interaction with electrons in the solar wind plasma. In the high vacuum environment on the lunar surface with virtually no atmosphere, the positive and negative charge states of micron/submicron dust grains lead to some unusual physical and dynamical dust phenomena. Knowledge of the electrostatic charging properties of dust grains in the lunar environment is required for addressing their hazardous effect on the humans and mechanical systems. It is well recognized that the charging properties of individual small micron size dust grains are substantially different from the measurements on bulk materials. In this paper we present the results of measurements on charging of individual Apollo 11 and Apollo 17 dust grains by exposing them to mono-energetic electron beams in the 10-100 eV energy range. The charging/discharging rates of positively and negatively charged particles of approx. 0.1 to 5 micron radii are discussed in terms of the sticking efficiencies and secondary electron yields. The secondary electron emission process is found to be a complex and effective charging/discharging mechanism for incident electron energies as low as 10-25 eV, with a strong dependence on particle size. Implications of the laboratory measurements on the nature of dust grain charging in the lunar environment are discussed.
Composition dependence of mechanical property changes in electron irradiated borosilicate glasses
NASA Astrophysics Data System (ADS)
Lv, P.; Chen, L.; Duan, B. H.; Zhang, D. F.; Wang, T. S.
2017-08-01
Mechanical properties evolution of three kinds of ternary Na2O-B2O3-SiO2 (labeled as NBS) glasses induced by 1.2 MeV electrons has been investigated by nano-indentation measurements. The glass samples were prepared with different values of the molar ratio R = [Na2O]/[B2O3] (0.4, 0.75 and 1.34), while the molar ratio K = [SiO2]/[B2O3] was kept constant as 4.04. The results indicated that both the mean hardness and the reduced Young modulus were decreased as a function of electron dose and the decrements are significantly related with the glass compositions. The toughness of all these three NBS glasses was slightly improved due to electron irradiation. The mechanical properties of glass samples with greater R value tend to be less affected under electron irradiation.
Future Directions in Ultraviolet Spectroscopy
NASA Technical Reports Server (NTRS)
Sonneborn, George (Editor); Moos, Warren; VanSteenberg, Michael
2009-01-01
The 'Future Directions in Ultraviolet Spectroscopy' conference was inspired by the accomplishments of the Far Ultraviolet Spectroscopic Explorer (FUSE) Mission. The FUSE mission was launched in June 1999 and spent over eight years exploring the far-ultraviolet universe, gathering over 64 million seconds of high-resolution spectral data on nearly 3000 astronomical targets. The goal of this conference was not only to celebrate the accomplishments of FUSE, but to look toward the future and understand the major scientific drivers for the ultraviolet capabilities of the next generation fo space observatories. Invited speakers presented discussions based on measurements made by FUSE and other ultraviolet instruments, assessed their connection with measurements made with other techniques and, where appropriate, discussed the implications of low-z measurements for high-z phenomena. In addition to the oral presentations, many participants presented poster papers. The breadth of these presentation made it clear that much good science is still in progress with FUSE data and that these result will continue to have relevance in many scientific areas.
Corrêa, Marcelo de Paula
2015-01-01
The beneficial and harmful effects of human exposure to solar ultraviolet radiation (UV-R) are topics that arouse great interest not only among physicians and scientists, but also the general public and the media. Currently, discussions on vitamin D synthesis (beneficial effect) are confronted with the high and growing number of new cases of non-melanoma skin cancer and other diseases of the skin and eyes (harmful effect) diagnosed each year in Brazil. However, the lack of scientific knowledge on the UV-R in Brazil and South America leads to adoption of protective measures based on studies conducted in Europe and USA, where the amounts of UV-R available at surface and the sun-exposure habits and characteristics of the population are significantly different from those observed in Brazil. In order to circumvent this problem, the Brazilian Society of Dermatology recently published the Brazilian Consensus of Photoprotection based on recent studies performed locally. The main goal of this article is to provide detailed educational information on the main properties and characteristics of UV-R and UV index in a simple language. It also provides: a) a summary of UV-R measurements recently performed in Brazil; b) a comparison with those performed in Europe; and, c) an evaluation to further clarify the assessment of potential harm and health effects owing to chronic exposures. PMID:26131858
Corrêa, Marcelo de Paula
2015-01-01
The beneficial and harmful effects of human exposure to solar ultraviolet radiation (UV-R) are topics that arouse great interest not only among physicians and scientists, but also the general public and the media. Currently, discussions on vitamin D synthesis (beneficial effect) are confronted with the high and growing number of new cases of non-melanoma skin cancer and other diseases of the skin and eyes (harmful effect) diagnosed each year in Brazil. However, the lack of scientific knowledge on the UV-R in Brazil and South America leads to adoption of protective measures based on studies conducted in Europe and USA, where the amounts of UV-R available at surface and the sun-exposure habits and characteristics of the population are significantly different from those observed in Brazil. In order to circumvent this problem, the Brazilian Society of Dermatology recently published the Brazilian Consensus of Photoprotection based on recent studies performed locally. The main goal of this article is to provide detailed educational information on the main properties and characteristics of UV-R and UV index in a simple language. It also provides: a) a summary of UV-R measurements recently performed in Brazil; b) a comparison with those performed in Europe; and, c) an evaluation to further clarify the assessment of potential harm and health effects owing to chronic exposures.
Electronic materials with a wide band gap: recent developments
Klimm, Detlef
2014-01-01
The development of semiconductor electronics is reviewed briefly, beginning with the development of germanium devices (band gap E g = 0.66 eV) after World War II. A tendency towards alternative materials with wider band gaps quickly became apparent, starting with silicon (E g = 1.12 eV). This improved the signal-to-noise ratio for classical electronic applications. Both semiconductors have a tetrahedral coordination, and by isoelectronic alternative replacement of Ge or Si with carbon or various anions and cations, other semiconductors with wider E g were obtained. These are transparent to visible light and belong to the group of wide band gap semiconductors. Nowadays, some nitrides, especially GaN and AlN, are the most important materials for optical emission in the ultraviolet and blue regions. Oxide crystals, such as ZnO and β-Ga2O3, offer similarly good electronic properties but still suffer from significant difficulties in obtaining stable and technologically adequate p-type conductivity. PMID:25295170
NASA Astrophysics Data System (ADS)
Moral, Mónica; García, Gregorio; Peñas, Antonio; Garzón, Andrés; Granadino-Roldán, José M.; Melguizo, Manuel; Fernández-Gómez, Manuel
2012-10-01
This work presents a theoretical and spectroscopic study on the electronic and structural properties of the diphenyl-s-tetrazine molecule (Ph2Tz) and some oligomeric derivatives. Ph2Tz was synthesized through a variation of Pinner-type reaction which uses N-acetylcysteine as catalyst. Insight into the structure and electronic properties of the title compound was obtained through IR, Raman, UV-Vis spectra in different solvents, and theoretical calculations. Theoretical studies have been extended to different n-mers derivatives up to an ideal molecular wire through the oligomeric approximation, predicting this way electronic properties such as LUMO energy levels, electron affinity and reorganization energy in order to assess their possible applications in molecular electronics.
Matta, Chérif F; Arabi, Alya A
2011-06-01
The use of electron density-based molecular descriptors in drug research, particularly in quantitative structure--activity relationships/quantitative structure--property relationships studies, is reviewed. The exposition starts by a discussion of molecular similarity and transferability in terms of the underlying electron density, which leads to a qualitative introduction to the quantum theory of atoms in molecules (QTAIM). The starting point of QTAIM is the topological analysis of the molecular electron-density distributions to extract atomic and bond properties that characterize every atom and bond in the molecule. These atomic and bond properties have considerable potential as bases for the construction of robust quantitative structure--activity/property relationships models as shown by selected examples in this review. QTAIM is applicable to the electron density calculated from quantum-chemical calculations and/or that obtained from ultra-high resolution x-ray diffraction experiments followed by nonspherical refinement. Atomic and bond properties are introduced followed by examples of application of each of these two families of descriptors. The review ends with a study whereby the molecular electrostatic potential, uniquely determined by the density, is used in conjunction with atomic properties to elucidate the reasons for the biological similarity of bioisosteres.
Polyimide-Epoxy Composites with Superior Bendable Properties for Application in Flexible Electronics
NASA Astrophysics Data System (ADS)
Lee, Sangyoup; Yoo, Taewon; Han, Youngyu; Kim, Hanglim; Han, Haksoo
2017-08-01
The need for flexible electronics with outstanding bending properties is increasing due to the demand for wearable devices and next-generation flexible or rollable smartphones. In addition, the requirements for flexible or rigid-flexible electronics are sharply increasing to achieve the design of space-saving electronic devices. In this regard, coverlay (CL) film is a key material used in the bending area of flexible electronics, albeit infrequently. Because flexible electronics undergo folding and unfolding numerous times, CL films with superior mechanical and bending properties are required so that the bending area can endure such severe stress. However, because current CL films are only used for a designated bending area in the flexible electronics panel, their highly complicated and expensive manufacturing procedure is a disadvantage. In addition, the thickness of CL films must be decreased to satisfy the ongoing requirement for increasingly thin products. However, due to the limitations of the two-layer structure of existing CL films, the manufacturing process cannot be made more cost effective by simply applying more thin film onto the board. To address this problem, we have developed liquid coverlay inks (LCIs) with superior bendable properties, in comparison with CL films, when applied onto flexible electronics using a screen-printing method. The results show that LCIs have the potential to become one of the leading candidates to replace existing CL films because of their lower cost and faster manufacturing process.
2004-09-23
Looking beyond Saturn's south pole, this was the Cassini spacecraft's view of the distant, icy moon Enceladus on July 28, 2004. The planet itself shows few obvious features at these ultraviolet wavelengths, due to scattering of light by molecules of the gases high in the atmosphere. Enceladus is 499 kilometers (310 miles) wide. The image was taken with the Cassini spacecraft narrow angle camera at a distance of 7.4 million kilometers (4.6 million miles) from Saturn through a filter sensitive to ultraviolet wavelengths of light. The image scale is 44 kilometers (27 miles) per pixel of Saturn. http://photojournal.jpl.nasa.gov/catalog/PIA06483
NASA Astrophysics Data System (ADS)
Cioslowski, Jerzy; Strasburger, Krzysztof
2018-04-01
Electronic properties of several states of the five- and six-electron harmonium atoms are obtained from large-scale calculations employing explicitly correlated basis functions. The high accuracy of the computed energies (including their components), natural spinorbitals, and their occupation numbers makes them suitable for testing, calibration, and benchmarking of approximate formalisms of quantum chemistry and solid state physics. In the case of the five-electron species, the availability of the new data for a wide range of the confinement strengths ω allows for confirmation and generalization of the previously reached conclusions concerning the performance of the presently known approximations for the electron-electron repulsion energy in terms of the 1-matrix that are at heart of the density matrix functional theory (DMFT). On the other hand, the properties of the three low-lying states of the six-electron harmonium atom, computed at ω = 500 and ω = 1000, uncover deficiencies of the 1-matrix functionals not revealed by previous studies. In general, the previously published assessment of the present implementations of DMFT being of poor accuracy is found to hold. Extending the present work to harmonically confined systems with even more electrons is most likely counterproductive as the steep increase in computational cost required to maintain sufficient accuracy of the calculated properties is not expected to be matched by the benefits of additional information gathered from the resulting benchmarks.
Electronic properties of 8 - Pmmn borophene
Lopez-Bezanilla, Alejandro; Littlewood, Peter B.
2016-06-15
First-principles calculations on monolayer 8-Pmmn borophene are reported to reveal unprecedented electronic properties in a two-dimensional material. Based on a Born effective charge analysis, 8-Pmmn borophene is the first single-element-based monolayered material exhibiting two sublattices with substantial ionic features. We observed Dirac cones are actually formed by the p(z) orbitals of one of the inequivalent sublattices composed of uniquely four atoms, yielding an underlying hexagonal network topologically equivalent to distorted graphene. One significant physical outcome of this effect includes the possibility of converting metallic 8-Pmmn borophene into an indirect band gap semiconductor by means of external shear stress. Furthermore, themore » stability of the strained structures are supported by a phonon frequency analysis. The Dirac cones are sensitive to the formation of vacancies only in the inequivalent sublattice electronically active at the Fermi level.« less
NASA Astrophysics Data System (ADS)
Furutani, Sho; Okada, Susumu
2017-06-01
Electronic properties of electron-doped chemically decorated C60 fullerenes, [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) and silylmethylfullerene (SIMEF), by a planar electrode were studied using density functional theory combined with the effective screening medium method to simulate the heterointerface between the chemically decorated C60 and cationic counter materials. We find that the distribution of accumulated electrons and induced electric field depend on the molecular arrangement with respect to the external electric field of the electrode. We also show that the quantum capacitance of the molecule is sensitive to molecular arrangement owing to the asymmetric distribution of the accumulated electrons.
NASA Technical Reports Server (NTRS)
Schubert, Matthew R.; Moore, Andrew J.
2015-01-01
Electron cascades from electrical discharge produce secondary emissions from atmospheric plasma in the ultraviolet band. For a single point of discharge, these emissions exhibit a stereotypical discharge morphology, with latent information about the discharge location. Morphological processing can uncover the location and therefore can have diagnostic utility.
Schubert, Matthew; Moore, Andrew J
2016-03-01
Electron cascades from electrical discharge produce secondary emissions from atmospheric plasma in the ultraviolet band. For a single point of discharge, these emissions exhibit a stereotypical discharge morphology, with latent information about the discharge location. Morphological processing can uncover the location and therefore have diagnostic utility.
Full-scale characterization of UVLED Al(x)Ga(1-x)N nanowires via advanced electron microscopy.
Phillips, Patrick J; Carnevale, Santino D; Kumar, Rajan; Myers, Roberto C; Klie, Robert F
2013-06-25
III-Nitride semiconductor heterostructures continue to attract a great deal of attention due to the wide range of wavelengths at which they can emit light, and the subsequent desire to employ them in optoelectronic applications. Recently, a new type of pn-junction which relies on polarization-induced doping has shown promise for use as an ultraviolet light emitting diode (UVLED); nanowire growth of this device has been successfully demonstrated. However, as these devices are still in their infancy, in order to more fully understand their physical and electronic properties, they require a multitude of characterization techniques. Specifically, the present contribution will discuss the application of advanced scanning transmission electron microscopy (STEM) to AlxGa1-xN UVLED nanowires. In addition to structural data, chemical and electronic properties will also be probed through various spectroscopy techniques, with the focus remaining on practically applying the knowledge gained via STEM to the growth procedures in order to optimize device peformance.
NASA Astrophysics Data System (ADS)
Gupta, Rohini Bhardwaj; Nagpal, Swati; Arora, Swati; Bhatnagar, Pramod Kumar; Mathur, Parmatma Chandra
2011-01-01
Ultraviolet (UV) light-emitting diode using salmon deoxyribonucleic acid (sDNA)-cetyltrimethylammonium complex as an electron blocking layer and zinc oxide (ZnO) nanorods as emissive material was fabricated. UV emission, which was blue shifted up to 335 nm with respect to the band edge emission of 390 nm, was observed. This blue shift was caused due to accumulation of electrons in the conduction band of ZnO because of a high potential barrier existing at the sDNA/ZnO interface.
NASA Astrophysics Data System (ADS)
Daniell, R. E.; Strickland, D. J.; Decker, D. T.; Jasperse, J. R.; Carlson, H. C., Jr.
1985-04-01
The possible use of satellite ultraviolet measurements to deduce the ionospheric electron density profile (EDP) on a global basis is discussed. During 1984 comparisons were continued between the hybrid daytime ionospheric model and the experimental observations. These comparison studies indicate that: (1) the essential features of the EDP and certain UV emissions can be modelled; (2) the models are sufficiently sensitive to input parameters to yield poor agreement with observations when typical input values are used; (3) reasonable adjustments of the parameters can produce excellent agreement between theory and data for either EDP or airglow but not both; and (4) the qualitative understanding of the relationship between two input parameters (solar flux and neutral densities) and the model EDP and airglow features has been verified. The development of a hybrid dynamic model for the nighttime midlatitude ionosphere has been initiated. This model is similar to the daytime hybrid model, but uses the sunset EDP as an initial value and calculates the EDP as a function of time through the night. In addition, a semiempirical model has been developed, based on the assumption that the nighttime EDP is always well described by a modified Chapman function. This model has great simplicity and allows the EDP to be inferred in a straightforward manner from optical observations. Comparisons with data are difficult, however, because of the low intensity of the nightglow.
Understanding the Early Evolution of M dwarf Extreme Ultraviolet Radiation
NASA Astrophysics Data System (ADS)
Peacock, Sarah; Barman, Travis; Shkolnik, Evgenya
2015-11-01
The chemistry and evolution of planetary atmospheres depends on the evolution of high-energy radiation emitted by its host star. High levels of extreme ultraviolet (EUV) radiation can drastically alter the atmospheres of terrestrial planets through ionizing, heating, expanding, chemically modifying and eroding them during the first few billion years of a planetary lifetime. While there is evidence that stars emit their highest levels of far and near ultraviolet (FUV; NUV) radiation in the earliest stages of their evolution, we are currently unable to directly measure the EUV radiation. Most previous stellar atmosphere models under-predict FUV and EUV emission from M dwarfs; here we present new models for M stars that include prescriptions for the hot, lowest density atmospheric layers (chromosphere, transition region and corona), from which this radiation is emitted. By comparing our model spectra to GALEX near and far ultraviolet fluxes, we are able to predict the evolution of EUV radiation for M dwarfs from 10 Myr to a few Gyr. This research is the next major step in the HAZMAT (HAbitable Zones and M dwarf Activity across Time) project to analyze how the habitable zone evolves with the evolving properties of stellar and planetary atmospheres.
NASA Astrophysics Data System (ADS)
Goto, Yuki; Kubo, Shin; Tsujimura, Tohru; Takubo, Hidenori
2017-10-01
Recently, it has been shown that the radiation from a single electron in cyclotron motion has vortex property. Although the cyclotron emission exists universally in nature, the vortex property has not been featured because this property is normally cancelled out due to the randomness in gyro-phase of electrons and the development of detection of the vortex property has not been well motivated. In this research, we are developing a method to generate the vortex radiation from electrons in cyclotron motion with controlled gyro-phase. Electron that rotates around the uniform static magnetic field is accelerated by right-hand circular polarized (RHCP) radiation resonantly when the cyclotron frequency coincides with the applied RHCP radiation frequency. A large number of electrons can be coherently accelerated in gyro-phase by a RHCP high power radiation so that these electrons can radiate coherent emission with vortex feature. We will show that vortex radiation created by purely rotating electrons for the first time.
Ultraviolet Laser Lithography of Titania Photonic Crystals for Terahertz-Wave Modulation.
Kirihara, Soshu; Nonaka, Koki; Kisanuki, Shoichiro; Nozaki, Hirotoshi; Sakaguchi, Keito
2018-05-18
Three-dimensional (3D) microphotonic crystals with a diamond structure composed of titania microlattices were fabricated using ultraviolet laser lithography, and the bandgap properties in the terahertz (THz) electromagnetic-wave frequency region were investigated. An acrylic resin paste with titania fine particle dispersions was used as the raw material for additive manufacturing. By scanning a spread paste surface with an ultraviolet laser beam, two-dimensional solid patterns were dewaxed and sintered. Subsequently, 3D structures with a relative density of 97% were created via layer lamination and joining. A titania diamond lattice with a lattice constant density of 240 µm was obtained. The properties of the electromagnetic wave were measured using a THz time-domain spectrometer. In the transmission spectra for the Γ-X direction, a forbidden band was observed from 0.26 THz to 0.44 THz. The frequency range of the bandgap agreed well with calculated results obtained using the plane⁻wave expansion method. Additionally, results of a simulation via transmission-line modeling indicated that a localized mode can be obtained by introducing a plane defect between twinned diamond lattice structures.
Photodissociation dynamics of H2O at 111.5 nm by a vacuum ultraviolet free electron laser
NASA Astrophysics Data System (ADS)
Wang, Heilong; Yu, Yong; Chang, Yao; Su, Shu; Yu, Shengrui; Li, Qinming; Tao, Kai; Ding, Hongli; Yang, Jaiyue; Wang, Guanglei; Che, Li; He, Zhigang; Chen, Zhichao; Wang, Xingan; Zhang, Weiqing; Dai, Dongxu; Wu, Guorong; Yuan, Kaijun; Yang, Xueming
2018-03-01
Photodissociation dynamics of H2O via the F ˜ state at 111.5 nm were investigated using the high resolution H-atom Rydberg "tagging" time-of-flight (TOF) technique, in combination with the tunable vacuum ultraviolet free electron laser at the Dalian Coherent Light Source. The product translational energy distributions and angular distributions in both parallel and perpendicular directions were derived from the recorded TOF spectra. Based on these distributions, the quantum state distributions and angular anisotropy parameters of OH (X) and OH (A) products have been determined. For the OH (A) + H channel, highly rotationally excited OH (A) products have been observed. These products are ascribed to a fast direct dissociation on the B ˜ 1A1 state surface after multi-step internal conversions from the initial excited F ˜ state to the B ˜ state. While for the OH (X) + H channel, very highly rotationally excited OH (X) products with moderate vibrational excitation are revealed and attributed to the dissociation via a nonadiabatic pathway through the well-known two conical intersections between the B ˜ -state and the X ˜ -state surfaces.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Weihuan; Zhang, Yuepin, E-mail: zhangyuepin@nbu.edu.cn; Ouyang, Shaoye
2015-01-14
Eu{sup 2+} doped transparent oxyfluoride glass ceramics containing BaGdF{sub 5} nanocrystals were successfully fabricated by melt-quenching technique under a reductive atmosphere. The structure of the glass and glass ceramics were investigated by differential scanning calorimetry, X-ray diffraction (XRD), and transmission electron microscopy (TEM). The luminescent properties were investigated by transmission, excitation, and emission spectra. The decay time of the Gd{sup 3+} ions at 312 nm excited with 275 nm were also investigated. The results of XRD and TEM indicated the existence of BaGdF5 nanocrystals in the transparent glass ceramics. The excitation spectra of Eu{sup 2+} doped glass ceramics showed an excellent overlapmore » with the main emission region of an ultraviolet light-emitting diode (UV-LED). Compared with the as-made glass, the emission of glass ceramics is much stronger by a factor of increasing energy transfer efficiency from Gd{sup 3+} to Eu{sup 2+} ions, the energy transfer efficiency from Gd{sup 3+} to Eu{sup 2+} ions was discussed. In addition, the chromaticity coordinates of glass and glass ceramics specimens were also discussed, which indicated that the Eu{sup 2+} doped BaGdF{sub 5} glass ceramics may be used as a potential blue-emitting phosphor for UV-LED.« less
Vacuum ultraviolet spectra of uranium hexafluoride/argon mixtures
NASA Technical Reports Server (NTRS)
Krascella, N. L.
1976-01-01
The transmission properties of room temperature helium at pressures up to 20 atmospheres were determined in the wavelength range from 80 to 300 nm. Similarly, the transmission properties of uranium hexafluoride at 393 K (pressures less than 1.0 mm) were determined in the wavelength range from 80 to about 120 nm. The results show that high pressure helium is sufficiently transparent in the vacuum ultraviolet region (provided trace contaminants are removed) to be utilized as a transparent purge gas in future fissioning gaseous uranium plasma reactor experiments. Absorption cross sections for uranium hexafluoride were calculated from the data between 80 and 120 nm and were of the order of 10 to the -17 power sq cm.
NASA Astrophysics Data System (ADS)
Park, Seoung-Hwan; Ahn, Doyeol
2018-05-01
Ultraviolet light emission characteristics of lattice-matched BxAlyGa1-x-y N/AlN quantum well (QW) structures with double AlGaN delta layers were investigated theoretically. In contrast to conventional single dip-shaped QW structure where the reduction effect of the spatial separation between electron and hole wave functions is negligible, proposed double dip-shaped QW shows significant enhancement of the ultraviolet light emission intensity from a BAlGaN/AlN QW structure due to the reduced spatial separation between electron and hole wave functions. The emission peak of the double dip-shaped QW structure is expected to be about three times larger than that of the conventional rectangular AlGaN/AlN QW structure.
Fine structural dependence of ultraviolet reflections in the King Penguin beak horn.
Dresp, Birgitta; Langley, Keith
2006-03-01
The visual perception of many birds extends into the near-ultraviolet (UV) spectrum and ultraviolet is used by some to communicate. The beak horn of the King Penguin (Aptenodytes patagonicus) intensely reflects in the ultraviolet and this appears to be implicated in partner choice. In a preliminary study, we recently demonstrated that this ultraviolet reflectance has a structural basis, resulting from crystal-like photonic structures, capable of reflecting in the near-UV. The present study attempted to define the origin of the photonic elements that produce the UV reflectance and to better understand how the UV signal is optimized by their fine structure. Using light and electron microscopic analysis combined with new spectrophotometric data, we describe here in detail the fine structure of the entire King Penguin beak horn in addition to that of its photonic crystals. The data obtained reveal a one-dimensional structural periodicity within this tissue and demonstrate a direct relationship between its fine structure and its function. In addition, they suggest how the photonic structures are produced and how they are stabilized. The measured lattice dimensions of the photonic crystals, together with morphological data on its composition, permit predictions of the wavelength of reflected light. These correlate well with experimentally observed values. The way the UV signal is optimized by the fine structure of the beak tissue is discussed with regard to its putative biological role.
Do spotless starlings place feathers at their nests by ultraviolet color?
Avilés, Jesús M; Parejo, Deseada; Pérez-Contreras, Tomás; Navarro, Carlos; Soler, Juan J
2010-02-01
A considerable number of bird species carry feathers to their nests. Feathers' presence in the nests has traditionally been explained by their insulating properties. Recently, however, it has been suggested that feathers carried to the nests by females of the spotted starling (Sturnus unicolor L.) could have an ornamental function based on their ultraviolet (300-400 nm) and human-visible longer wavelength (400-700 nm) coloration. In our population, 95.7% of feathers found inside next-boxes occupied by nesting starlings were rock dove fly feathers. Of these feathers, 82.7% were naturally positioned with their reverse side oriented toward the entrance hole and 42.4% of all found feathers were situated within the nest-cup. Here we experimentally assess the signaling function of ultraviolet coloration of feathers in nests of spotless starlings by providing nests with a number of pigeon flight feathers that were respectively treated on their obverse, reverse, both, or neither side with a UV blocker. Starlings placed 42.5% of the experimental feathers in the nest-cup irrespective of the UV block treatment. Orientation of feathers toward the entrance hole was not related with their ultraviolet radiation. However, feathers placed within the nest-cup were more likely found with their reverse side oriented toward the entrance hole confirming our correlative findings. These results suggest a minor role of ultraviolet coloration on feather location by spotless starlings.
Do spotless starlings place feathers at their nests by ultraviolet color?
NASA Astrophysics Data System (ADS)
Avilés, Jesús M.; Parejo, Deseada; Pérez-Contreras, Tomás; Navarro, Carlos; Soler, Juan J.
2010-02-01
A considerable number of bird species carry feathers to their nests. Feathers’ presence in the nests has traditionally been explained by their insulating properties. Recently, however, it has been suggested that feathers carried to the nests by females of the spotted starling ( Sturnus unicolor L.) could have an ornamental function based on their ultraviolet (300-400 nm) and human-visible longer wavelength (400-700 nm) coloration. In our population, 95.7% of feathers found inside next-boxes occupied by nesting starlings were rock dove fly feathers. Of these feathers, 82.7% were naturally positioned with their reverse side oriented toward the entrance hole and 42.4% of all found feathers were situated within the nest-cup. Here we experimentally assess the signaling function of ultraviolet coloration of feathers in nests of spotless starlings by providing nests with a number of pigeon flight feathers that were respectively treated on their obverse, reverse, both, or neither side with a UV blocker. Starlings placed 42.5% of the experimental feathers in the nest-cup irrespective of the UV block treatment. Orientation of feathers toward the entrance hole was not related with their ultraviolet radiation. However, feathers placed within the nest-cup were more likely found with their reverse side oriented toward the entrance hole confirming our correlative findings. These results suggest a minor role of ultraviolet coloration on feather location by spotless starlings.
Probing Electronic and Thermoelectric Properties of Single Molecule Junctions
NASA Astrophysics Data System (ADS)
Widawsky, Jonathan R.
In an effort to further understand electronic and thermoelectric phenomenon at the nanometer scale, we have studied the transport properties of single molecule junctions. To carry out these transport measurements, we use the scanning tunneling microscope-break junction (STM-BJ) technique, which involves the repeated formation and breakage of a metal point contact in an environment of the target molecule. Using this technique, we are able to create gaps that can trap the molecules, allowing us to sequentially and reproducibly create a large number of junctions. By applying a small bias across the junction, we can measure its conductance and learn about the transport mechanisms at the nanoscale. The experimental work presented here directly probes the transmission properties of single molecules through the systematic measurement of junction conductance (at low and high bias) and thermopower. We present measurements on a variety of molecular families and study how conductance depends on the character of the linkage (metal-molecule bond) and the nature of the molecular backbone. We start by describing a novel way to construct single molecule junctions by covalently connecting the molecular backbone to the electrodes. This eliminates the use of linking substituents, and as a result, the junction conductance increases substantially. Then, we compare transport across silicon chains (silanes) and saturated carbon chains (alkanes) while keeping the linkers the same and find a stark difference in their electronic transport properties. We extend our studies of molecular junctions by looking at two additional aspects of quantum transport -- molecular thermopower and molecular current-voltage characteristics. Each of these additional parameters gives us further insight into transport properties at the nanoscale. Evaluating the junction thermopower allows us to determine the nature of charge carriers in the system and we demonstrate this by contrasting the measurement of amine
Tunable electronic properties of CdS nanoribbons by edge effects
NASA Astrophysics Data System (ADS)
Ma, Ruican; Zhao, Hui; Wang, Yaping; Ji, Weixiao; Li, Ping
2017-08-01
Based on first-principles calculations, the electronic properties of Cadmium Sulfide nanoribbons (CdSNRs) have been studied with both zigzag (Z-CdSNRs) and armchair shaped edges (A-CdSNRs). For Z-CdSNRs, the structures with both edges decorated by H or F atoms show half-metallic or semiconducting properties, respectively. Only S-dominated edge decorated by H/F atoms, Z-CdSNRs show metallic properties. Only Cd-dominated edge is hydrogenated, Z-CdSNRs can be observed from a metallic to half metallic transition. But instead of fluorinated, the structures show the metallic properties. However, either edge or both edges are hydrogenated or fluorinated, A-CdSNRs exhibit semiconducting properties, and their band gap decreases monotonically with increasing ribbons width (n). When a stress is applied on the half-hydrogenated A-CdSNRs, their band gap displays a steady decrease trend. Moreover, A-CdSNRs are more stable than Z-CdSNRs, while the hydrogenated systems are more stable than fluorinated systems. The results show that different structures of CdSNRs decorated with the different edges can play different nature as semiconducting - half-metallic - metallic properties. The research has important theoretical significance for the electronic design and assembly of CdSNRs structures, and provides a new perspective for the potential application of CdSNRs in nanoelectronics.
Inhibition of seagrass photosynthesis by ultraviolet-B radiation.
Trocine, R P; Rice, J D; Wells, G N
1981-07-01
Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme Kütz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated.Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. This mechanism effectively attenuated photosynthetic inhibition induced by ultraviolet-B dose rates and dosages in excess of natural conditions. Syringodium appeared to rely primarily on a thick epidermal cell layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species.Observations obtained in this study seem to suggest the possibility of anthocyanin and/or other flavonoid synthesis as an adaptation to long term ultraviolet-B irradiation by these species. In addition, Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation.
NASA Astrophysics Data System (ADS)
Jaradat, Raed; Abu-Jafar, Mohammed; Abdelraziq, Issam; Mousa, Ahmad; Ouahrani, Tarik; Khenata, Rabah
2018-04-01
The equilibrium structural parameters, electronic and optical properties of the alkali hydrides RbH and CsH compounds in rock-salt (RS) and cesium chloride (CsCl) structures have been studied using the full-potential linearized augmented plane-wave (FP-LAPW) method. Wu and Cohen generalized gradient approximation (WC-GGA) was used for the exchange-correlation potential to compute the equilibrium structural parameters, such as the lattice constant (a0), the bulk modulus (B) and bulk modulus first order pressure derivative (B'). In addition to the WC-GGA, the modified Becke Johnson (mBJ) scheme has been also used to overcome the underestimation of the band gap energies. RbH and CsH compounds are found to be semiconductors (wide energy-band gap) using the WC-GGA method, while they are insulators using the mBJ-GGA method. Elastic constants, mechanical and thermodynamic properties were obtained by using the IRelast package. RbH and CsH compounds at ambient pressure are mechanically stable in RS and CsCl structures; they satisfy the Born mechanical stability criteria. Elastic constants (Cij), bulk modulus (B), shear modulus (S) and Debye temperatures (θD) of RbH and CsH compounds decrease as the alkali radius increases. The RS structure of these compounds at ambient conditions is mechanically stronger than CsCl structure. RbH and CsH in RS and CsCl structures are suitable as dielectric compounds. The wide direct energy band gap for these compounds make them promising compounds for optoelectronic UV device applications. Both RbH and CsH have a wide absorption region, on the other hand RbH absorption is very huge compared to the CsH absorption, RbH is an excellent absorbent material, maximum absorption regions are located in the middle ultraviolet (MUV) region and far ultraviolet (FUV) region. The absorption coefficient α (w), imaginary part of the dielectric constant ɛ2(w) and the extinction coefficient k(w) vary in the same way. The present calculated results are in
Inhibition of seagrass photosynthesis by ultraviolet-B radiation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Trocine, R.P.; Rice, J.D.; Wells, G.N.
1981-07-01
Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme (Kuetz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated. Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. Syringodium appeared to rely primarily on a thick epidermal cellmore » layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species. Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation.« less
Stability and electronic properties of low-dimensional nanostructures
NASA Astrophysics Data System (ADS)
Guan, Jie
As the devices used in daily life become smaller and more concentrated, traditional three-dimensional (3D) bulk materials have reached their limit in size. Low-dimensional nanomaterials have been attracting more attention in research and getting widely applied in many industrial fields because of their atomic-level size, unique advanced properties, and varied nanostructures. In this thesis, I have studied the stability and mechanical and electronic properties of zero-dimensional (0D) structures including carbon fullerenes, nanotori, metallofullerenes and phosphorus fullerenes, one-dimensional (1D) structures including carbon nanotubes and phosphorus nanotubes, as well as two-dimensional (2D) structures including layered transition metal dichalcogenides (TMDs), phosphorene and phosphorus carbide (PC). I first briefly introduce the scientific background and the motivation of all the work in this thesis. Then the computational techniques, mainly density functional theory (DFT), are reviewed in Chapter 2. In Chapter 3, I investigate the stability and electronic structure of endohedral rare-earth metallofullerene La C60 and the trifluoromethylized La C60(CF3)n with n ≤ 5. Odd n is preferred due to the closed-shell electronic configuration or large HOMO-LUMO gap, which is also meaningful for the separation of C 60-based metallofullerenes. Mechanical and electronic properties of layered materials including TMDs and black phosphorus are studied in Chapter 4 and 5. In Chapter 4, a metallic NbSe2/semiconducting WSe2 bilayer is investigated and besides a rigid band shift associated with charge transfer, the presence of NbSe2 does not modify the electronic structure of WSe2. Structural similarity and small lattice mismatch results in the heterojunction being capable of efficiently transferring charge acrossthe interface. In Chapter 5, I investigate the dependence of stability and electronic band structure on the in-layer strain in bulk black phosphorus. In Chapters 6, 7 and
Corona And Ultraviolet Equipment For Testing Materials
NASA Technical Reports Server (NTRS)
Laue, Eric G.
1993-01-01
Two assemblies of laboratory equipment developed for use in testing abilities of polymers, paints, and other materials to withstand ultraviolet radiation and charged particles. One is vacuum ultraviolet source built around commercial deuterium lamp. Other exposes specimen in partial vacuum to both ultraviolet radiation and brush corona discharge. Either or both assemblies used separately or together to simulate approximately combination of solar radiation and charged particles encountered by materials aboard spacecraft in orbit around Earth. Also used to provide rigorous environmental tests of materials exposed to artificial ultraviolet radiation and charged particles in industrial and scientific settings or to natural ultraviolet radiation and charged particles aboard aircraft at high altitudes.
EUNIS; Extreme-Ultraviolet Normal-Incidence Spectrometer
NASA Technical Reports Server (NTRS)
Thomas, Roger J.; Davila, Joseph M.; Fisher, Richard R. (Technical Monitor)
2001-01-01
GSFC is in the process of assembling an Extreme-Ultraviolet Normal Incidence Spectrometer called EUNIS, to be flown as a sounding rocket payload. The instrument builds on the many technical innovations pioneered by our highly successful SERTS experiment, which has now flown a total of ten times, most recently last summer. The new design will have somewhat improved spatial and spectral resolutions, as well as two orders of magnitude greater sensitivity, permitting high signal/noise EUV spectroscopy with a temporal resolution near 1 second for the first time ever. In order to achieve such high time cadence, a novel detector system is being developed, based on Active-Pixel-Sensor electronics, a key component of our design.
Structural and electronic properties of GaAs and GaP semiconductors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rani, Anita; Kumar, Ranjan
2015-05-15
The Structural and Electronic properties of Zinc Blende phase of GaAs and GaP compounds are studied using self consistent SIESTA-code, pseudopotentials and Density Functional Theory (DFT) in Local Density Approximation (LDA). The Lattice Constant, Equillibrium Volume, Cohesive Energy per pair, Compressibility and Band Gap are calculated. The band gaps calcultated with DFT using LDA is smaller than the experimental values. The P-V data fitted to third order Birch Murnaghan equation of state provide the Bulk Modulus and its pressure derivatives. Our Structural and Electronic properties estimations are in agreement with available experimental and theoretical data.
NASA Astrophysics Data System (ADS)
Tarighi Ahmadpour, Mahdi; Rostamnejadi, Ali; Hashemifar, S. Javad
2018-04-01
We study the electronic structure and optical properties of a body-centered tetragonal phase of carbon (bct-C4) within the framework of time-dependent density functional theory and Bethe-Salpeter equation. The results indicate that the optical properties of bct-C4 are strongly affected by the electron-hole interaction. It is demonstrated that the long-range corrected exchange-correlation kernels could fairly reproduce the Bethe-Salpeter equation results. The effective carrier number reveals that at energies above 30 eV, the excitonic effects are not dominant any more and that the optical transitions originate mainly from electronic excitations. The emerged peaks in the calculated electron energy loss spectra are discussed in terms of plasmon excitations and interband transitions. The results of the research indicate that bct-C4 is an indirect wide-band-gap semiconductor, which is transparent in the visible region and opaque in the ultraviolet spectral range.
NASA Astrophysics Data System (ADS)
Takei, Satoshi; Murakami, Gaku; Mori, Yuto; Ichikawa, Takumi; Sekiguchi, Atsushi; Obata, Tsutomu; Yokoyama, Yoshiyuki; Mizuno, Wataru; Sumioka, Junji; Horita, Yuji
2013-07-01
Nanopatterning of an ecofriendly antiglare film derived from biomass using an ultraviolet curing nanoimprint lithography is reported. Developed sugar-related organic compounds with liquid glucose and trehalose derivatives derived from biomass produced high-quality imprint images of pillar patterns with a 230-nm diameter. Ecofriendly antiglare film with liquid glucose and trehalose derivatives derived from biomass was indicated to achieve the real refraction index of 1.45 to 1.53 at 350 to 800 nm, low imaginary refractive index of <0.005 and low volumetric shrinkage of 4.8% during ultraviolet irradiation. A distinctive bulky glucose structure in glucose and trehalose derivatives was considered to be effective for minimizing the volumetric shrinkage of resist film during ultraviolet irradiation, in addition to suitable optical properties for high-definition display.
Polymerizable ultraviolet stabilizers for outdoor use
NASA Technical Reports Server (NTRS)
Vogl, O.
1982-01-01
Polymeric materials that are stable enough to use outdoors without changes in excess of 20 years are investigated. Ultraviolet stabilizers or plastic materials were synthesized, polymerizable ultraviolet stabilizers, particularly of the 2(2-hydroxyphenyl)2H-benzotriazole family were prepared their polymerization, copolymerization and grafting onto other polymers were demonstrated, and ultraviolet stabilizing systems were devised. These materials were evaluated from the photophysical point of view.
Vacuum-ultraviolet lasers and spectroscopy
NASA Astrophysics Data System (ADS)
Hollenstein, U.
2012-01-01
Single-photon ionisation of most atoms and molecules requires short-wavelength radiation, typically in the vacuum-ultraviolet (VUV, λ < 200 nm) or extreme ultraviolet (XUV, λ < 105 nm) region of the electromagnetic spectrum. The first VUV and XUV radiation sources used to study molecular photoabsorption and photoionisation spectra were light sources emitting a broad continuous spectrum, such as high pressure lamps or synchrotrons. Monochromatic VUV and XUV radiation was obtained using diffraction gratings in evacuated monochromators, which resulted in a resolving power ν/Δv of at best 106 (i. e. 0.1 cm-1 at 100 000 cm-1), but more typically in the range 104-105 . The invention of the laser and the development of nonlinear optical frequency-upconversion techniques enabled the development of table-top narrow-bandwidth, coherent VUV and XUV laser sources with which VUV photoabsorption, photoionisation and photoelectron spectra of molecules can be recorded at much higher resolution, the best sources having bandwidths better than 50 MHz. Such laser sources are ideally suited to study the structure and dynamics of electronically excited states of atoms and molecules and molecular photoionisation using photoabsorption, photoionisation and photoelectron spectroscopy. This chapter presents the general principles that are exploited to generate tunable narrow-band laser radiation below 200 nm and describes spectroscopic methods such as photoabsorption spectroscopy, photoionisation spectroscopy and threshold photoelectron spectroscopy that relay on the broad tunability and narrow-bandwidth of VUV radiation sources.
Shape and edge dependent electronic and magnetic properties of silicene nano-flakes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mohan, Brij, E-mail: brijmohanhpu@yahoo.com; Pooja,; Ahluwalia, P. K.
2015-06-24
We performed first-principle study of the geometric, electronic and magnetic properties of arm-chair and zigzag edge silicene nano-flakes of triangular and hexagonal shapes. Electronic properties of silicene nano-flakes show strong dependence on their edge structure and shape. The considered nanostructures shows energy gap ranging ∼ 0.4 – 1.0 eV. Zigzag edged triangular nano-flake is magnetic and semiconducting in nature with 4.0 µ{sub B} magnetic moment and ∼ 0.4 eV energy gap.
Facchini, Gustavo; Eberlin, Samara; Clerici, Stefano Piatto; Alves Pinheiro, Ana Lucia Tabarini; Costa, Adilson
2017-12-01
Unwanted side effects such as dryness, hypersensitivity, and cutaneous photosensitivity are challenge for adherence and therapeutical success for patients using treatments for inflammatory and allergic skin response. In this study, we compared the effects of two dermatological formulations, which are used in inflammatory and/or allergic skin conditions: dexchlorpheniramine maleate (DCP; 10 mg/g) and promethazine (PTZ; 20 mg/g). We evaluated both formulations for phototoxicity potential, skin irritation, anti-inflammatory and antihistaminic abilities, and skin barrier repair in vitro and ex vivo using the standard OECD test guideline n° 432, the ECVAM protocol n° 78, and cultured skin explants from a healthy patient. Ultraviolet A was chosen as exogenous agent to induce allergic and inflammatory response. Both PTZ and DCP promoted increases in interleukin-1 (IL-1) synthesis in response to ultraviolet A (UVA) radiation compared to control. However, the increase observed with PTZ was significantly greater than the DCP, indicating that the latter has a lower irritant potential. DCP also demonstrated a protective effect on UVA-induced leukotriene B4 and nuclear factor kappa B (NF-κB) synthesis. Conversely, PTZ demonstrates more robust UVA antihistaminic activity. Likewise, PTZ promoted a significantly greater increase in the production of involucrin and keratin 14, both associated with protective skin barrier property. In conclusion, these data suggest possible diverging UVA response mechanisms of DCP and PTZ, which gives greater insight into the contrasting photosensitizing potential between DCP and PTZ observed in the patients. © 2017 Wiley Periodicals, Inc.
Mutagenesis of Trichoderma Viride by Ultraviolet and Plasma
NASA Astrophysics Data System (ADS)
Yao, Risheng; Li, Manman; Deng, Shengsong; Hu, Huajia; Wang, Huai; Li, Fenghe
2012-04-01
Considering the importance of a microbial strain capable of increased cellulase production, a mutant strain UP4 of Trichoderma viride was developed by ultraviolet (UV) and plasma mutation. The mutant produced a 21.0 IU/mL FPase which was 98.1% higher than that of the parent strain Trichoderma viride ZY-1. In addition, the effect of ultraviolet and plasma mutagenesis was not merely simple superimposition of single ultraviolet mutation and single plasma mutation. Meanwhile, there appeared a capsule around some of the spores after the ultraviolet and plasma treatment, namely, the spore surface of the strain became fuzzy after ultraviolet or ultraviolet and plasma mutagenesis.
Interpolation of property-values between electron numbers is inconsistent with ensemble averaging
DOE Office of Scientific and Technical Information (OSTI.GOV)
Miranda-Quintana, Ramón Alain; Department of Chemistry and Chemical Biology, McMaster University, Hamilton, Ontario L8S 4M1; Ayers, Paul W.
2016-06-28
In this work we explore the physical foundations of models that study the variation of the ground state energy with respect to the number of electrons (E vs. N models), in terms of general grand-canonical (GC) ensemble formulations. In particular, we focus on E vs. N models that interpolate the energy between states with integer number of electrons. We show that if the interpolation of the energy corresponds to a GC ensemble, it is not differentiable. Conversely, if the interpolation is smooth, then it cannot be formulated as any GC ensemble. This proves that interpolation of electronic properties between integermore » electron numbers is inconsistent with any form of ensemble averaging. This emphasizes the role of derivative discontinuities and the critical role of a subsystem’s surroundings in determining its properties.« less
Mechanical behavior, electronic and phonon properties of ZrB12 under pressure
NASA Astrophysics Data System (ADS)
Li, Xiao-Hong; Yong, Yong-Liang; Cui, Hong-Ling; Zhang, Rui-Zhou
2018-06-01
The mechanical, phonon and electronic properties of ZrB12 under pressure are investigated by first-principles calculations. The research shows that ZrB12 is mechanically and dynamically stable up to 100 GPa. The elastic constants, bulk modulus B, shear modulus G, hardness Hv, B/G ratio, Debye temperature under different pressures are systematically investigated. The calculation of electronic properties shows that ZrB12 has metallic character. The Zr-d states dominate the DOS at the Fermi level, and the total DOS and PDOS change slightly with the increasing pressure. DOS (Ef) first decreases, then increases with the increasing pressure. At 50 GPa, ZrB12 has less electron carriers. The analysis of electron localization function shows that the strong B-B and Zr-B covalent bonds may be responsible for the high hardness and stability.
Electronic and structural properties of vacancies and hydrogen adsorbates on trilayer graphene
NASA Astrophysics Data System (ADS)
Menezes, Marcos G.; Capaz, Rodrigo B.
2015-08-01
Using ab initio calculations, we study the electronic and structural properties of vacancies and hydrogen adsorbates on trilayer graphene. Those defects are found to share similar low-energy electronic features, since they both remove a pz electron from the honeycomb lattice and induce a defect level near the Fermi energy. However, a vacancy also leaves unpaired σ electrons on the lattice, which lead to important structural differences and also contribute to magnetism. We explore both ABA and ABC stackings and compare properties such as formation energies, magnetic moments, spin density and the local density of states (LDOS) of the defect levels. These properties show a strong sensitivity to the layer in which the defect is placed and smaller sensitivities to sublattice placing and stacking type. Finally, for the ABC trilayer, we also study how these states behave in the presence of an external field, which opens a tunable gap in the band structure of the non-defective system. The pz defect states show a strong hybridization with band states as the field increases, with reduction and eventually loss of magnetization, and a non-magnetic, midgap-like state is found when the defect is at the middle layer.
Electronic and Structural Properties of Vacancies and Hydrogen Adsorbates on Trilayer Graphene
NASA Astrophysics Data System (ADS)
Menezes, Marcos; Capaz, Rodrigo
2015-03-01
Using ab initio calculations, we study the electronic and structural properties of vacancies and hydrogen adsorbates on trilayer graphene. Those defects are found to share similar low-energy electronic features, since they both remove a pz electron from the honeycomb lattice and induce a defect level near the Fermi energy. However, a vacancy also leaves unpaired σ electrons on the lattice, which lead to important structural differences and also contribute to magnetism. We explore both ABA and ABC stackings and compare properties such as formation energies, magnetic moments, spin density and the local density of states (LDOS) of the defect levels. These properties show a strong sensitivity to the layer in which the defect is placed and smaller sensitivities to sublattice placing and stacking type. Finally, for the ABC trilayer, we also study how these states behave in the presence of an external electrical field, which opens a tunable gap in the band structure of the non-defective system. The pz defect states show a strong hybridization with band states as the field increases, with reduction and eventually loss of magnetization, and a non-magnetic, midgap-like state is found when the defect is at the middle layer.
Effect of doping on electronic properties of HgSe
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nag, Abhinav, E-mail: abhinavn76@gmail.com; Sastri, O. S. K. S., E-mail: sastri.osks@gmail.com; Kumar, Jagdish, E-mail: jagdishphysicist@gmail.com
2016-05-23
First principle study of electronic properties of pure and doped HgSe have been performed using all electron Full Potential Linearized Augmented Plane Wave (FP-LAPW) method using ELK code. The electronic exchange and co-relations are considered using Generalized Gradient Approach (GGA). Lattice parameter, Density of States (DOS) and Band structure calculations have been performed. The total energy curve (Energy vs Lattice parameter), DOS and band structure calculations are in good agreement with the experimental values and those obtained using other DFT codes. The doped material is studied within the Virtual Crystal Approximation (VCA) with doping levels of 10% to 25% ofmore » electrons (hole) per unit cell. Results predict zero band gap in undopedHgSe and bands meet at Fermi level near the symmetry point Γ. For doped HgSe, we found that by electron (hole) doping, the point where conduction and valence bands meet can be shifted below (above) the fermi level.« less
Electronic structure and transport properties of quasi-one-dimensional carbon nanomaterials
NASA Astrophysics Data System (ADS)
Wu, Y. N.; Cheng, P.; Wu, M. J.; Zhu, H.; Xiang, Q.; Ni, J.
2017-09-01
Based on the density functional theory combined with the nonequilibrium Green's function, the influence of the wrinkle on the electronic structures and transport properties of quasi-one-dimensional carbon nanomaterials have been investigated, in which the wrinkled armchair graphene nanoribbons (wAGNRs) and the composite of AGNRs and single walled carbon nanotubes (SWCNTs) were considered with different connection of ripples. The wrinkle adjusts the electronic structures and transport properties of AGNRs. With the change of the strain, the wAGNRs for three width families reveal different electrical behavior. The band gap of AGNR(6) increases in the presence of the wrinkle, which is opposite to that of AGNR(5) and AGNR(7). The transport of AGNRs with the widths 6 or 7 has been modified by the wrinkle, especially by the number of isolated ripples, but it is insensitive to the strain. The nanojunctions constructed by AGNRs and SWCNTs can form the quantum wells, and some specific states are confined in wAGNRs. Although these nanojunctions exhibit the metallic, they have poor conductance due to the wrinkle. The filling of C20 into SWCNT has less influence on the electronic structure and transport of the junctions. The width and connection type of ripples have greatly influenced on the electronic structures and transport properties of quasi-one-dimensional nanomaterials.
Sysoiev, Dmytro; Huhn, Thomas; Pauly, Fabian
2017-01-01
Diarylethene-derived molecules alter their electronic structure upon transformation between the open and closed forms of the diarylethene core, when exposed to ultraviolet (UV) or visible light. This transformation results in a significant variation of electrical conductance and vibrational properties of corresponding molecular junctions. We report here a combined experimental and theoretical analysis of charge transport through diarylethene-derived single-molecule devices, which are created using the mechanically controlled break-junction technique. Inelastic electron tunneling (IET) spectroscopy measurements performed at 4.2 K are compared with first-principles calculations in the two distinct forms of diarylethenes connected to gold electrodes. The combined approach clearly demonstrates that the IET spectra of single-molecule junctions show specific vibrational features that can be used to identify different isomeric molecular states by transport experiments. PMID:29259875
Electronic transport properties of suspended few-nm black phosphorus nanoribbons
NASA Astrophysics Data System (ADS)
Masih Das, Paul; Danda, Gopinath; Cupo, Andrew; Jothi Thiruraman, Priyanka; Meunier, Vincent; Drndic, Marija
Theoretical studies of few-nm wide black phosphorus nanoribbons have revealed highly tunable, width-dependent properties such as modulation of bandgap magnitude and carrier mobility. Due to the atmospheric instability of black phosphorus in the few-layer regime and a lack of suitable lithographic patterning techniques, these structures have yet to be reported. Here, we demonstrate the fabrication of few-nm wide and thick black phosphorus nanoribbons via in situ electron beam nanosculpting. We also present in situ orientation- and width-dependent two-terminal electronic transport measurements of these structures. These measurements yield valuable insight into the semiconducting properties of black phosphorus and its associated lower-dimensional nanostructures. NIH Grant R21HG007856, NSF Grant EFRI 2-DARE (EFRI-1542707).
Design of materials configurations for enhanced phononic and electronic properties
NASA Astrophysics Data System (ADS)
Daraio, Chiara
The discovery of novel nonlinear dynamic and electronic phenomena is presented for the specific cases of granular materials and carbon nanotubes. This research was conducted for designing and constructing optimized macro-, micro- and nano-scale structural configurations of materials, and for studying their phononic and electronic behavior. Variation of composite arrangements of granular elements with different elastic properties in a linear chain-of-sphere, Y-junction or 3-D configurations led to a variety of novel phononic phenomena and interesting physical properties, which can be potentially useful for security, communications, mechanical and biomedical engineering applications. Mechanical and electronic properties of carbon nanotubes with different atomic arrangements and microstructures were also investigated. Electronic properties of Y-junction configured carbon nanotubes exhibit an exciting transistor switch behavior which is not seen in linear configuration nanotubes. Strongly nonlinear materials were designed and fabricated using novel and innovative concepts. Due to their unique strongly nonlinear and anisotropic nature, novel wave phenomena have been discovered. Specifically, violations of Snell's law were detected and a new mechanism of wave interaction with interfaces between NTPCs (Nonlinear Tunable Phononic Crystals) was established. Polymer-based systems were tested for the first time, and the tunability of the solitary waves speed was demonstrated. New materials with transformed signal propagation speed in the manageable range of 10-100 m/s and signal amplitude typical for audible speech have been developed. The enhancing of the mitigation of solitary and shock waves in 1-D chains were demonstrated and a new protective medium was designed for practical applications. 1-D, 2-D and 3-D strongly nonlinear system have been investigated providing a broad impact on the whole area of strongly nonlinear wave dynamics and creating experimental basis for new
Free electron laser-driven ultrafast rearrangement of the electronic structure in Ti
Principi, E.; Giangrisostomi, E.; Cucini, R.; Bencivenga, F.; Battistoni, A.; Gessini, A.; Mincigrucci, R.; Saito, M.; Di Fonzo, S.; D'Amico, F.; Di Cicco, A.; Gunnella, R.; Filipponi, A.; Giglia, A.; Nannarone, S.; Masciovecchio, C.
2015-01-01
High-energy density extreme ultraviolet radiation delivered by the FERMI seeded free-electron laser has been used to create an exotic nonequilibrium state of matter in a titanium sample characterized by a highly excited electron subsystem at temperatures in excess of 10 eV and a cold solid-density ion lattice. The obtained transient state has been investigated through ultrafast absorption spectroscopy across the Ti M2,3-edge revealing a drastic rearrangement of the sample electronic structure around the Fermi level occurring on a time scale of about 100 fs. PMID:26798835
Electron Spectroscopy: Ultraviolet and X-Ray Excitation.
ERIC Educational Resources Information Center
Baker, A. D.; And Others
1980-01-01
Reviews recent growth in electron spectroscopy (54 papers cited). Emphasizes advances in instrumentation and interpretation (52); photoionization, cross-sections and angular distributions (22); studies of atoms and small molecules (35); transition, lanthanide and actinide metal complexes (50); organometallic (12) and inorganic compounds (2);…
Juno Ultraviolet Spectrograph (Juno-UVS) Observations of Jupiter during Approach
NASA Astrophysics Data System (ADS)
Gladstone, Randy; Versteeg, Maarten; Greathouse, Thomas K.; Hue, Vincent; Davis, Michael; Gerard, Jean-Claude; Grodent, Denis; Bonfond, Bertrand
2016-10-01
We present the initial results from Juno Ultraviolet Spectrograph (Juno-UVS) observations of Jupiter obtained during approach in June 2016. Juno-UVS is an imaging spectrograph with a bandpass of 70<λ<205 nm. This wavelength range includes all important ultraviolet (UV) emissions from the H2 bands and the H Lyman series which are produced in Jupiter's auroras, and also the absorption signatures of aurorally-produced hydrocarbons. The Juno-UVS instrument telescope has a 4 x 4 cm2 input aperture and uses an off-axis parabolic primary mirror. A flat scan mirror situated near the entrance of the telescope is used to observe at up to ±30° perpendicular to the Juno spin plane. The light is focused onto the spectrograph entrance slit, which has a "dog-bone" shape 7.2° long, in three sections of 0.2°, 0.025°, and 0.2° width (as projected onto the sky). Light entering the slit is dispersed by a toroidal grating which focuses UV light onto a curved microchannel plate (MCP) cross delay line (XDL) detector with a solar blind UV-sensitive CsI photocathode. Tantalum surrounds the spectrograph assembly to shield the detector and its electronics from high-energy electrons. All other electronics are located in Juno's spacecraft vault, including redundant low-voltage and high-voltage power supplies, command and data handling electronics, heater/actuator electronics, scan mirror electronics, and event processing electronics. The purpose of Juno-UVS is to remotely sense Jupiter's auroral morphology and brightness to provide context for in situ measurements by Juno's particle instruments. Prior to Jupiter Orbit Insertion (JOI) on July 5, Juno approach observations provide a rare opportunity to correlate local solar wind conditions with Jovian auroral emissions. Some of Jupiter's auroral emissions (e.g., polar emissions) may be controlled or at least affected by the solar wind. Here we compare synoptic Juno-UVS observations of Jupiter's auroral emissions (~40 minutes per hour
NASA Astrophysics Data System (ADS)
Liu, Garnett; Huhn, William; Mitzi, David B.; Kanai, Yosuke; Blum, Volker
We present a study of the electronic structure of layered hybrid organic-inorganic perovskite (HOIP) materials using all-electron density-functional theory. Varying the nature of the organic and inorganic layers should enable systematically fine-tuning the carrier properties of each component. Using the HSE06 hybrid density functional including spin-orbit coupling (SOC), we validate the principle of tuning subsystem-specific parts of the electron band structures and densities of states in CH3NH3PbX3 (X=Cl, Br, I) compared to a modified organic component in layered (C6H5C2H4NH3) 2PbX4 (X=Cl, Br, I) and C20H22S4N2PbX4 (X=Cl, Br, I). We show that tunable shifts of electronic levels indeed arise by varying Cl, Br, I as the inorganic components, and CH3NH3+ , C6H5C2H4NH3+ , C20H22S4N22 + as the organic components. SOC is found to play an important role in splitting the conduction bands of the HOIP compounds investigated here. The frontier orbitals of the halide shift, increasing the gap, when Cl is substituted for Br and I.
Effects of LEO Environment on Tensile Properties of PEEK Films
NASA Astrophysics Data System (ADS)
Nakamura, Takashi; Nakamura, Hiroshi; Shimamura, Hiroyuki
2009-01-01
To clarify the effects of space environment on mechanical properties of polymer, exposure experiments were conducted utilizing the International Space Station Russian Service Module. Poly-ether-ether-ketone (PEEK) films under tensile stress were exposed to low Earth orbit (LEO) environment, and reference samples were irradiated with atomic oxygen (AO), electron beam (EB), and ultraviolet light (UV) in ground facilities. By comparing the results of flight and ground tests, the degradation behavior and the influential factors in LEO were investigated. The following results were obtained. (1) UV was found to be the harshest factor in LEO on tensile properties, since it decreased elongation to 15% of pristine sample after 46-months exposure. (2) AO in LEO eroded the specimen surface with a cone-like morphology and reduced the thickness; however, it had no significant effect on tensile properties. (3) EB irradiation in LEO had no measurable effects on the material properties.
The Mars diffuse aurora: A model of ultraviolet and visible emissions
NASA Astrophysics Data System (ADS)
Gérard, J.-C.; Soret, L.; Shematovich, V. I.; Bisikalo, D. V.; Bougher, S. W.
2017-05-01
A new type of Martian aurora, characterized by an extended spatial distribution, an altitude lower than the discrete aurora and electron precipitation up to 200 keV has been observed following solar activity on several occasions from the MAVEN spacecraft. We describe the results of Monte Carlo simulations of the production of several ultraviolet and violet auroral emissions for initial electron energies extending from 0.25 to 200 keV. These include the CO2+ ultraviolet doublet (UVD) at 288.3 and 289.6 nm and the Fox-Duffendack-Barker (FDB) bands, CO Cameron and Fourth Positive bands, OI 130.4 and 297.2 nm and CI 156.1 nm and 165.7 nm multiplets. We calculate the nadir and limb production rates of several of these emissions for a unit precipitated energy flux. Our results indicate that electrons in the range 50-200 keV produce maximum CO2+ UVD emission below 75 km, in agreement with the MAVEN observations. We calculate the efficiency of photon production per unit precipitated electron power. The strongest emissions are the CO2+ FDB, UVD and CO Cameron bands and the oxygen emission at 297.2 nm. The metastable a 3Π state which radiates the Cameron bands is deactivated by collisions below about 110 km. As a consequence, we show that the Cameron band emission is expected to peak at a higher altitude than the CO2+ UVD and FDB bands. Collisional quenching also causes the intensity ratio of the CO2+ UVD to CO Cameron bands to increase below ∼100 km in the energetic diffuse aurora.
Jin, Peng; Gao, Kunshan; Villafañe, Virginia E; Campbell, Douglas A; Helbling, E Walter
2013-08-01
Mixing of seawater subjects phytoplankton to fluctuations in photosynthetically active radiation (400-700 nm) and ultraviolet radiation (UVR; 280-400 nm). These irradiance fluctuations are now superimposed upon ocean acidification and thinning of the upper mixing layer through stratification, which alters mixing regimes. Therefore, we examined the photosynthetic carbon fixation and photochemical performance of a coccolithophore, Gephyrocapsa oceanica, grown under high, future (1,000 μatm) and low, current (390 μatm) CO₂ levels, under regimes of fluctuating irradiances with or without UVR. Under both CO₂ levels, fluctuating irradiances, as compared with constant irradiance, led to lower nonphotochemical quenching and less UVR-induced inhibition of carbon fixation and photosystem II electron transport. The cells grown under high CO₂ showed a lower photosynthetic carbon fixation rate but lower nonphotochemical quenching and less ultraviolet B (280-315 nm)-induced inhibition. Ultraviolet A (315-400 nm) led to less enhancement of the photosynthetic carbon fixation in the high-CO₂-grown cells under fluctuating irradiance. Our data suggest that ocean acidification and fast mixing or fluctuation of solar radiation will act synergistically to lower carbon fixation by G. oceanica, although ocean acidification may decrease ultraviolet B-related photochemical inhibition.
Geometric, electronic, and bonding properties of AuNM (N = 1-7, M = Ni, Pd, Pt) clusters.
Yuan, D W; Wang, Yang; Zeng, Zhi
2005-03-15
Employing first-principles methods, based on density functional theory, we report the ground state geometric and electronic structures of gold clusters doped with platinum group atoms, Au(N)M (N = 1-7, M = Ni, Pd, Pt). The stability and electronic properties of Ni-doped gold clusters are similar to that of pure gold clusters with an enhancement of bond strength. Due to the strong d-d or s-d interplay between impurities and gold atoms originating in the relativistic effects and unique properties of dopant delocalized s-electrons in Pd- and Pt-doped gold clusters, the dopant atoms markedly change the geometric and electronic properties of gold clusters, and stronger bond energies are found in Pt-doped clusters. The Mulliken populations analysis of impurities and detailed decompositions of bond energies as well as a variety of density of states of the most stable dopant gold clusters are given to understand the different effects of individual dopant atom on bonding and electronic properties of dopant gold clusters. From the electronic properties of dopant gold clusters, the different chemical reactivity toward O(2), CO, or NO molecule is predicted in transition metal-doped gold clusters compared to pure gold clusters.
NASA Astrophysics Data System (ADS)
Khan, Wilayat; Hussain, Sajjad; Minar, Jan; Azam, Sikander
2018-02-01
Ternary chalcohalides have been widely utilized for different device applications. The thermoelectric properties of SbSI, SbSeI and SbSBr have been investigated by theoretical simulations, and the findings have been performed using BoltzTraP code, based on semi-classical Boltzmann transport theory. In this study, we simulated the electronic structures using the Englo-Vosko generalized gradient approximation employed in the WIEN2k program. From the electronic band structures, we found a combination of light and heavy bands around the Fermi level in the valence band, which strongly affect the effective masses of the carriers. The entire thermoelectric parameters, like the electrical, the electronic part of the thermal conductivities, the Seebeck coefficient and the power factor have been analysed as functions of temperature and chemical potential. The correlation between the effective masses and the thermoelectric properties is also included in the discussion because the effective mass reveals the mobility of the carriers which in turn affect the thermoelectric properties. The substitution of sulfur reveals high electrical conductivity and a smaller Seebeck coefficient based on effective mass leads to the increase in the power factor.
Effects of moiré lattice structure on electronic properties of graphene
NASA Astrophysics Data System (ADS)
Huang, Lunan; Wu, Yun; Hershberger, M. T.; Mou, Daixiang; Schrunk, Benjamin; Tringides, Michael C.; Hupalo, Myron; Kaminski, Adam
2017-07-01
We study structural and electronic properties of graphene grown on silicone carbide (SiC) substrate using a scanning tunneling microscope, spot-profile-analysis low-energy electron diffraction, and angle-resolved photoemission spectroscopy. We find several new replicas of Dirac cones in the Brillouin zone. Their locations can be understood in terms of a combination of basis vectors linked to SiC 6 × 6 and graphene 6 √{3 }×6 √{3 } reconstruction. Therefore, these new features originate from the moiré caused by the lattice mismatch between SiC and graphene. More specifically, Dirac cone replicas are caused by underlying weak modulation of the ionic potential by the substrate that is then experienced by the electrons in the graphene. We also demonstrate that this effect is equally strong in single- and trilayer graphene; therefore, the additional Dirac cones are intrinsic features rather than the result of photoelectron diffraction. These new features in the electronic structure are very important for the interpretation of recent transport measurements and can assist in tuning the properties of graphene for practical applications.
Influence of electron irradiation on the structural and thermal properties of silk fibroin films
NASA Astrophysics Data System (ADS)
Asha, S.; Sangappa, Sanjeev, Ganesh
2015-06-01
Radiation-induced changes in Bombyx mori silk fibroin (SF) films under electron irradiation were investigated and correlated with dose. SF films were irradiated in air at room temperature using 8 MeV electron beam in the range 0-150 kGy. Various properties of the irradiated SF films were studied using X-ray diffraction (XRD), Differential Scanning Calorimetry (DSC) and Thermogravimetric Analysis (TGA). Electron irradiation was found to induce changes in the physical and thermal properties, depending on the radiation dose.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Stash, A.I.; Tsirelson, V.G.
2005-03-01
Methods for calculating some properties of molecules and crystals from the electron density reconstructed from a precise X-ray diffraction experiment using the multipole model are considered. These properties include, on the one hand, the characteristics of the electron density and the inner-crystal electrostatic field and, on the other hand, the local electronic energies (kinetic, potential, total), the exchange energy density, the electron-pair localization function, the localized-orbital locator, the effective crystal potential, and others. It is shown that the integration of these characteristics over pseudoatomic volumes bounded by the surfaces of the zero flux of the electron density gradient makes itmore » possible to characterize directly from an experiment the properties of molecules and crystals in terms of the atomic contributions. The computer program WinXPRO2004, realizing these possibilities, is briefly described.« less
A first-principles study of electronic properties of H and F-terminated zigzag BNC nanoribbons
DOE Office of Scientific and Technical Information (OSTI.GOV)
Alaal, Naresh; Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai 400076, India.; Department of Materials Engineering, Monash University, Clayton, Victoria -3800, Australia.
2016-05-06
Nanoribbons are quasi one-dimensional structures which have interesting electronic properties on the basis of their edge geometries, and width. We studied the electronic properties of hydrogen and fluorine-terminated zigzag BNC nanoribbons (BNCNRs) using a first-principles based density functional theory approach. We considered BNCNRs that were composed of an equal number of C-C and B-N dimers; one of the edges ends with an N atom and opposite edge ends with a C atom. These two edge atoms are passivated by H or F atoms. Our results suggest that hydrogen-terminated BNCNRs (H-BNCNRs) and flourine-terminated BNCNRs (F-BNCNRs) have different electronic properties. H-BNCNRs exhibitmore » intrinsic half-metallic behavior while F-BNCNRs are indirect band gap semiconductors. Chemical functionalization of BNCNRs with H and F atoms show that BNCNRs have a diverse range of electronic properties.« less
Strain Modulation of Electronic and Heat Transport Properties of Bilayer Boronitrene
NASA Astrophysics Data System (ADS)
Yang, Ming; Sun, Fang-Yuan; Wang, Rui-Ning; Zhang, Hang; Tang, Da-Wei
2017-10-01
Strain engineering has been proven as an effective approach to modify electronic and thermal properties of materials. Recently, strain effects on two-dimensional materials have become important relevant topics in this field. We performed density functional theory studies on the electronic and heat transport properties of bilayer boronitrene samples under an isotropic strain. We demonstrate that the strain will reduce the band gap width but keep the band gap type robust and direct. The strain will enhance the thermal conductivity of the system because of the increase in specific heat. The thermal conductivity was studied as a function of the phonon mean-free path.
Ultraviolet Thomson Scattering from Direct-Drive Coronal Plasmas in Multilayer Targets
NASA Astrophysics Data System (ADS)
Henchen, R. J.; Goncharov, V. N.; Michel, D. T.; Follett, R. K.; Katz, J.; Froula, D. H.
2014-10-01
Ultraviolet (λ4 ω = 263 nm) Thomson scattering (TS) was used to probe ion-acoustic waves (IAW's) and electron plasma waves (EPW's) from direct-drive coronal plasmas. Fifty-nine drive beams (λ3 ω = 351 nm) illuminate a spherical target with a radius of ~ 860 μ m. A series of experiments studied the effect of higher electron temperature near the 3 ω quarter-critical surface (~ 2 . 5 ×1021 cm-3) on laser-plasma interactions resulting from a Si layer in the target. Electron temperatures and densities were measured from 150 to 400 μm from the initial target surface. Standard CH shells were compared to two-layered shells of CH and Si and three-layered shells of CH, Si, and CH. These multilayer targets have less hot-electron energy than standard CH shells as a result of higher electron temperature in the coronal plasmas. This material is based upon work supported by the Department of Energy National Nuclear Security Administration under Award Number DE-NA0001944.
Electronic structure and physicochemical properties of selected penicillins
NASA Astrophysics Data System (ADS)
Soriano-Correa, Catalina; Ruiz, Juan F. Sánchez; Raya, A.; Esquivel, Rodolfo O.
Traditionally, penicillins have been used as antibacterial agents due to their characteristics and widespread applications with few collateral effects, which have motivated several theoretical and experimental studies. Despite the latter, their mechanism of biological action has not been completely elucidated. We present a theoretical study at the Hartree-Fock and density functional theory (DFT) levels of theory of a selected group of penicillins such as the penicillin-G, amoxicillin, ampicillin, dicloxacillin, and carbenicillin molecules, to systematically determine the electron structure of full ?-lactam antibiotics. Our results allow us to analyze the electronic properties of the pharmacophore group, the aminoacyl side-chain, and the influence of the substituents (R and X) attached to the aminoacyl side-chain at 6? (in contrast with previous studies focused at the 3? substituents), and to corroborate the results of previous studies performed at the semiempirical level, solely on the ?-lactam ring of penicillins. Besides, several density descriptors are determined with the purpose of analyzing their link to the antibacterial activity of these penicillin compounds. Our results for the atomic charges (fitted to the electrostatic potential), the bond orders, and several global reactivity descriptors, such as the dipole moments, ionization potential, hardness, and the electrophilicity index, led us to characterize: the active sites, the effect of the electron-attracting substituent properties and their physicochemical features, which altogether, might be important to understand the biological activity of these type of molecules.
Structural and electronic properties of L-amino acids
NASA Astrophysics Data System (ADS)
Tulip, P. R.; Clark, S. J.
2005-05-01
The structural and electronic properties of four L-amino acids alanine, leucine, isoleucine, and valine have been investigated using density functional theory (DFT) and the generalized gradient approximation. Within the crystals, it is found that the constituent molecules adopt zwitterionic configurations, in agreement with experimental work. Lattice constants are found to be in good agreement with experimentally determined values, although certain discrepancies do exist due to the description of van der Waals interactions. We find that these materials possess wide DFT band gaps in the region of 5 eV, with electrons highly localized to the constituent molecules. It is found that the main mechanisms behind crystal formation are dipolar interactions and hydrogen bonding of a primarily electrostatic character, in agreement with current biochemical understanding of these systems. The electronic structure suggests that the amine and carboxy functional groups are dominant in determining band structure.
Electronic and Chemical Properties of a Surface-Terminated Screw Dislocation in MgO
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mckenna, Keith P.
2013-12-18
Dislocations represent an important and ubiquitous class of topological defect found at the surfaces of metal oxide materials. They are thought to influence processes as diverse as crystal growth, corrosion, charge trapping, luminescence, molecular adsorption and catalytic activity, however, their electronic and chemical properties remain poorly understood. Here, through a detailed first principles investigation into the properties of a surface terminated screw dislocation in MgO we provide atomistic insight into these issues. We show that surface dislocations can exhibit intriguing electron trapping properties which are important for understanding the chemical and electronic characteristics of oxide surfaces. The results presented inmore » this article taken together with recent experimental reports show that surface dislocations can be equally as important as more commonly considered surface defects, such as steps, kinks and vacanies, but are now just beginning to be understood.« less
Electronic and Chemical Properties of a Surface-Terminated Screw Dislocation in MgO
2013-01-01
Dislocations represent an important and ubiquitous class of topological defect found at the surfaces of metal oxide materials. They are thought to influence processes as diverse as crystal growth, corrosion, charge trapping, luminescence, molecular adsorption, and catalytic activity; however, their electronic and chemical properties remain poorly understood. Here, through a detailed first-principles investigation into the properties of a surface-terminated screw dislocation in MgO we provide atomistic insight into these issues. We show that surface dislocations can exhibit intriguing electron trapping properties which are important for understanding the chemical and electronic characteristics of oxide surfaces. The results presented in this article taken together with recent experimental reports show that surface dislocations can be equally as important as more commonly considered surface defects, such as steps, kinks, and vacancies, but are now just beginning to be understood. PMID:24279391
[Ultraviolet radiation and long term space flight].
Wu, H B; Su, S N; Ba, F S
2000-08-01
With the prolongation of space flight, influences of various aerospace environmental factors on the astronauts become more and more severe, while ultraviolet radiation is lacking. Some studies indicated that low doses of ultraviolet rays are useful and essential for human body. In space flight, ultraviolet rays can improve the hygienic condition in the space cabin, enhance astronaut's working ability and resistance to unfavorable factors, prevent mineral metabolic disorders, cure purulent skin diseases and deallergize the allergens. So in long-term space flight, moderate amount of ultraviolet rays in the space cabin would be beneficial.
Sun, Jian; Li, Xu; Zhang, Weili; Yi, Kui; Shao, Jianda
2012-12-10
Aluminum fluoride (AlF(3)) is a low-refractive-index material widely used in coatings for deep-ultraviolet (DUV) optical systems, especially 193 nm laser systems. Low optical loss and stability are essential for film application. In this study, AlF(3)> thin films were prepared by thermal evaporation with a resistive heating boat. The effects of substrate temperatures and deposition rates on the optical properties in vacuum and in air, composition, and microstructures were discussed respectively. In vacuum the deposition parameters directly influenced the microstructures that determined the refractive index. When the films were exposed to air, aluminum oxide (Al(2)O(3)) formed in the films with water adsorption. Thus the refractive index increased and a nonmonotonic changing trend of the refractive index with substrate temperature was observed. The Al(2)O(3) was also found to be conductive to reducing absorption loss. AlF(3) films prepared at a high substrate temperature and deposition rate could yield stable structures with large optical loss.
Ultraviolet spectrophotometry of three LINERs
NASA Technical Reports Server (NTRS)
Goodrich, R. W.; Keel, W. C.
1986-01-01
Three galaxies known to be LINERs were observed spectroscopically in the ultraviolet in an attempt to detect the presumed nonthermal continuum source thought to be the source of photoionization in the nuclei. NGC 4501 was found to be too faint for study with the IUE spectrographs, while NGC 5005 had an extended ultraviolet light profile. Comparison with the optical light profile of NGC 5005 indicates that the ultraviolet source is distributed spatially in the same manner as the optical starlight, probably indicating that the ultraviolet excess is due to a component of hot stars in the nucleus. These stars contribute detectable absorption features longward of 2500 A; together with optical data, the IUE spectra suggest a burst of star formation about 1 billion yr ago, with a lower rate continuing to produce a few OB stars. In NGC 4579, a point source contributing most of the ultraviolet excess is found that is much different than the optical light distribution. Furthermore, the ultraviolet to X-ray spectral index in NGC 4579 is 1.4, compatible with the UV to X-ray indices found for samples of Seyfert galaxies. This provides compelling evidence for the detection of the photoionizing continuum in NGC 4579 and draws the research fields of normal galaxies and active galactic nuclei closer together. The emission-line spectrum of NGC 4579 is compared with calculations from a photoionization code, CLOUDY, and several shock models. The photoionization code is found to give superior results, adding to the increasing weight of evidence that the LINER phenomenon is essentially a scaled-down version of the Seyfert phenomenon.
Vacuum ultraviolet imagery of the Virgo Cluster region. II - Total far-ultraviolet flux of galaxies
NASA Astrophysics Data System (ADS)
Kodaira, K.; Watanabe, T.; Onaka, T.; Tanaka, W.
1990-11-01
The total flux in the far-ultraviolet region around 150 nm was measured for more than 40 galaxies in the central region of the Virgo Cluster, using two imaging telescopes on board a sounding rocket. The observed far-ultraviolet flux shows positive correlations with the H I 21 cm flux and the far-infrared flux for spiral galaxies, and with the X-ray flux and the radio continuum flux for elliptical galaxies. The former correlations of spiral galaxies are interpreted in terms of star formation activity, which indicates substantial depletion in the Virgo galaxies in accordance with the H I stripping. The latter correlations of elliptical galaxies indicate possible far-ultraviolet sources of young population, in addition to evolved hot stars. Far-ultraviolet fluxes from two dwarf elliptical galaxies were obtained tentatively, indicating star formation activity in elliptical galaxies. A high-resolution UV imagery by HST would be effective to distinguish the young population and the old population in elliptical galaxies.
Semi-transparent all-oxide ultraviolet light-emitting diodes based on ZnO/NiO-core/shell nanowires
NASA Astrophysics Data System (ADS)
Shi, Zhi-Feng; Xu, Ting-Ting; Wu, Di; Zhang, Yuan-Tao; Zhang, Bao-Lin; Tian, Yong-Tao; Li, Xin-Jian; Du, Guo-Tong
2016-05-01
Semi-transparent all-oxide light-emitting diodes based on ZnO/NiO-core/shell nanowire structures were prepared on double-polished c-Al2O3 substrates. The entire heterojunction diode showed an average transparency of ~65% in the ultraviolet and visible regions. Under forward bias, the diode displayed an intense ultraviolet emission at ~382 nm, and its electroluminescence performance was remarkable in terms of a low emission onset, acceptable operating stability, and the ability to optically excite emissive semiconductor nanoparticle chromophores.Semi-transparent all-oxide light-emitting diodes based on ZnO/NiO-core/shell nanowire structures were prepared on double-polished c-Al2O3 substrates. The entire heterojunction diode showed an average transparency of ~65% in the ultraviolet and visible regions. Under forward bias, the diode displayed an intense ultraviolet emission at ~382 nm, and its electroluminescence performance was remarkable in terms of a low emission onset, acceptable operating stability, and the ability to optically excite emissive semiconductor nanoparticle chromophores. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07236k
Ultraviolet safety assessments of insect light traps.
Sliney, David H; Gilbert, David W; Lyon, Terry
2016-01-01
Near-ultraviolet (UV-A: 315-400 nm), "black-light," electric lamps were invented in 1935 and ultraviolet insect light traps (ILTs) were introduced for use in agriculture around that time. Today ILTs are used indoors in several industries and in food-service as well as in outdoor settings. With recent interest in photobiological lamp safety, safety standards are being developed to test for potentially hazardous ultraviolet emissions. A variety of UV "Black-light" ILTs were measured at a range of distances to assess potential exposures. Realistic time-weighted human exposures are shown to be well below current guidelines for human exposure to ultraviolet radiation. These UV-A exposures would be far less than the typical UV-A exposure in the outdoor environment. Proposals are made for realistic ultraviolet safety standards for ILT products.
Coupled study by TEM/EELS and STM/STS of electronic properties of C- and CN-nanotubes
NASA Astrophysics Data System (ADS)
Lin, Hong; Lagoute, Jérôme; Repain, Vincent; Chacon, Cyril; Girard, Yann; Lauret, Jean-Sébastien; Arenal, Raul; Ducastelle, François; Rousset, Sylvie; Loiseau, Annick
2011-12-01
Carbon nanotubes are the focus of considerable research efforts due to their fascinating physical properties. They provide an excellent model system for the study of one-dimensional materials and molecular electronics. The chirality of nanotubes can lead to very different electronic behaviour, either metallic or semiconducting. Their electronic spectrum consists of a series of Van Hove singularities defining a bandgap for semiconducting tubes and molecular orbitals at the corresponding energies. A promising way to tune the nanotubes electronic properties for future applications is to use doping by heteroatoms. Here we report on the experimental investigation of the role of many-body interactions in nanotube bandgaps, the visualization in direct space of the molecular orbitals of nanotubes and the properties of nitrogen doped nanotubes using scanning tunneling microscopy and transmission electron microscopy as well as electron energy loss spectroscopy.
Lancaster, David G.; Monro, Tanya M.
2017-01-01
Optical microfibers possess excellent optical and mechanical properties that have been exploited for sensing. We highlight the authors’ recent work in the areas of current, temperature, acceleration, acoustic, humidity and ultraviolet-light sensing based on this exquisite technology, and the advantages and challenges of using optical microfibers are discussed. PMID:29283414
2008-04-16
This ultraviolet image from NASA Galaxy Evolution Explorer shows the Southern Pinwheel galaxy, also know as Messier 83 or M83. It is located 15 million light-years away in the southern constellation Hydra.
Hermite scatterers in an ultraviolet sky
NASA Astrophysics Data System (ADS)
Parker, Kevin J.
2017-12-01
The scattering from spherical inhomogeneities has been a major historical topic in acoustics, optics, and electromagnetics and the phenomenon shapes our perception of the world including the blue sky. The long wavelength limit of ;Rayleigh scattering; is characterized by intensity proportional to k4 (or λ-4) where k is the wavenumber and λ is the wavelength. With the advance of nanotechnology, it is possible to produce scatterers that are inhomogeneous with material properties that are functions of radius r, such as concentric shells. We demonstrate that with proper choice of material properties linked to the Hermite polynomials in r, scatterers can have long wavelength scattering behavior of higher powers: k8, k16, and higher. These ;Hermite scatterers; could be useful in providing unique signatures (or colors) to regions where they are present. If suspended in air under white light, the back-scattered spectrum would be shifted from blue towards violet and then ultraviolet as the higher order Hermite scatterers were illuminated.
Determining coronal electron temperatures from observations with UVCS/SOHO
NASA Technical Reports Server (NTRS)
Fineschi, S.; Esser, R.; Habbal, S. R.; Karovska, M.; Romoli, M.; Strachan, L.; Kohl, J. L.; Huber, M. C. E.
1995-01-01
The electron temperature is a fundamental physical parameter of the coronal plasma. Currently, there are no direct measurements of this quantity in the extended corona. Observations with the Ultraviolet Coronagraph Spectrometer (UVCS) aboard the upcoming Solar and Heliospheric Observatory (SOHO) mission can provide the most direct determination of the electron kinetic temperature (or, more precisely, the electron velocity distribution along the line of sight). This measurement is based on the observation of the Thomson-scattered Lyman alpha (Ly-alpha) profile. This observation is made particularly challenging by the fact that the integrated intensity of the electron-scattered Ly-alpha line is about 10(exp 3) times fainter than that of the resonantly-scattered Ly-alpha component. In addition, the former is distributed across 50 A (FWHM), unlike the latter that is concentrated in 1 A. These facts impose stringent requirements on the stray-light rejection properties of the coronagraph/spectrometer, and in particular on the requirements for the grating. We make use of laboratory measurements of the UVCS Ly-alpha grating stray-light, and of simulated electron-scattered Ly-alpha profiles to estimate the expected confidence levels of electron temperature determination. Models of different structures typical of the corona (e.g., streamers, coronal holes) are used for this parameter study.
Electron Correlation and Tranport Properties in Nuclear Fuel Materials
NASA Astrophysics Data System (ADS)
Yin, Quan; Haule, Kristjan; Kotliar, Gabriel; Savrasov, Sergey; Pickett, Warren
2011-03-01
Using first principle LDA+DMFT method, we conduct a systematic study on the correlated electronic structures and transport properties of select actinide carbides, nitrides, and oxides, many of which are nuclear fuel materials. Our results capture the metal--insulator Mott transition within the studied systems, and the appearance of the Zhang-Rice state in uranium dioxide. More importantly, by understanding the physics underlying their transport properties, we suggest ways to improve the efficiency of currently used fuels. This work is supported by the DOE Nuclear Energy University Program, contract No. 00088708.
NASA Astrophysics Data System (ADS)
Knippenberg, S.; Nixon, K. L.; Brunger, M. J.; Maddern, T.; Campbell, L.; Trout, N.; Wang, F.; Newell, W. R.; Deleuze, M. S.; Francois, J.-P.; Winkler, D. A.
2004-12-01
We report on the results of an exhaustive study of the valence electronic structure of norbornane (C7H12), up to binding energies of 29 eV. Experimental electron momentum spectroscopy and theoretical Green's function and density functional theory approaches were all utilized in this investigation. A stringent comparison between the electron momentum spectroscopy and theoretical orbital momentum distributions found that, among all the tested models, the combination of the Becke-Perdew functional and a polarized valence basis set of triple-ζ quality provides the best representation of the electron momentum distributions for all of the 20 valence orbitals of norbornane. This experimentally validated quantum chemistry model was then used to extract some chemically important properties of norbornane. When these calculated properties are compared to corresponding results from other independent measurements, generally good agreement is found. Green's function calculations with the aid of the third-order algebraic diagrammatic construction scheme indicate that the orbital picture of ionization breaks down at binding energies larger than 22.5 eV. Despite this complication, they enable insights within 0.2 eV accuracy into the available ultraviolet photoemission and newly presented (e,2e) ionization spectra, except for the band associated with the 1a2-1 one-hole state, which is probably subject to rather significant vibronic coupling effects, and a band at ˜25 eV characterized by a momentum distribution of "s-type" symmetry, which Green's function calculations fail to reproduce. We note the vicinity of the vertical double ionization threshold at ˜26 eV.
Holick, Michael F
2016-03-01
Humans evolved in sunlight and had depended on sunlight for its life giving properties that was appreciated by our early ancestors. However, for more than 40 years the lay press and various medical and dermatology associations have denounced sun exposure because of its association with increased risk for skin cancer. The goal of this review is to put into perspective the many health benefits that have been associated with exposure to sunlight, ultraviolet A (UVA) ultraviolet B (UVB), visible and infrared radiation. Copyright© 2016 International Institute of Anticancer Research (Dr. John G. Delinassios), All rights reserved.
Electronic Structure and Thermoelectric Properties of Transition Metal Monosilicides
NASA Astrophysics Data System (ADS)
Pshenay-Severin, D. A.; Ivanov, Yu. V.; Burkov, A. T.; Novikov, S. V.; Zaitsev, V. K.; Reith, H.
2018-06-01
We present theoretical and experimental results on electronic structure and thermoelectric properties of cobalt monosilicide (CoSi) and of Co1- x M x Si diluted alloys (M = Fe and Ni) at temperatures from 2 K to 800 K. CoSi crystallizes into a non-centrosymmetric cubic B20 structure, which suggests the possibility of a topologically non-trivial electronic structure. We show that the electronic structure of CoSi exhibits linear band crossings in close vicinity to Fermi energy, confirming the possibility of non-trivial topology. The proximity of the linear-dispersion bands to Fermi energy implies their important contribution to the electronic transport. Calculation of thermopower of CoSi, using ab initio band structure and the constant relaxation time approximation, is carried out. It reveals that many body corrections to the electronic spectrum are important in order to obtain qualitative agreement of theoretical and experimental temperature dependences of thermopower. Phonon dispersion and lattice thermal conductivity are calculated. The phonons give a major contribution to the thermal conductivity of the compound below room temperature.
Fetzer, Roman; Stadtmüller, Benjamin; Ohdaira, Yusuke; Naganuma, Hiroshi; Oogane, Mikihiko; Ando, Yasuo; Taira, Tomoyuki; Uemura, Tetsuya; Yamamoto, Masafumi; Aeschlimann, Martin; Cinchetti, Mirko
2015-01-01
Ultraviolet photoemission spectroscopy (UPS) is a powerful tool to study the electronic spin and symmetry features at both surfaces and interfaces to ultrathin top layers. However, the very low mean free path of the photoelectrons usually prevents a direct access to the properties of buried interfaces. The latter are of particular interest since they crucially influence the performance of spintronic devices like magnetic tunnel junctions (MTJs). Here, we introduce spin-resolved extremely low energy photoemission spectroscopy (ELEPS) to provide a powerful way for overcoming this limitation. We apply ELEPS to the interface formed between the half-metallic Heusler compound Co2MnSi and the insulator MgO, prepared as in state-of-the-art Co2MnSi/MgO-based MTJs. The high accordance between the spintronic fingerprint of the free Co2MnSi surface and the Co2MnSi/MgO interface buried below up to 4 nm MgO provides clear evidence for the high interface sensitivity of ELEPS to buried interfaces. Although the absolute values of the interface spin polarization are well below 100%, the now accessible spin- and symmetry-resolved wave functions are in line with the predicted existence of non-collinear spin moments at the Co2MnSi/MgO interface, one of the mechanisms evoked to explain the controversially discussed performance loss of Heusler-based MTJs at room temperature. PMID:25702631
NASA Astrophysics Data System (ADS)
Mishra, P.; Lohani, H.; Kundu, A. K.; Patel, R.; Solanki, G. K.; Menon, Krishnakumar S. R.; Sekhar, B. R.
2015-07-01
The valence band electronic structure of GeSe single crystals has been investigated using angle resolved photoemission spectroscopy (ARPES) and x-ray photoelectron spectroscopy. The experimentally observed bands from ARPES, match qualitatively with our LDA-based band structure calculations along the Γ-Z, Γ-Y and Γ-T symmetry directions. The valence band maximum occurs nearly midway along the Γ-Z direction, at a binding energy of -0.5 eV, substantiating the indirect band gap of GeSe. Non-dispersive features associated with surface states and indirect transitions have been observed. The difference in hybridization of Se and Ge 4p orbitals leads to the variation of dispersion along the three symmetry directions. The predominance of the Se 4pz orbitals, evidenced from theoretical calculations, may be the cause for highly dispersive bands along the Γ-T direction. Detailed electronic structure analysis reveals the significance of the cation-anion 4p orbitals hybridization in the valence band dispersion of IV-VI semiconductors. This is the first comprehensive report of the electronic structure of a GeSe single crystal using ARPES in conjugation with theoretical band structure analysis.
Mechanical properties and the electronic structure of transition of metal alloys
NASA Technical Reports Server (NTRS)
Arsenault, R. J.; Drew, H. D.
1977-01-01
This interdiscipline research program was undertaken in an effort to investigate the relationship between the mechanical strength of Mo based alloys with their electronic structure. Electronic properties of these alloys were examined through optical studies, and the classical solid solution strengthening mechanisms were considered, based on size and molecular differences to determine if these mechanisms could explain the hardness data.
Electronic transport properties of 4f shell elements of liquid metal using hard sphere Yukawa system
NASA Astrophysics Data System (ADS)
Patel, H. P.; Sonvane, Y. A.; Thakor, P. B.
2018-04-01
The electronic transport properties are analyzed for 4f shell elements of liquid metals. To examine the electronic transport properties like electrical resistivity (ρ), thermal conductivity (σ) and thermo electrical power (Q), we used our own parameter free model potential with the Hard Sphere Yukawa (HSY) reference system. The screening effect on aforesaid properties has been examined by using different screening functions like Hartree (H), Taylor (T) and Sarkar (S). The correlations of our resultsand other data with available experimental values are intensely promising. Also, we conclude that our newly constructed parameter free model potential is capable of explaining the above mentioned electronic transport properties.
Electronic and transport properties of Cobalt-based valence tautomeric molecules and polymers
NASA Astrophysics Data System (ADS)
Chen, Yifeng; Calzolari, Arrigo; Buongiorno Nardelli, Marco
2011-03-01
The advancement of molecular spintronics requires further understandings of the fundamental electronic structures and transport properties of prototypical spintronics molecules and polymers. Here we present a density functional based theoretical study of the electronic structures of Cobalt-based valence tautomeric molecules Co III (SQ)(Cat)L Co II (SQ)2 L and their polymers, where SQ refers to the semiquinone ligand, and Cat the catecholate ligand, while L is a redox innocent backbone ligand. The conversion from low-spin Co III ground state to high-spin Co II excited state is realized by imposing an on-site potential U on the Co atom and elongating the Co-N bond. Transport properties are subsequently calculated by extracting electronic Wannier functions from these systems and computing the charge transport in the ballistic regime using a Non-Equilibrium Green's Function (NEGF) approach. Our transport results show distinct charge transport properties between low-spin ground state and high-spin excited state, hence suggesting potential spintronics devices from these molecules and polymers such as spin valves.
Inhibition of Seagrass Photosynthesis by Ultraviolet-B Radiation 1
Trocine, Robert P.; Rice, John D.; Wells, Gary N.
1981-01-01
Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme Kütz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated. Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. This mechanism effectively attenuated photosynthetic inhibition induced by ultraviolet-B dose rates and dosages in excess of natural conditions. Syringodium appeared to rely primarily on a thick epidermal cell layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species. Observations obtained in this study seem to suggest the possibility of anthocyanin and/or other flavonoid synthesis as an adaptation to long term ultraviolet-B irradiation by these species. In addition, Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation. Images PMID:16661893
NASA Astrophysics Data System (ADS)
Nagarajan, V.; Chandiramouli, R.
2018-03-01
The electronic properties of antimonene nanotubes and nanoribbons hydrogenated along the zigzag and armchair borders are investigated with the help of density functional theory (DFT) method. The structural stability of antimonene nanostructures is confirmed with the formation energy. The electronic properties of hydrogenated zigzag and armchair antimonene nanostructures are studied in terms of highest occupied molecular orbital (HOMO) & lowest unoccupied molecular orbital (LUMO) gap and density of states (DOS) spectrum. Moreover, due to the influence of buckled orientation, hydrogen passivation and width of antimonene nanostructures, the HOMO-LUMO gap widens in the range of 0.15-0.41 eV. The findings of the present study confirm that the electronic properties of antimonene nanostructures can be tailored with the influence of width, orientation of the edges, passivation with hydrogen and morphology of antimonene nanostructures (nanoribbons, nanotubes), which can be used as chemical sensor and for spintronic devices.
Fine-tuning of electronic properties in donor-acceptor conjugated polymers based on oligothiophenes
NASA Astrophysics Data System (ADS)
Imae, Ichiro; Sagawa, Hitoshi; Harima, Yutaka
2018-03-01
A novel series of donor-acceptor conjugated polymers having oligothiophenes with well-defined structures were synthesized and their optical, electrochemical, and photovoltaic properties were investigated. It was found that the absorption bands of polymers were red-shifted with increasing number of ethylenedioxy groups added to each oligothiophene unit and that their band edges reached over 1000 nm. The systematical fine-tuning of the electronic properties was achieved using the chemical structures of oligothiophene units. Photovoltaic cells based on polymer/(6,6)-phenyl C61 butyric acid methyl ester (PC61BM) exhibited power conversion efficiencies in the range from 0.004 to 1.10%, reflecting the electronic properties of the polymers.
Electronic and transport properties of a molecular junction with asymmetric contacts.
Tsai, M-H; Lu, T-H
2010-02-10
Asymmetric molecular junctions have been shown experimentally to exhibit a dual-conductance transport property with a pulse-like current-voltage characteristic, by Reed and co-workers. Using a recently developed first-principles integrated piecewise thermal equilibrium current calculation method and a gold-benzene-1-olate-4-thiolate-gold model molecular junction, this unusual transport property has been reproduced. Analysis of the electrostatics and the electronic structure reveals that the high-current state results from subtle bias induced charge transfer at the electrode-molecule contacts that raises molecular orbital energies and enhances the current-contributing molecular density of states and the probabilities of resonance tunneling of conduction electrons from one electrode to another.
Ultraviolet dust aerosol properties as observed by MARCI
NASA Astrophysics Data System (ADS)
Wolff, Michael J.; Todd Clancy, R.; Goguen, Jay D.; Malin, Michael C.; Cantor, Bruce A.
2010-07-01
Observations by the Mars Color Imager (MARCI) on board the Mars Reconnaissance Orbiter (MRO) in two ultraviolet (UV, Bands 6 and 7; 258 nm, and 320 nm, respectively) and one visible (Band 1, 436 nm) channels of the 2007 planet encircling dust storm are combined with those made by the two Mars Exploration Rovers (MERs) to better characterize the single scattering albedo (ω0) of martian dust aerosols. Exploiting the low contrast of the surface in the UV (and blue) as well as the reduced importance of surface reflectance under very dusty conditions, we utilize the sampling of photometric angles by the MARCI cross-track geometry to synthesize an analog of the classical Emergence Phase Function (EPF). This so-called "pseudo-EPF", used in conjunction with the "ground-truth" measurements provided by the MERs, is able to effectively isolate the effects of the dust ω0. The motivation for this approach is the elimination of a significant portion of the type of uncertainty involved in many previous radiative transfer analyses. Furthermore, we produce a self-consistent set of complex refractive indices (m=n+ik) through our use of an explicit microphysical representation of the aerosol scattering properties. Because of uncertainty in the exact size of the dust particles during the epoch of the observations, we consider two effective particle radii (reff) to cover the range anticipated from the literature: 1.6 and 1.8 μm. The resulting set of model-data comparisons, ω0, and m are presented along with an assessment of potential sources of error and uncertainty. Analysis of the Band 1 results is limited to ω0 as a "proof-of-concept" for our approach through a comparison to contemporaneous CRISM EPF results at 440 nm. The derived ω0 are: assuming reff=1.6μm-0.619-0.626,0.648, and 0.765, for Bands 6, 7, and 1, respectively; for reff=1.8μm-0.625-0.635,0.653,0.769, for the same band order. For either reff case, the total estimated error is 0.022, 0.019, and 0.010, again for
Ultraviolet safety assessments of insect light traps
Sliney, David H.; Gilbert, David W.; Lyon, Terry
2016-01-01
ABSTRACT Near-ultraviolet (UV-A: 315–400 nm), “black-light,” electric lamps were invented in 1935 and ultraviolet insect light traps (ILTs) were introduced for use in agriculture around that time. Today ILTs are used indoors in several industries and in food-service as well as in outdoor settings. With recent interest in photobiological lamp safety, safety standards are being developed to test for potentially hazardous ultraviolet emissions. A variety of UV “Black-light” ILTs were measured at a range of distances to assess potential exposures. Realistic time-weighted human exposures are shown to be well below current guidelines for human exposure to ultraviolet radiation. These UV-A exposures would be far less than the typical UV-A exposure in the outdoor environment. Proposals are made for realistic ultraviolet safety standards for ILT products. PMID:27043058
Optical properties of single and bilayer arsenene phases
NASA Astrophysics Data System (ADS)
Kecik, Deniz; Ciraci, Salim; Durgun, Engin
An extensive investigation of the optical properties of single-layer buckled and washboard arsenene and their bilayers was performed, starting from layered three-dimensional (3D) crystalline phase of arsenic using density functional and many-body perturbation theories combined with Random Phase Approximation. Electron-hole interactions were taken into account by solving the Bethe-Salpeter equation, suggesting first bound exciton energies on the order of 0.7 eV. Thus, many-body effects were found to be crucial for altering the optical properties of arsenene. The light absorption of single layer and bilayer arsenene structures in general falls within the visible-ultraviolet (UV) spectral regime. Moreover, directional anisotropy, varying the number of layers and applying homogeneous or uniaxial in-plane tensile strain were found to modify the optical properties of two-dimensional (2D) arsenene phases, which could be useful for diverse photovoltaic and optoelectronic applications. This work was supported by the Scientific and Technological Research Council of Turkey (TUBITAK) under Project No 115F088.
Li, Jian-Cai; Wei, Zeng-Xi; Huang, Wei-Qing; Ma, Li-Li; Hu, Wangyu; Peng, Ping; Huang, Gui-Fang
2018-02-05
A high light-absorption coefficient and long-range hot-carrier transport of hybrid organic-inorganic perovskites give huge potential to their composites in solar energy conversion and environmental protection. Understanding interfacial interactions and their effects are paramount for designing perovskite-based heterostructures with desirable properties. Herein, we systematically investigated the interfacial interactions in monolayer and few-layer SnS/CH 3 NH 3 PbI 3 heterostructures and their effects on the electronic and optical properties of these structures by density functional theory. It was found that the interfacial interactions in SnS/CH 3 NH 3 PbI 3 heterostructures were van der Waals (vdW) interactions, and they were found to be insensitive to the layer number of 2D SnS sheets. Interestingly, although their band gap decreased upon increasing the layer number of SnS, the near-gap electronic states and optical absorption spectra of these heterostructures were found to be strikingly similar. This feature was determined to be critical for the design of 2D layered SnS-based heterostructures. Strong absorption in the ultraviolet and visible-light regions, type II staggered band alignment at the interface, and few-layer SnS as an active co-catalyst make 2D SnS/CH 3 NH 3 PbI 3 heterostructures promising candidates for photocatalysis, photodetectors, and solar energy harvesting and conversion. These results provide first insight into the nature of interfacial interactions and are useful for designing hybrid organic-inorganic perovskite-based devices with novel properties. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Electronic structure properties of UO2 as a Mott insulator
NASA Astrophysics Data System (ADS)
Sheykhi, Samira; Payami, Mahmoud
2018-06-01
In this work using the density functional theory (DFT), we have studied the structural, electronic and magnetic properties of uranium dioxide with antiferromagnetic 1k-, 2k-, and 3k-order structures. Ordinary approximations in DFT, such as the local density approximation (LDA) or generalized gradient approximation (GGA), usually predict incorrect metallic behaviors for this strongly correlated electron system. Using Hubbard term correction for f-electrons, LDA+U method, as well as using the screened Heyd-Scuseria-Ernzerhof (HSE) hybrid functional for the exchange-correlation (XC), we have obtained the correct ground-state behavior as an insulator, with band gaps in good agreement with experiment.
Electronic Properties of Suspended Few-Layer Graphene Membranes
NASA Astrophysics Data System (ADS)
Myhro, Kevin Scott
Graphene, the two-dimensional (2D) honeycomb lattice of sp2-hybrized carbon atoms, has emerged as a "wonder" material with unique properties, such as its linear energy dispersion with massless Dirac fermions, so-called half-integer quantum Hall (QH) effect, unparalleled tensile strength, and high optical transparency and thermal conductivity. Its few-layer counterparts have similar mechanical but remarkably different electrical properties, including layer- and stacking-dependent band structures, massive charge carriers, and energy gaps that may arise from single particle effect as well as electronic interactions. This dissertation reports my six year study of dual-gated suspended few-layer graphene (FLG) field effect transistor (FET) devices. In particular, we focus on their electronic transport properties at low temperature as a function of out-of-plane electric field E⊥ and interlayer potential U⊥, charge carrier density n, temperature T, and out-of-plane (B ⊥) and parallel (B∥) magnetic fields. A number of broken symmetry states in the absence and presence of external fields are observed in rhombohedral-stacked bilayer- (BLG), trilayer- (r-TLG), and tetralayer graphene (r-4LG). We also study the morphological deformation of suspended graphene membranes under electrostatic and thermal manipulation, which is relevant for analyzing low temperature transport data. In particular, in BLG, r-TLG and r-4LG, we observe intrinsic insulating states in the absence of external fields, with energy gaps of 2, 40, and 80 meV, respectively. We attribute this increasing gap size with number of layers N to enhanced electronic-interactions near the charge neutrality point, due to the layer-dependent energy dispersions kN in r-FLG, which give rise to increasingly diverging density of states and interaction strength with increasing N, at least up to four layers. Our observations of the spontaneous insulating state in r-FLG are consistent with a layer antiferromagnetic state
Measurements of Electrical and Electron Emission Properties of Highly Insulating Materials
NASA Technical Reports Server (NTRS)
Dennison, J. R.; Brunson, Jerilyn; Hoffman, Ryan; Abbott, Jonathon; Thomson, Clint; Sim, Alec
2005-01-01
Highly insulating materials often acquire significant charges when subjected to fluxes of electrons, ions, or photons. This charge can significantly modify the materials properties of the materials and have profound effects on the functionality of the materials in a variety of applications. These include charging of spacecraft materials due to interactions with the severe space environment, enhanced contamination due to charging in Lunar of Martian environments, high power arching of cables and sources, modification of tethers and ion thrusters for propulsion, and scanning electron microscopy, to name but a few examples. This paper describes new techniques and measurements of the electron emission properties and resistivity of highly insulating materials. Electron yields are a measure of the number of electrons emitted from a material per incident particle (electron, ion or photon). Electron yields depend on incident species, energy and angle, and on the material. They determine the net charge acquired by a material subject to a give incident flu. New pulsed-beam techniques will be described that allow accurate measurement of the yields for uncharged insulators and measurements of how the yields are modified as charge builds up in the insulator. A key parameter in modeling charge dissipation is the resistivity of insulating materials. This determines how charge will accumulate and redistribute across an insulator, as well as the time scale for charge transport and dissipation. Comparison of new long term constant-voltage methods and charge storage methods for measuring resistivity of highly insulating materials will be compared to more commonly used, but less accurate methods.
Aqueous humour and ultraviolet radiation.
Ringvold, A
1980-01-01
Studies on the ultraviolet ray absorption in the aqueous humour of rabbit, cat, monkey, guinea pig, and rat showed marked species differences. In the rabbit aqueous the ascorbic acid, the proteins, and some amino acids (tyrosine, phenylalanine, cystine, and tryptophane) are together responsible for the total absorption, and a very great part of it refers to the ascorbic acid content. Accordingly, species with significant amounts of ascorbic acid in the aqueous (monkey, rabbit, guinea pig) have a greater absorption capacity towards ultraviolet radiation than species (cat, rat) lacking this substance. This effect of the ascorbic acid may contribute in protecting the lens against the most biotoxic ultraviolet rays. It seems that the ascorbic acid concentration is highest in the aqueous of typical day animals and lowest in species being active in the dark, indicating a correlation between the aqueous' ascorbic acid level and the quantity of incident light on the eye. The possible significance of changed aqueous ultraviolet ray absorption in the pathogenesis of human cataract development is discussed.
Effects of moiré lattice structure on electronic properties of graphene
DOE Office of Scientific and Technical Information (OSTI.GOV)
Huang, Lunan; Wu, Yun; Hershberger, M. T.
Here, we study structural and electronic properties of graphene grown on silicone carbide (SiC) substrate using a scanning tunneling microscope, spot-profile-analysis low-energy electron diffraction, and angle-resolved photoemission spectroscopy. We find several new replicas of Dirac cones in the Brillouin zone. Their locations can be understood in terms of a combination of basis vectors linked to SiC 6 × 6 and graphene 6√3×6√3 reconstruction. Therefore, these new features originate from the moiré caused by the lattice mismatch between SiC and graphene. More specifically, Dirac cone replicas are caused by underlying weak modulation of the ionic potential by the substrate that ismore » then experienced by the electrons in the graphene. We also demonstrate that this effect is equally strong in single- and trilayer graphene; therefore, the additional Dirac cones are intrinsic features rather than the result of photoelectron diffraction. These new features in the electronic structure are very important for the interpretation of recent transport measurements and can assist in tuning the properties of graphene for practical applications.« less
Effects of moiré lattice structure on electronic properties of graphene
Huang, Lunan; Wu, Yun; Hershberger, M. T.; ...
2017-07-10
Here, we study structural and electronic properties of graphene grown on silicone carbide (SiC) substrate using a scanning tunneling microscope, spot-profile-analysis low-energy electron diffraction, and angle-resolved photoemission spectroscopy. We find several new replicas of Dirac cones in the Brillouin zone. Their locations can be understood in terms of a combination of basis vectors linked to SiC 6 × 6 and graphene 6√3×6√3 reconstruction. Therefore, these new features originate from the moiré caused by the lattice mismatch between SiC and graphene. More specifically, Dirac cone replicas are caused by underlying weak modulation of the ionic potential by the substrate that ismore » then experienced by the electrons in the graphene. We also demonstrate that this effect is equally strong in single- and trilayer graphene; therefore, the additional Dirac cones are intrinsic features rather than the result of photoelectron diffraction. These new features in the electronic structure are very important for the interpretation of recent transport measurements and can assist in tuning the properties of graphene for practical applications.« less
Uncooled EuSbTe3 photodetector highly sensitive from ultraviolet to terahertz frequencies
NASA Astrophysics Data System (ADS)
Niu, Ying Y.; Wu, Dong; Su, Yu Q.; Zhu, Hai; Wang, Biao; Wang, Ying X.; Zhao, Zi R.; Zheng, Ping; Niu, Jia S.; Zhou, Hui B.; Wei, Jian; Wang, Nan L.
2018-01-01
Light probe from Uv to THz is critical in photoelectronics and has great applications ranging from imaging, communication to medicine (Woodward et al 2002 Phys. Med. Biol. 47 3853-63 Pospischil et al 2013 Nat. Photon. 7 892-6 Martyniuk and Rogalski 2003 Prog. Quantum Electron. 27 59-210). However, the room temperature ultrabroadband photodetection across visible down to far-infrared is still challenging. The challenging arises mainly from the lack of suitable photoactive materials. Because that conventional semiconductors, such as silicon, have their photosensitive properties cut off by the bandgap and are transparent to spectrum at long-wavelength infrared side (Ciupa and Rogalski 1997 Opto-Electron. Rev. 5 257-66 Tonouchi 2007 Nat. Photon. 1 97-105 Sizov and Rogalski 2010 Prog. Quantum Electron. 34 278-347 Kinch 2000 J. Electron. Mater. 29 809-17). Comparatively, the dielectrics with very narrow band-gap but maintain the semiconductor-like electrical conduction would have priorities for ultrabroadband photodetection. Here we report on EuSbTe3 is highly sensitive from ultraviolet directly to terahertz (THz) at room temperature. High photoresponsivities 1-8 A W-1 reached in our prototype EuSbTe3 detectors with low noise equivalent power (NEP) recorded, for instances ~150 pW · Hz-1/2 (at λ = 532 nm) and ~0.6 nW · Hz-1/2 (at λ = 118.8 µm) respectively. Our results demonstrate a promising system with direct photosensitivity extending well into THz regime at room temperature, shed new light on exploring more sophisticated multi-band photoelectronics.
The ultraviolet detection component based on Te-Cs image intensifier
NASA Astrophysics Data System (ADS)
Qian, Yunsheng; Zhou, Xiaoyu; Wu, Yujing; Wang, Yan; Xu, Hua
2017-05-01
Ultraviolet detection technology has been widely focused and adopted in the fields of ultraviolet warning and corona detection for its significant value and practical meaning. The component structure of ultraviolet ICMOS, imaging driving and the photon counting algorithm are studied in this paper. Firstly, the one-inch and wide dynamic range CMOS chip with the coupling optical fiber panel is coupled to the ultraviolet image intensifier. The photocathode material in ultraviolet image intensifier is Te-Cs, which contributes to the solar blind characteristic, and the dual micro-channel plates (MCP) structure ensures the sufficient gain to achieve the single photon counting. Then, in consideration of the ultraviolet detection demand, the drive circuit of the CMOS chip is designed and the corresponding program based on Verilog language is written. According to the characteristics of ultraviolet imaging, the histogram equalization method is applied to enhance the ultraviolet image and the connected components labeling way is utilized for the ultraviolet single photon counting. Moreover, one visible light video channel is reserved in the ultraviolet ICOMS camera, which can be used for the fusion of ultraviolet and visible images. Based upon the module, the ultraviolet optical lens and the deep cut-off solar blind filter are adopted to construct the ultraviolet detector. At last, the detection experiment of the single photon signal is carried out, and the test results are given and analyzed.
Study of optical and electronic properties of nickel from reflection electron energy loss spectra
NASA Astrophysics Data System (ADS)
Xu, H.; Yang, L. H.; Da, B.; Tóth, J.; Tőkési, K.; Ding, Z. J.
2017-09-01
We use the classical Monte Carlo transport model of electrons moving near the surface and inside solids to reproduce the measured reflection electron energy-loss spectroscopy (REELS) spectra. With the combination of the classical transport model and the Markov chain Monte Carlo (MCMC) sampling of oscillator parameters the so-called reverse Monte Carlo (RMC) method was developed, and used to obtain optical constants of Ni in this work. A systematic study of the electronic and optical properties of Ni has been performed in an energy loss range of 0-200 eV from the measured REELS spectra at primary energies of 1000 eV, 2000 eV and 3000 eV. The reliability of our method was tested by comparing our results with the previous data. Moreover, the accuracy of our optical data has been confirmed by applying oscillator strength-sum rule and perfect-screening-sum rule.
Composition, nanostructure, and optical properties of silver and silver-copper lusters
DOE Office of Scientific and Technical Information (OSTI.GOV)
Pradell, Trinitat; Pavlov, Radostin S.; Carolina Gutierrez, Patricia
2012-09-01
Lusters are composite thin layers of coinage metal nanoparticles in glass displaying peculiar optical properties and obtained by a process involving ionic exchange, diffusion, and crystallization. In particular, the origin of the high reflectance (golden-shine) shown by those layers has been subject of some discussion. It has been attributed to either the presence of larger particles, thinner multiple layers or higher volume fraction of nanoparticles. The object of this paper is to clarify this for which a set of laboratory designed lusters are analysed by Rutherford backscattering spectroscopy, transmission electron microscopy, x-ray diffraction, and ultraviolet-visible spectroscopy. Model calculations and numericalmore » simulations using the finite difference time domain method were also performed to evaluate the optical properties. Finally, the correlation between synthesis conditions, nanostructure, and optical properties is obtained for these materials.« less
Ultraviolet emission enhancement in ZnO thin films modified by nanocrystalline TiO2
NASA Astrophysics Data System (ADS)
Zheng, Gaige; Lu, Xi; Qian, Liming; Xian, Fenglin
2017-05-01
In this study, nanocrystalline TiO2 modified ZnO thin films were prepared by electron beam evaporation. The structural, morphological and optical properties of the samples were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HRTEM), UV-visible spectroscopy, fluorescence spectroscopy, respectively. The composition of the films was examined by energy dispersive X-ray spectroscopy (EDX). The photoluminescent spectrum shows that the pure ZnO thin film exhibits an ultraviolet (UV) emission peak and a strong green emission band. Surface analysis indicates that the ZnO thin film contains many oxygen vacancy defects on the surface. After the ZnO thin film is modified by the nanocrystalline TiO2 layer, the UV emission of ZnO is largely enhanced and the green emission is greatly suppressed, which suggests that the surface defects such as oxygen vacancies are passivated by the TiO2 capping layer. As for the UV emission enhancement of the ZnO thin film, the optimized thickness of the TiO2 capping layer is ∼16 nm. When the thickness is larger than 16 nm, the UV emission of the ZnO thin film will decrease because the TiO2 capping layer absorbs most of the excitation energy. The UV emission enhancement in the nanocrystalline TiO2 modified ZnO thin film can be attributed to surface passivation and flat band effect.
Water Treatment Using Advanced Ultraviolet Light Sources Final Report CRADA No. TC02089.0
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hoppes, W.; Oster, S.
This was a collaborative effort between Lawrence Livermore National Security, LLC as manager and operator of Lawrence Livermore National Laboratory (LLNL) and Teknichal Services, LLC (TkS), to develop water treatment systems using advanced ultraviolet light sources. The Russian institutes involved with this project were The High Current Electronics Institute (HCEI) and Russian Institute of Technical Physics-Institute of Experimental Physics (VNIIEF). HCEI and VNIIEF developed and demonstrated the potential commercial viability of short-wavelength ultraviolet excimer lamps under a Thrust 1 Initiatives for Proliferation Prevention (IPP) Program. The goals of this collaboration were to demonstrate both the commercial viability of excilampbased watermore » disinfection and achieve further substantial operational improvement in the lamps themselves; particularly in the area of energy efficiency.« less
Electronic and optical properties of phosphorene-like arsenic phosphorus: a many-body study
NASA Astrophysics Data System (ADS)
Shu, Huabing; Guo, Jiyuan
2018-03-01
By employing density functional and many-body perturbation theories, we explore the geometrics, quasiparticle band structure, and optical response of two-dimensional arsenic phosphorus (α-AsxP1-x). Calculations indicate that the α-AsxP1-x exhibits excellent stability at high temperature. The quasi-particle bandgap of α-AsxP1-x is highly tunable in a broad range of 1.54-2.14 eV depending on the composition. The optical absorption of α-AsxP1-x can cover the visible and ultraviolet regions, and is highly anisotropic. More interestingly, it is tunable to optical absorption of α-AsxP1-x when the composition continuously increased. Also, they have sizable exciton binding energies. These findings suggest that α-AsxP1-x holds great potentials for applications in high-performance electronics and optoelectronics.
Tetsuyama, Norihiro; Fusazaki, Koshi; Mizokami, Yasuaki; Shimogaki, Tetsuya; Higashihata, Mitsuhiro; Nakamura, Daisuke; Okada, Tatsuo
2014-04-21
We report ultraviolet electroluminescence from a hetero p-n junction between a single ZnO microsphere and p-GaN thin film. ZnO microspheres, which have high crystalline quality, have been synthesized by ablating a ZnO sintered target. It was found that synthesized ZnO microspheres had a high-optical property and exhibit the laser action in the whispering gallery mode under pulsed optical pumping. A hetero p-n junction was formed between the single ZnO microsphere/ p-GaN thin film, and a good rectifying property with a turn-on voltage of approximately 6 V was observed in I-V characteristic across the junction. Ultraviolet and visible electroluminescence were observed under forward bias.
Properties of magnetized Coulomb crystals of ions with polarizable electron background
NASA Astrophysics Data System (ADS)
Kozhberov, A. A.
2018-06-01
We have studied phonon and thermodynamic properties of a body-centered cubic (bcc) Coulomb crystal of ions with weakly polarized electron background in a uniform magnetic field B. At B = 0, the difference between phonon moments calculated using the Thomas-Fermi (TF) and random phase approximations is always less than 1% and for description of phonon properties of a crystal, TF formalism was used. This formalism was successfully applied to investigate thermodynamic properties of magnetized Coulomb crystals. It was shown that the influence of the polarization of the electron background is significant only at κ TF a > 0.1 and T ≪ T p ( 1 + h2 ) - 1 / 2 , where κTF is the Thomas-Fermi wavenumber, a is the ion sphere radius, T p ≡ ℏ ω p is the ion plasma temperature, h ≡ ω B / ω p , ωB is the ion cyclotron frequency, and ωp is the ion plasma frequency.
First principles electronic and thermal properties of some AlRE intermetallics
NASA Astrophysics Data System (ADS)
Srivastava, Vipul; Sanyal, Sankar P.; Rajagopalan, M.
2008-10-01
A study on structural and electronic properties of non-magnetic cubic B 2-type AlRE (RE=Sc, Y, La, Ce, Pr and Lu) intermetallics has been done theoretically. The self-consistent tight binding linear muffin tin orbital method is used to describe the electronic properties of these intermetallics at ambient and at high pressure. These compounds show metallic behavior under ambient conditions. The variation of density of states under compression indicates some possibility of structural phase transformation in AlLa, AlCe and AlPr. Thermal properties like Debye temperature and Grüneisen constant are calculated at T=0 K and at ambient pressure within the Debye-Grüneisen model and compared with the others’ theoretical results. Our results are in good agreement. We have also performed a pressure-induced variation of Debye temperature and have found a decrease in Debye temperature around 40 kbar in AlRE (RE=La, Ce, Pr) intermetallics.
Ultraviolet Spectroscopy of Asteroid(4) Vesta
NASA Technical Reports Server (NTRS)
Li, Jian-Yang; Bodewits, Dennis; Feaga, Lori M.; Landsman, Wayne; A'Hearn, Michael F.; Mutchler, Max J.; Russell, Christopher T.; McFadden, Lucy A.; Raymond, Carol A.
2011-01-01
We report a comprehensive review of the UV-visible spectrum and rotational lightcurve of Vesta combining new observations by Hubble Space Telescope and Swift with archival International Ultraviolet Explorer observations. The geometric albedos of Vesta from 220 nm to 953 nm arc derived by carefully comparing these observations from various instruments at different times and observing geometries. Vesta has a rotationally averaged geometric albedo of 0.09 at 250 nm, 0.14 at 300 nm, 0.26 at 373 nm, 0.38 at 673 nm, and 0.30 at 950 nm. The linear spectral slope in the ultraviolet displays a sharp minimum ncar sub-Earth longitude of 20deg, and maximum in the eastern hemisphere. This is completely consistent with the distribution of the spectral slope in the visible wavelength. The uncertainty of the measurement in the ultraviolet is approx.20%, and in the visible wavelengths better than 10%. The amplitude of Vesta's rotational lightcurves is approx.10% throughout the range of wavelengths we observed, but is smaller at 950 nm (approx.6%) ncar the 1-micron mafic band center. Contrary to earlier reports, we found no evidence for any difference between the phasing of the ultraviolet and visible/ncar-infrared lightcurves with respect to sub-Earth longitude. Vesta's average spectrum between 220 and 950 nm can well be described by measured reflectance spectra of fine particle howardite-like materials of basaltic achondrite meteorites. Combining this with the in-phase behavior of the ultraviolet, visible. and ncar-infrared lightcurves, and the spectral slopes with respect to the rotational phase, we conclude that there is no global ultraviolet/visible reversal on Vesta. Consequently, this implies lack of global space weathering on Vesta. Keyword,: Asteroid Vesta; Spectrophotometry; Spectroscopy; Ultraviolet observations; Hubble Space Telescope observations
A biosensor for hydrogen peroxide detection based on electronic properties of carbon nanotubes
NASA Astrophysics Data System (ADS)
Majidi, Roya
2013-01-01
Density functional theory has been used to study the effect of hydrogen peroxide on the electronic properties of single walled carbon nanotubes. The metallic and semiconducting carbon nanotubes have been considered in the presence of different number of hydrogen peroxide. The results indicate that hydrogen peroxide has no significant effect on the metallic nanotube and these nanotubes remain to be metallic. In contrast, the electronic properties of the semiconducting nanotubes are so sensitive to hydrogen peroxide. The energy band gap of these nanotubes is decreased by increasing the number of hydrogen peroxide. The electronic sensivity of the carbon nanotubes to hydrogen peroxide opens new insights into developing biosensors based on the single walled carbon nanotubes.
Ultraviolet variability and mass expulsion from R Aquarii
NASA Technical Reports Server (NTRS)
Kafatos, M.; Michalitsianos, A. G.; Hollis, J. M.
1986-01-01
Ultraviolet spectra in the 1200-3200 A range indicate that the extended nebular features which resemble a jet in the peculiar variable R Aquarii (M7e + pec) increased in excitation in 1985. The emission properties of the compact H II region that surrounds the unresolved binary, and those of the extended nebular jet, have been analyzed from low-resolution IUE spectra of these regions. In particular, the UV line intensities observed in the jet appear variable on a time scale of about 1.5 yr. A new accretion disk model is proposed that explains the kinematic and ionization properties of discrete components which comprise the jet emission nebulosity, the appearance of the jet in the 1980s, and morphology that uniquely characterizes the R Aquarii system at radio, optical, UV, and X-ray wavelengths.
Time-resolved electronic and optical properties of a thiolate-protected Au38 nanocluster
NASA Astrophysics Data System (ADS)
Meng, Qingguo; May, Stanley P.; Berry, Mary T.; Kilin, Dmitri S.
2015-02-01
Density functional theory and density matrix theory are employed to investigate the time-dependent optical and electronic properties of an Au14 nanocluster protected by six cyclic thiolate ligands, Au4(SCH3)4. The Au14[Au4(SCH3)4]6 nanocluster, i.e. Au38(SCH3)24, is equivalent to a truncated-octahedral face-centred cubic Au38 core coated by a monolayer of 24 methylthiol molecules. The electronic and optical properties, such as density of states, linear absorption spectra, nonradiative nonadiabatic dissipative electronic dynamics and radiative emission spectra were calculated and compared for the core Au14 and thiolate-protected Au38(SCH3)24 nanocluster. The main observation from computed photoluminescence for both models is a mechanism of radiative emission. Specifically, a strong contribution to light emission intensity originates from intraband transitions inside the conduction band (CB) in addition to interband LUMO → HOMO transition (HOMO: highest occupied molecular orbital and LUMO: lowest unoccupied molecular orbital). Such comparison clarifies the contributions from Au core and methylthiol ligands to the electronic and optical properties of the Au38(SCH3)24 nanocluster.
NASA Astrophysics Data System (ADS)
Karl, Robert; Knobloch, Joshua; Frazer, Travis; Tanksalvala, Michael; Porter, Christina; Bevis, Charles; Chao, Weilun; Abad Mayor, Begoña.; Adams, Daniel; Mancini, Giulia F.; Hernandez-Charpak, Jorge N.; Kapteyn, Henry; Murnane, Margaret
2018-03-01
Using a tabletop coherent extreme ultraviolet source, we extend current nanoscale metrology capabilities with applications spanning from new models of nanoscale transport and materials, to nanoscale device fabrication. We measure the ultrafast dynamics of acoustic waves in materials; by analyzing the material's response, we can extract elastic properties of films as thin as 11nm. We extend this capability to a spatially resolved imaging modality by using coherent diffractive imaging to image the acoustic waves in nanostructures as they propagate. This will allow for spatially resolved characterization of the elastic properties of non-isotropic materials.
NASA Astrophysics Data System (ADS)
Huo, Jin-Rong; Li, Lu; Cheng, Hai-Xia; Wang, Xiao-Xu; Zhang, Guo-Hua; Qian, Ping
2018-03-01
The interface structure, electronic and optical properties of Au-ZnO are studied using the first-principles calculation based on density functional theory (DFT). Given the interfacial distance, bonding configurations and terminated surface, we built the optimal interface structure and calculated the electronic and optical properties of the interface. The total density of states, partial electronic density of states, electric charge density and atomic populations (Mulliken) are also displayed. The results show that the electrons converge at O atoms at the interface, leading to a stronger binding of interfaces and thereby affecting the optical properties of interface structures. In addition, we present the binding energies of different interface structures. When the interface structure of Au-ZnO gets changed, furthermore, varying optical properties are exhibited.
Experimental Investigation of the Electronic Properties of Twisted Bilayer Graphene by STM and STS
NASA Astrophysics Data System (ADS)
Yin, Longjing; Qiao, Jiabin; Wang, Wenxiao; Zuo, Weijie; He, Lin
The electronic properties of graphene multilayers depend sensitively on their stacking order. A twisted angle is treated as a unique degree of freedom to tune the electronic properties of graphene system. Here we study electronic structures of the twisted bilayers by scanning tunneling microscopy (STM) and spectroscopy (STS). We demonstrate that the interlayer coupling strength affects both the Van Hove singularities and the Fermi velocity of twisted bilayers dramatically. This removes the discrepancy about the Fermi velocity renormalization in the twisted bilayers and provides a consistent interpretation of all current data. Moreover, we report the experimental evidence for non-Abelian gauge potentials in twisted graphene bilayers by STM and STS. At a magic twisted angle, about 1.11°, a pronounced sharp peak is observed in the tunnelling spectra due to the action of the non-Abelian gauge fields. Because of the effective non-Abelian gauge fields, the rotation angle could transfer the charge carriers in the twisted bilayers from massless Dirac fermions into well localized electrons, or vice versa, efficiently. This provides a new route to tune the electronic properties of graphene systems, which will be essential in future graphene nanoelectronics.
Benwadih, M; Coppard, R; Bonrad, K; Klyszcz, A; Vuillaume, D
2016-12-21
Amorphous, sol-gel processed, indium gallium zinc oxide (IGZO) transistors on plastic substrate with a printable gate dielectric and an electron mobility of 4.5 cm 2 /(V s), as well as a mobility of 7 cm 2 /(V s) on solid substrate (Si/SiO 2 ) are reported. These performances are obtained using a low temperature pulsed light annealing technique. Ultraviolet (UV) pulsed light system is an innovative technique compared to conventional (furnace or hot-plate) annealing process that we successfully implemented on sol-gel IGZO thin film transistors (TFTs) made on plastic substrate. The photonic annealing treatment has been optimized to obtain IGZO TFTs with significant electrical properties. Organic gate dielectric layers deposited on this pulsed UV light annealed films have also been optimized. This technique is very promising for the development of amorphous IGZO TFTs on plastic substrates.
Vacuum ultraviolet photoionization of carbohydrates and nucleotides
NASA Astrophysics Data System (ADS)
Shin, Joong-Won; Bernstein, Elliot R.
2014-01-01
Carbohydrates (2-deoxyribose, ribose, and xylose) and nucleotides (adenosine-, cytidine-, guanosine-, and uridine-5'-monophosphate) are generated in the gas phase, and ionized with vacuum ultraviolet photons (VUV, 118.2 nm). The observed time of flight mass spectra of the carbohydrate fragmentation are similar to those observed [J.-W. Shin, F. Dong, M. Grisham, J. J. Rocca, and E. R. Bernstein, Chem. Phys. Lett. 506, 161 (2011)] for 46.9 nm photon ionization, but with more intensity in higher mass fragment ions. The tendency of carbohydrate ions to fragment extensively following ionization seemingly suggests that nucleic acids might undergo radiation damage as a result of carbohydrate, rather than nucleobase fragmentation. VUV photoionization of nucleotides (monophosphate-carbohydrate-nucleobase), however, shows that the carbohydrate-nucleobase bond is the primary fragmentation site for these species. Density functional theory (DFT) calculations indicate that the removed carbohydrate electrons by the 118.2 nm photons are associated with endocyclic C-C and C-O ring centered orbitals: loss of electron density in the ring bonds of the nascent ion can thus account for the observed fragmentation patterns following carbohydrate ionization. DFT calculations also indicate that electrons removed from nucleotides under these same conditions are associated with orbitals involved with the nucleobase-saccharide linkage electron density. The calculations give a general mechanism and explanation of the experimental results.
Vacuum ultraviolet photoionization of carbohydrates and nucleotides.
Shin, Joong-Won; Bernstein, Elliot R
2014-01-28
Carbohydrates (2-deoxyribose, ribose, and xylose) and nucleotides (adenosine-, cytidine-, guanosine-, and uridine-5(')-monophosphate) are generated in the gas phase, and ionized with vacuum ultraviolet photons (VUV, 118.2 nm). The observed time of flight mass spectra of the carbohydrate fragmentation are similar to those observed [J.-W. Shin, F. Dong, M. Grisham, J. J. Rocca, and E. R. Bernstein, Chem. Phys. Lett. 506, 161 (2011)] for 46.9 nm photon ionization, but with more intensity in higher mass fragment ions. The tendency of carbohydrate ions to fragment extensively following ionization seemingly suggests that nucleic acids might undergo radiation damage as a result of carbohydrate, rather than nucleobase fragmentation. VUV photoionization of nucleotides (monophosphate-carbohydrate-nucleobase), however, shows that the carbohydrate-nucleobase bond is the primary fragmentation site for these species. Density functional theory (DFT) calculations indicate that the removed carbohydrate electrons by the 118.2 nm photons are associated with endocyclic C-C and C-O ring centered orbitals: loss of electron density in the ring bonds of the nascent ion can thus account for the observed fragmentation patterns following carbohydrate ionization. DFT calculations also indicate that electrons removed from nucleotides under these same conditions are associated with orbitals involved with the nucleobase-saccharide linkage electron density. The calculations give a general mechanism and explanation of the experimental results.
Vacuum ultraviolet photoionization of carbohydrates and nucleotides
DOE Office of Scientific and Technical Information (OSTI.GOV)
Shin, Joong-Won, E-mail: jshin@govst.edu; Department of Chemistry, Colorado State University, Fort Collins, Colorado 80523-1872; Bernstein, Elliot R., E-mail: erb@lamar.colostate.edu
Carbohydrates (2-deoxyribose, ribose, and xylose) and nucleotides (adenosine-, cytidine-, guanosine-, and uridine-5{sup ′}-monophosphate) are generated in the gas phase, and ionized with vacuum ultraviolet photons (VUV, 118.2 nm). The observed time of flight mass spectra of the carbohydrate fragmentation are similar to those observed [J.-W. Shin, F. Dong, M. Grisham, J. J. Rocca, and E. R. Bernstein, Chem. Phys. Lett. 506, 161 (2011)] for 46.9 nm photon ionization, but with more intensity in higher mass fragment ions. The tendency of carbohydrate ions to fragment extensively following ionization seemingly suggests that nucleic acids might undergo radiation damage as a result of carbohydrate,more » rather than nucleobase fragmentation. VUV photoionization of nucleotides (monophosphate-carbohydrate-nucleobase), however, shows that the carbohydrate-nucleobase bond is the primary fragmentation site for these species. Density functional theory (DFT) calculations indicate that the removed carbohydrate electrons by the 118.2 nm photons are associated with endocyclic C–C and C–O ring centered orbitals: loss of electron density in the ring bonds of the nascent ion can thus account for the observed fragmentation patterns following carbohydrate ionization. DFT calculations also indicate that electrons removed from nucleotides under these same conditions are associated with orbitals involved with the nucleobase-saccharide linkage electron density. The calculations give a general mechanism and explanation of the experimental results.« less
NASA Astrophysics Data System (ADS)
Sato, H.; Ikeya, M.
2004-03-01
Organic molecules and nanoparticles embedded in inorganic crystalline lattices have been studied to add different properties and functions to composite materials. Calcium carbonate was precipitated by dropping an aqueous solution of CaCl2 into that of Na2CO3 containing dissolved vitamin C (ascorbic acid). The optical absorption ascribed to divalent ascorbate anions in the lattice was observed in the ultraviolet B (wavelength: 280-315 nm) region, while solid vitamin C exhibited absorption in the ultraviolet C (100-280 nm) region. The divalent ascorbate anion is only stable in CaCO3 due to the absence of oxygen molecules. Doping CaCO3 with nanoparticles of ZnO also enhanced the absorption in the ultraviolet A (315-380 nm) region. These composite materials are suggested for use as UV absorbers.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Roth, Friedrich; Herzig, Melanie; Knupfer, Martin
2015-11-14
The electronic properties of co-evaporated mixtures (blends) of manganese phthalocyanine and the fullerene C{sub 60} (MnPc:C{sub 60}) have been studied as a function of the concentration of the two constituents using two supplementary electron spectroscopic methods, photoemission spectroscopy (PES) and electron energy-loss spectroscopy (EELS) in transmission. Our PES measurements provide a detailed picture of the electronic structure measured with different excitation energies as well as different mixing ratios between MnPc and C{sub 60}. Besides a relative energy shift, the occupied electronic states of the two materials remain essentially unchanged. The observed energy level alignment is different compared to that ofmore » the related CuPc:C{sub 60} bulk heterojunction. Moreover, the results from our EELS investigations show that, despite the rather small interface interaction, the MnPc related electronic excitation spectrum changes significantly by admixing C{sub 60} to MnPc thin films.« less
A computational study on the electronic and nonlinear optical properties of graphyne subunit
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bahat, Mehmet, E-mail: bahat@gazi.edu.tr; Güney, Merve Nurhan, E-mail: merveng87@gmail.com; Özbay, Akif, E-mail: aozbay@gazi.edu.tr
2016-03-25
After discovery of graphene, it has been considered as basic material for the future nanoelectronic devices. Graphyne is a two- dimensional carbon allotropes as graphene which expected that its electronic properties is potentialy superior to graphene. The compound C{sub 24}H{sub 12} (tribenzocyclyne; TBC) is a substructure of graphyne. The electronic, and nonlinear optical properties of the C{sub 24}H{sub 12} and its some fluoro derivatives were calculated. The calculated properties are electric dipole moment, the highest occupied molecular orbital (HOMO) and the lowest unoccupied molecular orbital (LUMO) energies, polarizability and first hyperpolarizability. All calculations were performed at the B3LYP/6-31+G(d,p) level.
Strain, stabilities and electronic properties of hexagonal BN bilayers
NASA Astrophysics Data System (ADS)
Fujimoto, Yoshitaka; Saito, Susumu
Hexagonal boron nitride (h-BN) atomic layers have been regarded as fascinating materials both scientifically and technologically due to the sizable band gap. This sizable band-gap nature of the h-BN atomic layers would provide not only new physical properties but also novel nano- and/or opto-electronics applications. Here, we study the first-principles density-functional study that clarifies the biaxial strain effects on the energetics and the electronic properties of h-BN bilayers. We show that the band gaps of the h-BN bilayers are tunable by applying strains. Furthermore, we show that the biaxial strains can produce a transition from indirect to direct band gaps of the h-BN bilayer. We also discuss that both AA and AB stacking patterns of h-BN bilayer become feasible structures because h-BN bilayers possess two different directions in the stacking patterns. Supported by MEXT Elements Strategy Initiative to Form Core Research Center through Tokodai Institute for Element Strategy, JSPS KAKENHI Grant Numbers JP26390062 and JP25107005.
Vacuum ultraviolet spectra of the late twilight airglow.
NASA Technical Reports Server (NTRS)
Buckley, J. L.; Moos, H. W.
1971-01-01
Evaluation of sounding rocket spectra of the late twilight (solar-zenith angle of 120 deg) ultraviolet airglow between 1260 and 1900 A. The only observed features are O I 1304 and 1356. When the instrument looked at an elevation of 17 deg above the western horizon, the brightnesses were 70 and 33 rayleighs, respectively. The upper limits on the total intensity of the Lyman-Birge-Hopfield and Vegard-Kaplan systems of N2 were 26 plus or minus 26 and 55 plus or minus 55 rayleighs, respectively. An estimate shows that a large part of the O I emissions may be due to excitation by conjugate-point electrons.
Electronic transport properties of single-crystal bismuth nanowire arrays
NASA Astrophysics Data System (ADS)
Zhang, Zhibo; Sun, Xiangzhong; Dresselhaus, M. S.; Ying, Jackie Y.; Heremans, J.
2000-02-01
We present here a detailed study of the electrical transport properties of single-crystal bismuth nanowire arrays embedded in a dielectric matrix. Measurements of the resistance of Bi nanowire arrays with different wire diameters (60-110 nm) have been carried out over a wide range of temperatures (2.0-300 K) and magnetic fields (0-5.4 T). The transport properties of a heavily Te-doped Bi nanowire array have also been studied. At low temperatures, we show that the wire boundary scattering is the dominant scattering process for carriers in the undoped single-crystal Bi nanowires, while boundary scattering is less important for a heavily Te-doped sample, consistent with general theoretical considerations. The temperature dependences of the zero-field resistivity and of the longitudinal magneto-coefficient of the Bi nanowires were also studied and were found to be sensitive to the wire diameter. The quantum confinement of carriers is believed to play an important role in determining the overall temperature dependence of the zero-field resistivity. Theoretical considerations of the quantum confinement effects on the electronic band structure and on the transport properties of Bi nanowires are discussed. Despite the evidence for localization effects and diffusive electron interactions at low temperatures (T<=4.0 K), localization effects are not the dominant mechanisms affecting the resistivity or the magnetoresistance in the temperature range of this study.
Structural, electronic and elastic properties of heavy fermion YbRh2 Laves phase compound
NASA Astrophysics Data System (ADS)
Pawar, Harsha; Shugani, Mani; Aynyas, Mahendra; Sanyal, Sankar P.
2018-05-01
The structural, electronic and elastic properties of YbRh2 Laves phase intermetallic compound which crystallize in cubic (MgCu2-type) structure have been investigated using ab-initio full potential linearized augmented plane wave (FP- LAPW) method with LDA and LDA+U approximation. The calculated ground state properties such as lattice parameter (a0), bulk modulus (B) and its pressure derivative (B') are in good agreement with available experimental and theoretical data. The electronic properties are analyzed from band structures and density of states. Elastic constants are predicted first time for this compound which obeys the stability criteria for cubic system.
Direct and simultaneous observation of ultrafast electron and hole dynamics in germanium.
Zürch, Michael; Chang, Hung-Tzu; Borja, Lauren J; Kraus, Peter M; Cushing, Scott K; Gandman, Andrey; Kaplan, Christopher J; Oh, Myoung Hwan; Prell, James S; Prendergast, David; Pemmaraju, Chaitanya D; Neumark, Daniel M; Leone, Stephen R
2017-06-01
Understanding excited carrier dynamics in semiconductors is crucial for the development of photovoltaics and efficient photonic devices. However, overlapping spectral features in optical pump-probe spectroscopy often render assignments of separate electron and hole carrier dynamics ambiguous. Here, ultrafast electron and hole dynamics in germanium nanocrystalline thin films are directly and simultaneously observed by ultrafast transient absorption spectroscopy in the extreme ultraviolet at the germanium M 4,5 edge. We decompose the spectra into contributions of electronic state blocking and photo-induced band shifts at a carrier density of 8 × 10 20 cm -3 . Separate electron and hole relaxation times are observed as a function of hot carrier energies. A first-order electron and hole decay of ∼1 ps suggests a Shockley-Read-Hall recombination mechanism. The simultaneous observation of electrons and holes with extreme ultraviolet transient absorption spectroscopy paves the way for investigating few- to sub-femtosecond dynamics of both holes and electrons in complex semiconductor materials and across junctions.
Direct and simultaneous observation of ultrafast electron and hole dynamics in germanium
Zürch, Michael; Chang, Hung-Tzu; Borja, Lauren J.; Kraus, Peter M.; Cushing, Scott K.; Gandman, Andrey; Kaplan, Christopher J.; Oh, Myoung Hwan; Prell, James S.; Prendergast, David; Pemmaraju, Chaitanya D.; Neumark, Daniel M.; Leone, Stephen R.
2017-01-01
Understanding excited carrier dynamics in semiconductors is crucial for the development of photovoltaics and efficient photonic devices. However, overlapping spectral features in optical pump-probe spectroscopy often render assignments of separate electron and hole carrier dynamics ambiguous. Here, ultrafast electron and hole dynamics in germanium nanocrystalline thin films are directly and simultaneously observed by ultrafast transient absorption spectroscopy in the extreme ultraviolet at the germanium M4,5 edge. We decompose the spectra into contributions of electronic state blocking and photo-induced band shifts at a carrier density of 8 × 1020 cm−3. Separate electron and hole relaxation times are observed as a function of hot carrier energies. A first-order electron and hole decay of ∼1 ps suggests a Shockley–Read–Hall recombination mechanism. The simultaneous observation of electrons and holes with extreme ultraviolet transient absorption spectroscopy paves the way for investigating few- to sub-femtosecond dynamics of both holes and electrons in complex semiconductor materials and across junctions. PMID:28569752
Pan, Minghu; Liang, Liangbo; Lin, Wenzhi; ...
2016-09-28
Van der Waals (vdW) heterostructures consist of isolated atomic planar structures, assembled layer- by-layer into desired structures in a well-defined sequence. Graphene deposited on hexagonal boron nitride (h-BN) has been first considered as a testbed system for vdW heterostructures, and many others have been demonstrated both theoretically and experimentally, revealing many attractive properties and phenomena. However, much less emphasis has been placed on how graphene actively affects h-BN properties. Here, we perform local probe measurements on single-layer h-BN grown over graphene and highlight the manifestation of a proximity effect that significantly affects the electronic properties of h-BN due to itsmore » coupling with the underlying graphene. We find electronic states originating from the graphene layer and the Cu substrate to be injected into the wide electronic gap of the h-BN top layer. Such proximity effect is further confirmed in a study of the variation of h-BN in-gap states with interlayer couplings, elucidated using a combination of topographical/ spectroscopic measurements and first-principles density functional theory calculations. In conclusion, the findings of this work indicate the potential of mutually engineering electronic properties of the components of vdW heterostructures.« less
Means and method for calibrating a photon detector utilizing electron-photon coincidence
NASA Technical Reports Server (NTRS)
Srivastava, S. K. (Inventor)
1984-01-01
An arrangement for calibrating a photon detector particularly applicable for the ultraviolet and vacuum ultraviolet regions is based on electron photon coincidence utilizing crossed electron beam atom beam collisions. Atoms are excited by electrons which lose a known amount of energy and scatter with a known remaining energy, while the excited atoms emit photons of known radiation. Electrons of the known remaining energy are separated from other electrons and are counted. Photons emitted in a direction related to the particular direction of scattered electrons are detected to serve as a standard. Each of the electrons is used to initiate the measurements of a time interval which terminates with the arrival of a photon exciting the photon detector. Only the number of time intervals related to the coincidence correlation and of electrons scattered in the particular direction with the known remaining energy and photons of a particular radiation level emitted due to the collisions of such scattered electrons are counted. The detector calibration is related to the number of counted electrons and photons.
Thermal and magnetic properties of electron gas in toroidal quantum dot
NASA Astrophysics Data System (ADS)
Baghdasaryan, D. A.; Hayrapetyan, D. B.; Kazaryan, E. M.; Sarkisyan, H. A.
2018-07-01
One-electron states in a toroidal quantum dot in the presence of an external magnetic field have been considered. The magnetic field operator and the Schrodinger equation have been written in toroidal coordinates. The dependence of one-electron energy spectrum and wave function on the geometrical parameters of a toroidal quantum dot and magnetic field strength have been studied. The energy levels are employed to calculate the canonical partition function, which in its turn is used to obtain mean energy, heat capacity, entropy, magnetization, and susceptibility of noninteracting electron gas. The possibility to control the thermodynamic and magnetic properties of the noninteracting electron gas via changing the geometric parameters of the QD, magnetic field, and temperature, was demonstrated.
Statistical properties of radiation from VUV and X-ray free electron laser
NASA Astrophysics Data System (ADS)
Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.
1998-03-01
The paper presents a comprehensive analysis of the statistical properties of the radiation from a self-amplified spontaneous emission (SASE) free electron laser operating in linear and nonlinear mode. The investigation has been performed in a one-dimensional approximation assuming the electron pulse length to be much larger than a coherence length of the radiation. The following statistical properties of the SASE FEL radiation have been studied in detail: time and spectral field correlations, distribution of the fluctuations of the instantaneous radiation power, distribution of the energy in the electron bunch, distribution of the radiation energy after the monochromator installed at the FEL amplifier exit and radiation spectrum. The linear high gain limit is studied analytically. It is shown that the radiation from a SASE FEL operating in the linear regime possesses all the features corresponding to completely chaotic polarized radiation. A detailed study of statistical properties of the radiation from a SASE FEL operating in linear and nonlinear regime has been performed by means of time-dependent simulation codes. All numerical results presented in the paper have been calculated for the 70 nm SASE FEL at the TESLA Test Facility being under construction at DESY.
Hoogeboom-Pot, Kathleen M; Turgut, Emrah; Hernandez-Charpak, Jorge N; Shaw, Justin M; Kapteyn, Henry C; Murnane, Margaret M; Nardi, Damiano
2016-08-10
We use short wavelength extreme ultraviolet light to independently measure the mechanical properties of disparate layers within a bilayer film for the first time, with single-monolayer sensitivity. We show that in Ni/Ta nanostructured systems, while their density ratio is not meaningfully changed from that expected in bulk, their elastic properties are significantly modified, where nickel softens while tantalum stiffens, relative to their bulk counterparts. In particular, the presence or absence of the Ta capping layer influences the mechanical properties of the Ni film. This nondestructive nanomechanical measurement technique represents the first approach to date able to distinguish the properties of composite materials well below 100 nm in thickness. This capability is critical for understanding and optimizing the strength, flexibility and reliability of materials in a host of nanostructured electronic, photovoltaic, and thermoelectric devices.
Duarte, Ida Alzira Gomes; Hafner, Mariana de Figueiredo Silva; Malvestiti, Andrey Augusto
2015-01-01
The frequent human exposure to various types of indoor lamps, as well as other light sources (television monitors, tablets and computers), raises a question: are there risks for the population? In the present study the emission of UVA and UVB radiation by lamps and screens of electronic devices were measured in order to determine the safe distance between the emitting source and the individual. We concluded that the lamps and electronic devices do not emit ultraviolet radiation; so they pose no health risk for the population.
NASA Astrophysics Data System (ADS)
Ishii, Hiroyuki; Kobayashi, Nobuhiko; Hirose, Kenji
2007-11-01
We investigated the electron-phonon coupling effects on the electronic transport properties of metallic (5,5)- and semiconducting (10,0)-carbon nanotube devices. We calculated the conductance and mobility of the carbon nanotubes with micron-order lengths at room temperature, using the time-dependent wave-packet approach based on the Kubo-Greenwood formula within a tight-binding approximation. We investigated the scattering effects of both longitudinal acoustic and optical phonon modes on the transport properties. The electron-optical phonon coupling decreases the conductance around the Fermi energy for the metallic carbon nanotubes, while the conductance of semiconductor nanotubes is decreased around the band edges by the acoustic phonons. Furthermore, we studied the Schottky-barrier effects on the mobility of the semiconducting carbon nanotube field-effect transistors for various gate voltages. We clarified how the electron mobilities of the devices are changed by the acoustic phonon.
Electronic, Mechanical, and Dielectric Properties of Two-Dimensional Atomic Layers of Noble Metals
NASA Astrophysics Data System (ADS)
Kapoor, Pooja; Kumar, Jagdish; Kumar, Arun; Kumar, Ashok; Ahluwalia, P. K.
2017-01-01
We present density functional theory-based electronic, mechanical, and dielectric properties of monolayers and bilayers of noble metals (Au, Ag, Cu, and Pt) taken with graphene-like hexagonal structure. The Au, Ag, and Pt bilayers stabilize in AA-stacked configuration, while the Cu bilayer favors the AB stacking pattern. The quantum ballistic conductance of the noble-metal mono- and bilayers is remarkably increased compared with their bulk counterparts. Among the studied systems, the tensile strength is found to be highest for the Pt monolayer and bilayer. The noble metals in mono- and bilayer form show distinctly different electron energy loss spectra and reflectance spectra due to the quantum confinement effect on going from bulk to the monolayer limit. Such tunability of the electronic and dielectric properties of noble metals by reducing the degrees of freedom of electrons offers promise for their use in nanoelectronics and optoelectronics applications.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kumar, Mohit; Basu, Tanmoy; Som, Tapobrata, E-mail: tsom@iopb.res.in
Using conductive atomic force microscopy and Kelvin probe force microscopy, we study local electrical transport properties in aluminum-doped zinc oxide (ZnO:Al or AZO) thin films. Current mapping shows a spatial variation in conductivity which corroborates well with the local mapping of donor concentration (∼10{sup 20 }cm{sup −3}). In addition, a strong enhancement in the local current at grains is observed after exposing the film to ultra-violet (UV) light which is attributed to persistent photocurrent. Further, it is shown that UV absorption gives a smooth conduction in AZO film which in turn gives rise to an improvement in the bulk photoresponsivity ofmore » an n-AZO/p-Si heterojunction diode. This finding is in contrast to the belief that UV absorption in an AZO layer leads to an optical loss for the underneath absorbing layer of a heterojunction solar cell.« less
Electronic and optical properties of Praseodymium trifluoride
DOE Office of Scientific and Technical Information (OSTI.GOV)
Saini, Sapan Mohan, E-mail: smsaini.phy@nitrr.ac.in
2014-10-24
We report the role of f- states on electronic and optical properties of Praseodymium trifluoride (PrF{sub 3}) compound. Full potential linearized augmented plane wave (FPLAPW) method with the inclusion of spin orbit coupling has been used. We employed the local spin density approximation (LSDA) and Coulomb-corrected local spin density approximation (LSDA+U). LSDA+U is known for treating the highly correlated 4f electrons properly. Our theoretical investigation shows that LSDA+U approximation reproduce the correct insulating ground state of PrF{sub 3}. On the other hand there is no significant difference of reflectivity calculated by LSDA and LSDA+U. We find that the reflectivity formore » PrF{sub 3} compound stays low till around 7 eV which is consistent with their large energy gaps. Our calculated reflectivity compares well with the experimental data. The results are analyzed in the light of transitions involved.« less
Near unity ultraviolet absorption in graphene without patterning
NASA Astrophysics Data System (ADS)
Zhu, Jinfeng; Yan, Shuang; Feng, Naixing; Ye, Longfang; Ou, Jun-Yu; Liu, Qing Huo
2018-04-01
Enhancing the light-matter interaction of graphene is an important issue for related photonic devices and applications. In view of its potential ultraviolet applications, we aim to achieve extremely high ultraviolet absorption in graphene without any nanostructure or microstructure patterning. By manipulating the polarization and angle of incident light, the ultraviolet power can be sufficiently coupled to the optical dissipation of graphene based on single-channel coherent perfect absorption in an optimized multilayered thin film structure. The ultraviolet absorbance ratios of single and four atomic graphene layers are enhanced up to 71.4% and 92.2%, respectively. Our research provides a simple and efficient scheme to trap ultraviolet light for developing promising photonic and optoelectronic devices based on graphene and potentially other 2D materials.
Lithium halide monolayers: Structural, electronic and optical properties by first principles study
NASA Astrophysics Data System (ADS)
Safari, Mandana; Maskaneh, Pegah; Moghadam, Atousa Dashti; Jalilian, Jaafar
2016-09-01
Using first principle study, we investigate the structural, electronic and optical properties of lithium halide monolayers (LiF, LiCl, LiBr). In contrast to graphene and other graphene-like structures that form hexagonal rings in plane, these compounds can form and stabilize in cubic shape interestingly. The type of band structure in these insulators is identified as indirect type and ionic nature of their bonds are illustrated as well. The optical properties demonstrate extremely transparent feature for them as a result of wide band gap in the visible range; also their electron transitions are indicated for achieving a better vision on the absorption mechanism in these kinds of monolayers.
Characterizing the temperature dependence of electronic packaging-material properties
NASA Astrophysics Data System (ADS)
Fu, Chia-Yu; Ume, Charles
1995-06-01
A computer-controlled, temperature-dependent material characterization system has been developed for thermal deformation analysis in electronic packaging applications, especially for printed wiring assembly warpage study. For fiberglass-reinforced epoxy (FR-4 type) material, the Young's moduli decrease to as low as 20-30% of the room-temperature values, while the shear moduli decrease to as low as 60-70% of the room-temperature values. The electrical resistance strain gage technique was used in this research. The test results produced overestimated values in property measurements, and this was shown in a case study. A noncontact strau]n measurement technique (laser extensometer) is now being used to measure these properties. Discrepancies of finite-element warpage predictions using different property values increase as the temperature increases from the stress-free temperature.
Mihalache, Iuliana; Radoi, Antonio; Pascu, Razvan; Romanitan, Cosmin; Vasile, Eugenia; Kusko, Mihaela
2017-08-30
In this work, a significant improvement of the classical silicon nanowire (SiNW)-based photodetector was achieved through the realization of core-shell structures using newly designed GQD PEI s via simple solution processing. The poly(ethyleneimine) (PEI)-assisted synthesis successfully tuned both optical and electrical properties of graphene quantum dots (GQDs) to fulfill the requirements for strong yellow photoluminescence emission along with large band gap formation and the introduction of electronic states inside the band gap. The fabrication of a GQD PEI -based device was followed by systematic structural and photoelectronic investigation. Thus, the GQD PEI /SiNW photodetector exhibited a large photocurrent to dark current ratio (I ph /I dark up to ∼0.9 × 10 2 under 4 V bias) and a remarkable improvement of the external quantum efficiency values that far exceed 100%. In this frame, GQD PEI s demonstrate the ability to arbitrate both charge-carrier photogeneration and transport inside a heterojunction, leading to simultaneous attendance of various mechanisms: (i) efficient suppression of the dark current governed by the type I alignment in energy levels, (ii) charge photomultiplication determined by the presence of the PEI-induced electron trap levels, and (iii) broadband ultraviolet-to-visible downconversion effects.
NASA Astrophysics Data System (ADS)
Dabhi, Shweta D.; Jha, Prafulla K.
2017-09-01
The structural, electronic and vibrational properties of graphene oxide (GO) with varying proportion of epoxy and hydroxyl functional groups have been studied using density functional theory. The functional groups and oxygen density have an obvious influence on the electronic and vibrational properties. The dependence of band gap on associated functional groups and oxygen density shows a possibility of tuning the band gap of graphene by varying the functional groups as well as oxidation level. The absorption of high oxygen content in graphene leads to the gap opening and resulting in a transition from semimetal to semiconductor. Phonon dispersion curves show no imaginary frequency or no softening of any phonon mode throughout the Brillouin zone which confirms the dynamical stability of all considered GO models. Different groups and different oxygen density result into the varying characteristics of phonon modes. The computed results show good agreement with the experimental observations. Our results present interesting possibilities for engineering the electronic properties of graphene and GO and impact the fabrication of new electronics.
Visible-blind ultraviolet photodetectors on porous silicon carbide substrates
DOE Office of Scientific and Technical Information (OSTI.GOV)
Naderi, N.; Hashim, M.R., E-mail: roslan@usm.my
2013-06-01
Highlights: • Highly reliable UV detectors are fabricated on porous silicon carbide substrates. • The optical properties of samples are enhanced by increasing the current density. • The optimized sample exhibits enhanced sensitivity to the incident UV radiation. - Abstract: Highly reliable visible-blind ultraviolet (UV) photodetectors were successfully fabricated on porous silicon carbide (PSC) substrates. High responsivity and high photoconductive gain were observed in a metal–semiconductor–metal ultraviolet photodetector that was fabricated on an optimized PSC substrate. The PSC samples were prepared via the UV-assisted photo-electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using different etching current densities. Themore » optical results showed that the current density is an outstanding etching parameter that controls the porosity and uniformity of PSC substrates. A highly porous substrate was synthesized using a suitable etching current density to enhance its light absorption, thereby improving the sensitivity of UV detector with this substrate. The electrical characteristics of fabricated devices on optimized PSC substrates exhibited enhanced sensitivity and responsivity to the incident radiation.« less
Electronic and magnetic properties of transition metal decorated monolayer GaS
NASA Astrophysics Data System (ADS)
Lin, Heng-Fu; Liu, Li-Min; Zhao, Jijun
2018-07-01
Inducing controllable magnetism in two dimensional non-magnetic materials is very important for realizing dilute magnetic semiconductor. Using density functional theory, we have systematically investigated the effect of surface adsorption of various 3d transition metal (TM) atoms (Sc-Cu) on the electronic and magnetic properties of the monolayer GaS as representative of group-IIIA metal-monochalcogenide. We find that all adatoms favor the top site on the Ga atom. All the TM atoms, except for the Cr and Mn, can bond strongly to the GaS monolayer with sizable binding energies. Moreover, the TM decorated GaS monolayers exhibit interesting magnetic properties, which arise from the strong spin-dependent hybridization of the TM 3d orbitals with S 3p and Ga 4s orbitals. After examining the magnetic interaction between two same types of TM atoms, we find that most of them exhibit antiferromagnetic coupling, while Fe and Co atoms can form long-range ferromagnetism. Furthermore, we find that the electronic properties of metal decorated systems strongly rely on the type of TM adatom and the adsorption concentration. In particular, the spin-polarized semiconducting state can be realized in Fe doped system for a large range of doping concentrations. These findings indicate that the TM decorated GaS monolayers have potential device applications in next-generation electronics and spintronics.
Ultraviolet Opacity and Fluorescence in Supernova Envelopes
NASA Technical Reports Server (NTRS)
Li, Hongwei; McCray, Richard
1996-01-01
By the time the expanding envelope of a Type 2 supernova becomes transparent in the optical continuum, most of the gamma-ray luminosity produced by radioactive Fe/Co/Ni clumps propagates into the hydrogen/helium envelope and is deposited there, if at all. The resulting fast electrons excite He 1 and H 1, the two- photon continua of which are the dominant internal sources of ultraviolet radiation. The UV radiation is blocked by scattering in thousands of resonance lines of metals and converted by fluorescence into optical and infrared emission lines that escape freely. We describe results of Monte Carlo calculations that simulate non-LTE scattering and fluorescence in more than five million allowed lines of Ca, Sc, Ti, V, Cr, Mn, Fe, Co, and Ni. For a model approximating conditions in the envelope of SN 1987A, the calculated emergent spectrum resembles the observed one. For the first 2 yr after explosion, the ultraviolet radiation (lambda less than or approximately equals 3000) is largely blocked and converted into a quasi continuum of many thousands of weak optical and infrared emission lines and some prominent emission features, such as the Ca 2 lambdalambda8600 triplet. Later, as the envelope cools and expands, it becomes more transparent, and an increasing fraction of the luminosity emerges in the UV band.
NASA Astrophysics Data System (ADS)
Han, Bing; Liu, Bingkun; Zhang, Jie; Li, Pengju; Shi, Hengzhen
2017-07-01
A class of red-emitting Eu3+ ion-activated metal molybdate A2MoO6:Eu3+ (A = La, Y, Gd and Bi) phosphors were synthesized by a conventional high-temperature solid-state reaction method. The x-ray diffraction patterns, scanning electron microscope images, Fourier transform infrared spectra, ultraviolet-visible diffuse reflection spectra as well as photoluminescence properties were measured to characterize the as-prepared samples. The photoluminescence properties including excitation/emission spectra, decay curves, Commission Internationale de L'Eclairage chromaticity coordinates and quantum efficiency were comparatively investigated in detail. The Judd-Ofelt theory was also applied to understand the radiative properties of f-f transitions of Eu3+ ions in this system for the first time. The as-prepared phosphors can be effectively excited with near-ultraviolet and/or blue light, and exhibit red emission belonging to the prevailing 5D0 → 7F2 transitions of Eu3+ with short decay time (millisecond level). The results demonstrated that A2MoO6:Eu3+ (A = La, Y, Gd and Bi) phosphors could have potential application as red-emitting phosphors in white light-emitting diodes based on near-ultraviolet and/or blue light-emitting diode chips.
21 CFR 880.6710 - Medical ultraviolet water purifier.
Code of Federal Regulations, 2010 CFR
2010-04-01
... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Medical ultraviolet water purifier. 880.6710... Miscellaneous Devices § 880.6710 Medical ultraviolet water purifier. (a) Identification. A medical ultraviolet water purifier is a device intended for medical purposes that is used to destroy bacteria in water by...
21 CFR 880.6710 - Medical ultraviolet water purifier.
Code of Federal Regulations, 2011 CFR
2011-04-01
... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Medical ultraviolet water purifier. 880.6710... Miscellaneous Devices § 880.6710 Medical ultraviolet water purifier. (a) Identification. A medical ultraviolet water purifier is a device intended for medical purposes that is used to destroy bacteria in water by...
21 CFR 880.6710 - Medical ultraviolet water purifier.
Code of Federal Regulations, 2013 CFR
2013-04-01
... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Medical ultraviolet water purifier. 880.6710... Miscellaneous Devices § 880.6710 Medical ultraviolet water purifier. (a) Identification. A medical ultraviolet water purifier is a device intended for medical purposes that is used to destroy bacteria in water by...
21 CFR 880.6710 - Medical ultraviolet water purifier.
Code of Federal Regulations, 2012 CFR
2012-04-01
... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Medical ultraviolet water purifier. 880.6710... Miscellaneous Devices § 880.6710 Medical ultraviolet water purifier. (a) Identification. A medical ultraviolet water purifier is a device intended for medical purposes that is used to destroy bacteria in water by...
Electronic and structural properties of B i2S e3:Cu
NASA Astrophysics Data System (ADS)
Sobczak, Kamil; Strak, Pawel; Kempisty, Pawel; Wolos, Agnieszka; Hruban, Andrzej; Materna, Andrzej; Borysiuk, Jolanta
2018-04-01
Electronic and structural properties of B i2S e3 and its extension to copper doped B i2S e3:Cu were studied using combined ab initio simulations and transmission electron microscopy based techniques, including electron energy loss spectroscopy, energy filtered transmission electron microscopy, and energy dispersive x-ray spectroscopy. The stability of the mixed phases was investigated for substitutional and intercalation changes of basic B i2S e3 structure. Four systems were compared: B i2S e3 , structures obtaining by Cu intercalation of the van der Waals gap, by substitution of Bi by Cu in quintuple layers, and C u2Se . The structures were identified and their electronic properties were obtained. Transmission electron microscopy measurements of B i2S e3 and the B i2S e3:Cu system identified the first structure as uniform and the second as composite, consisting of a nonuniform lower-Cu-content matrix and randomly distributed high-Cu-concentration precipitates. Critical comparison of the ab initio and experimental data identified the matrix as having a B i2S e3 dominant part with randomly distributed Cu-intercalated regions having 1Cu-B i2S e3 structure. The precipitates were determined to have 3Cu-B i2S e3 structure.
Ultraviolet Communication for Medical Applications
2015-06-01
DEI procured several UVC phosphors and tested them with vacuum UV (VUV) excitation. Available emission peaks include: 226 nm, 230 nm, 234 nm, 242...SUPPLEMENTARY NOTES Report contains color. 14. ABSTRACT Under this Phase II SBIR effort, Directed Energy Inc.’s (DEI) proprietary ultraviolet ( UV ...15. SUBJECT TERMS Non-line-of-sight (NLOS), networking, optical communication, plasma-shells, short range, ultraviolet ( UV ) light 16. SECURITY
NASA Astrophysics Data System (ADS)
Kumar, Santosh; Wani, Mohmmad Y.; Arranja, Claudia T.; Castro, Ricardo A. E.; Paixão, José A.; Sobral, Abilio J. F. N.
2018-01-01
Fluorescent materials are important for low-cost opto-electronic and biomedical sensor devices. In this study we present the synthesis and characterization of graphene modified with bis-thiosemicarbazone (BTS). This new material was characterized using Fourier transform infrared spectroscopy (FT-IR), Ultraviolet-visible (UV-Vis) and Raman spectroscopy techniques. Further evaluation by X-ray diffraction (XRD), thermo-gravimetric analysis (TGA), differential scanning calorimetry (DSC), scanning electron microscopy (SEM) and atomic-force microscopy (AFM) allowed us to fully characterize the morphology of the fabricated material. The average height of the BTSGO sheet is around 10 nm. Optical properties of BTSGO evaluated by photoluminescence (PL) spectroscopy showed red shift at different excitation wavelength compared to graphene oxide or bisthiosemicarbazide alone. These results strongly suggest that BTSGO material could find potential applications in graphene based optoelectronic devices.
Electronic and Optical Properties of Borophene, a Two-dimensional Transparent Metal.
NASA Astrophysics Data System (ADS)
Adamska, Lyudmyla; Sadasivam, Sridhar; Darancet, Pierre; Sharifzadeh, Sahar
Borophene is a recently synthesized metallic sheet that displays many similarities to graphene and has been predicted to be complimentary to graphene as a high density of states, optically transparent 2D conductor. The atomic arrangement of boron in the monolayer strongly depends on the growth substrate and significantly alters the optoelectronic properties. Here, we report a first-principles density functional theory and many-body perturbation theory study aimed at understanding the optoelectronic properties of two likely allotropes of monolayer boron that are consistent with experimental scanning tunneling microscopy images. We predict that despite both systems are metallic, the two allotropes have substantially different bandstructure and optical properties, with one structure being transparent up to 3 eV and the second weakly absorbing in the UV/Vis region. We demonstrate that this strong structure-dependence of optoelectronic properties is present with the application of strain. Lastly, we discuss the strength of electron-phonon and electron-hole interactions within these materials. Overall, we determine that precise control of the growth conditions in necessary for controlled optical properties. This research used resources of the Argonne Leadership Computing Facility, which is a DOE Office of Science User Facility supported under Contract DE-AC02-06CH11357, and the Texas Advanced Computing Center (TACC) at The University of Texas at Austin.
Scalable fabrication of nanowire photonic and electronic circuits using spin-on glass.
Zimmler, Mariano A; Stichtenoth, Daniel; Ronning, Carsten; Yi, Wei; Narayanamurti, Venkatesh; Voss, Tobias; Capasso, Federico
2008-06-01
We present a method which can be used for the mass-fabrication of nanowire photonic and electronic devices based on spin-on glass technology and on the photolithographic definition of independent electrical contacts to the top and the bottom of a nanowire. This method allows for the fabrication of nanowire devices in a reliable, fast, and low cost way, and it can be applied to nanowires with arbitrary cross section and doping type (p and n). We demonstrate this technique by fabricating single-nanowire p-Si(substrate)-n-ZnO(nanowire) heterojunction diodes, which show good rectification properties and, furthermore, which function as ultraviolet light-emitting diodes.
Optical properties of two-dimensional GaS and GaSe monolayers
NASA Astrophysics Data System (ADS)
Jappor, Hamad Rahman; Habeeb, Majeed Ali
2018-07-01
Optical properties of GaS and GaSe monolayers are investigated using first-principles calculations. The optical properties are studied up to 35 eV. Precisely, our results demonstrated that the optical properties appearance of GaS monolayer is comparative with GaSe monolayer with few informations contrasts. Moreover, the absorption begins in the visible region, although the peaks in the ultraviolet (UV) region. The refractive index values are 1.644 (GaS monolayer) and 2.01 (GaSe monolayer) at zero photon energy limit and increase to 2.092 and 2.698 respectively and both located in the visible region. Furthermore, we notice that the optical properties of both monolayers are obtained in the ultraviolet range and the results are significant. Accordingly, it can be used as a highly promising material in the solar cell, ultraviolet optical nanodevices, nanoelectronics, optoelectronic, and photocatalytic applications.
Ultraviolet light-an FDA approved technology
USDA-ARS?s Scientific Manuscript database
Ultraviolet Light (254 nm) is a U.S. Food and Drug Administration approved nonthermal intervention technology that can be used for decontamination of food and food contact surfaces. Ultraviolet light is a green technology that leaves no chemical residues. Results from our laboratory indicate that ex...
21 CFR 880.6500 - Medical ultraviolet air purifier.
Code of Federal Regulations, 2013 CFR
2013-04-01
... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Medical ultraviolet air purifier. 880.6500 Section... Miscellaneous Devices § 880.6500 Medical ultraviolet air purifier. (a) Identification. A medical ultraviolet air purifier is a device intended for medical purposes that is used to destroy bacteria in the air by exposure...
21 CFR 880.6500 - Medical ultraviolet air purifier.
Code of Federal Regulations, 2011 CFR
2011-04-01
... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Medical ultraviolet air purifier. 880.6500 Section... Miscellaneous Devices § 880.6500 Medical ultraviolet air purifier. (a) Identification. A medical ultraviolet air purifier is a device intended for medical purposes that is used to destroy bacteria in the air by exposure...
21 CFR 880.6500 - Medical ultraviolet air purifier.
Code of Federal Regulations, 2010 CFR
2010-04-01
... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Medical ultraviolet air purifier. 880.6500 Section... Miscellaneous Devices § 880.6500 Medical ultraviolet air purifier. (a) Identification. A medical ultraviolet air purifier is a device intended for medical purposes that is used to destroy bacteria in the air by exposure...
The Extreme Ultraviolet Explorer
NASA Technical Reports Server (NTRS)
Malina, R. F.; Bowyer, S.; Lampton, M.; Finley, D.; Paresce, F.; Penegor, G.; Heetderks, H.
1982-01-01
The Extreme Ultraviolet Explorer Mission is described. The purpose of this mission is to search the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation (100 to 1000 A). The search will be accomplished with the use of three EUV telescopes, each sensitive to different bands within the EUV band. A fourth telescope will perform a higher sensitivity search of a limited sample of the sky in a single EUV band. In six months, the entire sky will be scanned at a sensitivity level comparable to existing surveys in other more traditional astronomical bandpasses.
A simple growth method for Nb 2O 5 films and their optical properties
Dash, J. K.; Chen, L.; Topka, Michael R.; ...
2015-04-13
A simple method for the synthesis of Nb₂O₅ films of thicknesses ranging from tens to several hundreds of nanometers on amorphous silicon dioxide or quartz substrates is presented. Nb₂O₅ films were formed by annealing the sputter deposited Nb films under an Ar flow and without oxygen plasma in a quartz tube within a furnace at 850 °C. The structural, compositional, optical, and vibrational properties were characterized by grazing incidence X-ray diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy, ultraviolet visible spectroscopy, and Raman scattering. Each of the Nb₂O₅ films is polycrystalline with an orthorhombic crystal structure. We observed vibrational modes includingmore » longitudinal optical, transverse optical, and triply degenerate modes, and measured the indirect optical band gap to be ~3.65 eV. The transmittance spectrum of the ~20 nm thick Nb₂O₅ film shows over 90% transmittance below the band gap energy in the visible wavelength range and decreases to less than 20% in the ultraviolet regime. As a result, the optical properties of the films in the UV-vis range show potential applications as UV detectors.« less
Structural and electronic properties of in-plane phase engineered WSe2: A DFT study
NASA Astrophysics Data System (ADS)
Bhart, Ankush; Kapoor, Pooja; Sharma, Munish; Sharma, Raman; Ahluwalia, P. K.
2018-04-01
We present first principal investigations on structural and electronic properties of in-plane phase engineered WSe2 with armchair type interface. The 2H and 1T phases of WSe2, joined along x-direction is a natural metal-semiconductor heterostructure and therefore shows potential for applications in 2D electronics and opto-electronics. The electronic properties transit towards metallic 1T region. No inflections across interface shows negligible mismatch strain which is unlike what has been reported for MoS2. Charge density analysis shows charge accumulation on 1T domain. This can lead to reduction of Schottky barrier heights at the metal-semiconductor junction. STM analysis confirms transition of 1T phase towards distorted 1T' structure. The present results provide essential insights for nano-devices using 2D hybrid materials.
NASA Astrophysics Data System (ADS)
Cai, X. J.; Wang, X. X.; Zou, X. B.; Lu, Z. W.
2018-01-01
An understanding of electron kinetics is of importance in various applications of low temperature plasmas. We employ a series of model and real gases to investigate electron transport and relaxation properties based on improved multi-term approximation of the Boltzmann equation. First, a comparison of different methods to calculate the interaction integrals has been carried out; the effects of free parameters, such as vmax, lmax, and the arbitrary temperature Tb, on the convergence of electron transport coefficients are analyzed. Then, the modified attachment model of Ness et al. and SF6 are considered to investigate the effect of attachment on the electron transport properties. The deficiency of the pulsed Townsend technique to measure the electron transport and reaction coefficients in electronegative gases is highlighted when the reduced electric field is small. In order to investigate the effect of external magnetic field on the electron transport properties, Ar plasmas in high power impulse sputtering devices are considered. In the end, the electron relaxation properties of the Reid model under the influence of electric and magnetic fields are demonstrated.
Atiwongsangthong, Narin
2012-08-01
The purpose of this research, the nanoporous silicon layer were fabricated and investigated the physical properties such as photoluminescence and the electrical properties in order to develop organic vapor sensor by using nanoporous silicon. The Changes in the photoluminescence intensity of nanoporous silicon samples are studied during ultraviolet illumination in various ambient gases such as nitrogen, oxigen and vacuum. In this paper, the nanoporous silicon layer was used as organic vapor adsorption and sensing element. The advantage of this device are simple process compatible in silicon technology and usable in room temperature. The structure of this device consists of nanoporous silicon layer which is formed by anodization of silicon wafer in hydrofluoric acid solution and aluminum electrode which deposited on the top of nanoporous silicon layer by evaporator. The nanoporous silicon sensors were placed in a gas chamber with various organic vapor such as ethanol, methanol and isopropyl alcohol. From studying on electrical characteristics of this device, it is found that the nanoporous silicon layer can detect the different organic vapor. Therefore, the nanoporous silicon is important material for organic vapor sensor and it can develop to other applications about gas sensors in the future.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chae, S.W.; Yoon, S.K.; Kwak, J.S.
2006-05-15
We report the improvement of electrical and optical properties of p-GaN Ohmic metals, ZnNi(10 nm)/Au(10 nm), by ultraviolet (UV) light irradiation. After UV light irradiation, the specific contact resistance of p-GaN decreased slightly from 2.99x10{sup -4} to 2.54x10{sup -4} {omega} cm{sup 2}, while the transmittance of the contact layer increased form 75% to 85% at a wavelength of 460 nm. In addition, the forward voltage of InGaN/GaN light-emitting diode chip at 20 mA decreased from 3.55 to 3.45 V, and the output power increased form 18 to 25 mW by UV light irradiation. The low resistance and high transmittance ofmore » the p-GaN Ohmic metals are attributed to the reduced Shottky barrier by the formation of gallium oxide and the increased oxidation of p-Ohmic metals, respectively, due to ozone generated form oxygen during UV light irradiation.« less
Structure and Electronic Properties of Ionized PAH Clusters
NASA Astrophysics Data System (ADS)
Joblin, Christine; Kokkin, Damian L.; Sabbah, Hassan; Bonnamy, Anthony; Dontot, Leo; Rapacioli, Mathias; Simon, Aude; Spiegelman, Fernand; Parneix, Pascal; Pino, Thomas; Pirali, Olivier; Falvo, Cyril; Gamboa, Antonio; Brechignac, Philippe; Garcia, Gustavo A.; Nahon, Laurent
2014-06-01
Polycyclic aromatic hydrocarbon (PAH) clusters have been proposed as candidates for evaporating very small grains that are revealed by their mid-IR emission at the surface of UV-irradiated clouds in interstellar space. This suggestion is a motivation for further characterization of the properties of these clusters in particular when they are ionized. We have used a molecular beam coupled to the photoelectron-photoion coincidence spectrometer DELICIOUS II/ III at the VUV beamline DESIRS of the synchrotron SOLEIL to characterize the electronic properties of cationic coronene (C24H12) and pyrene (C16H10) clusters up to the pentamer and heptamer, respectively. These experimental results are analysed in the light of electronic structure calculations. Simulations of the properties of ionized PAH clusters are faced with the difficulty of describing charge delocalization in these large systems. We will show that recent developments combining a Density Functional Tight Binding method with Configuration Interaction scheme is successful in simulating the ionization potential, which gives strong confidence into the predicted structures for these PAH clusters. We will also present current effort to study charge transfer states by performing complementary measurements with the PIRENEA ion trap set-up. Joint ANR project GASPARIM, ANR-10-BLAN-501 M. Rapacioli, C. Joblin and P. Boissel Astron. & Astrophys., 429 (2005), 193-204. G. Garcia, H. Soldi-Lose and L. Nahon Rev. Sci. Instrum., 80 (2009), 023102; G. Garcia, B. Cunha de Miranda, M. Tia, S. Daly, L. Nahon, Rev. Sci. Instrum., 84 (2013), 053112 M. Rapacioli, A. Simon, L. Dontot and F. Spiegelman Phys. Status Solidi B, 249 (2) (2012), 245-258; L. Dontot, M. Rapacioli and F. Spiegelman (2014) submitted
Ultraviolet photodissociation action spectroscopy of the N-pyridinium cation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hansen, Christopher S., E-mail: csh297@uowmail.edu.au; Trevitt, Adam J., E-mail: adamt@uow.edu.au; Blanksby, Stephen J.
2015-01-07
The S{sub 1}←S{sub 0} electronic transition of the N-pyridinium ion (C{sub 5}H{sub 5}NH{sup +}) is investigated using ultraviolet photodissociation (PD) spectroscopy of the bare ion and also the N{sub 2}-tagged complex. Gas-phase N-pyridinium ions photodissociate by the loss of molecular hydrogen (H{sub 2}) in the photon energy range 37 000–45 000 cm{sup −1} with structurally diagnostic ion-molecule reactions identifying the 2-pyridinylium ion as the exclusive co-product. The photodissociation action spectra reveal vibronic details that, with the aid of electronic structure calculations, support the proposal that dissociation occurs through an intramolecular rearrangement on the ground electronic state following internal conversion. Quantum chemical calculationsmore » are used to analyze the measured spectra. Most of the vibronic features are attributed to progressions of totally symmetric ring deformation modes and out-of-plane modes active in the isomerization of the planar excited state towards the non-planar excited state global minimum.« less
21 CFR 878.4635 - Ultraviolet lamp for tanning.
Code of Federal Regulations, 2010 CFR
2010-04-01
... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Ultraviolet lamp for tanning. 878.4635 Section 878... tanning. (a) Identification. An ultraviolet lamp for tanning is a device that is a lamp (including a fixture) intended to provide ultraviolet radiation to tan the skin. See § 1040.20 of this chapter. (b...
Extreme ultraviolet probing of nonequilibrium dynamics in high energy density germanium
NASA Astrophysics Data System (ADS)
Principi, E.; Giangrisostomi, E.; Mincigrucci, R.; Beye, M.; Kurdi, G.; Cucini, R.; Gessini, A.; Bencivenga, F.; Masciovecchio, C.
2018-05-01
Intense femtosecond infrared laser pulses induce a nonequilibrium between thousands of Kelvin hot valence electrons and room-temperature ions in a germanium sample foil. The evolution of this exotic state of matter is monitored with time-resolved extreme ultraviolet absorption spectroscopy across the Ge M2 ,3 edge (≃30 eV ) using the FERMI free-electron laser. We analyze two distinct regimes in the ultrafast dynamics in laser-excited Ge: First, on a subpicosecond time scale, the electron energy distribution thermalizes to an extreme temperature unreachable in equilibrium solid germanium; then, during the following picoseconds, the lattice reacts strongly altering the electronic structure and resulting in melting to a metallic state alongside a breakdown of the local atomic order. Data analysis, based on a hybrid approach including both numerical and analytical calculations, provides an estimation of the electron and ion temperatures, the electron density of states, the carrier-phonon relaxation time, as well as the carrier density and lattice heat capacity under those extreme nonequilibrium conditions. Related structural anomalies, such as the occurrence of a transient low-density liquid phase and the possible drop in lattice heat capacity are discussed.
Electronic and optical properties of graphene-like InAs: An ab initio study
NASA Astrophysics Data System (ADS)
Sohrabi, Leila; Boochani, Arash; Ali Sebt, S.; Mohammad Elahi, S.
2018-03-01
The present work initially investigates structural, optical, and electronic properties of graphene-like InAs by using the full potential linear augmented plane wave method in the framework of density functional theory and is then compared with the bulk Indium Arsenide in the wurtzite phase. The lattice parameters are optimized with GGA-PBE and LDA approximations for both 2D- and 3D-InAs. In order to study the electronic properties of graphene-like InAs and bulk InAs in the wurtzite phase, the band gap is calculated by GGA-PBG and GGA-EV approximations. Moreover, optical parameters of graphene-like InAs and bulk InAs such as the real and imaginary parts of dielectric function, electron energy loss function, refractivity, extinction and absorption coefficients, and optical conductivity are investigated. Plasmonic frequencies of 2D- and 3D-InAs are also calculated by using maximum electron energy loss function and the roots of the real part of the dielectric function.
Thermal, electronic and ductile properties of lead-chalcogenides under pressure.
Gupta, Dinesh C; Bhat, Idris Hamid
2013-09-01
Fully relativistic pseudo-potential ab-initio calculations have been performed to investigate the high pressure phase transition, elastic and electronic properties of lead-chalcogenides including the less known lead polonium. The calculated ground state parameters, for the rock-salt structure show good agreement with the experimental data. PbS, PbSe, PbTe and PbPo undergo a first-order phase transition from rock-salt to CsCl structure at 19.4, 15.5, 11.5 and 7.3 GPa, respectively. The elastic properties have also been calculated. The calculations successfully predicted the location of the band gap at L-point of Brillouin zone and the band gap for each material at ambient pressure. It is observed that unlike other lead-chalcogenides, PbPo is semi-metal at ambient pressure. The pressure variation of the energy gap indicates that these materials metalize under pressure. The electronic structures of these materials have been computed in parent as well as in high pressure B2 phase.
On the impact of indium distribution on the electronic properties in InGaN nanodisks
DOE Office of Scientific and Technical Information (OSTI.GOV)
Benaissa, M., E-mail: benaissa.um5@gmail.com, E-mail: benaissa@fsr.ac.ma; Sigle, W.; Aken, P. A. van
2015-03-09
We analyze an epitaxially grown heterostructure composed of InGaN nanodisks inserted in GaN nanowires in order to relate indium concentration to the electronic properties. This study was achieved with spatially resolved low-loss electron energy-loss spectroscopy using monochromated electrons to probe optical excitations—plasmons—at nanometer scale. Our findings show that each nanowire has its own indium fluctuation and therefore its own average composition. Due to this indium distribution, a scatter is obtained in plasmon energies, and therefore in the optical dielectric function, of the nanowire ensemble. We suppose that these inhomogeneous electronic properties significantly alter band-to-band transitions and consequently induce emission broadening.more » In addition, the observation of tailing indium composition into the GaN barrier suggests a graded well-barrier interface leading to further inhomogeneous broadening of the electro-optical properties. An improvement in the indium incorporation during growth is therefore needed to narrow the emission linewidth of the presently studied heterostructures.« less
NASA Astrophysics Data System (ADS)
Gao, Lijuan; Yang, Zhao-Di; Zhang, Guiling
2017-06-01
The geometries, electronic and electron transport properties of a series of functionalized MoS2 monolayers were investigated using density-functional theory (DFT) and the non-equilibrium Green's function (NEGF) methods. n-Propyl, n-trisilicyl, phenyl, p-nitrophenyl and p-methoxyphenyl are chosen as electron-donating groups. The results show covalent functionalization with electron-donating groups could make a transformation from typical semiconducting to metallic properties for appearance of midgap level across the Fermi level (Ef). The calculations of transport properties for two-probe devices indicate that conductivities of functionalized systems are obviously enhanced relative to pristine MoS2 monolayer. Grafted groups contribute to the major transport path and play an important role in enhancing conductivity. The NDR effect is found. The influence of grafted density is also studied. Larger grafted density leads to wider bandwidth of midgap level, larger current response of I-V curves and larger current difference between peak and valley.
International Ultraviolet Explorer (IUE) ultraviolet spectral atlas of selected astronomical objects
NASA Technical Reports Server (NTRS)
Wu, Chi-Chao; Reichert, Gail A.; Ake, Thomas B.; Boggess, Albert; Holm, Albert V.; Imhoff, Catherine L.; Kondo, Yoji; Mead, Jaylee M.; Shore, Steven N.
1992-01-01
The IUE Ultraviolet Spectral Atlas of Selected Astronomical Objects (or 'the Atlas'), is based on the data that were available in the IUE archive in 1986, and is intended to be a quick reference for the ultraviolet spectra of many categories of astronomical objects. It shows reflected sunlight from the Moon, planets, and asteroids, and also shows emission from comets. Comprehensive compilations of UV spectra for main sequence, subgiant, giant, bright giant, and supergiant stars are published elsewhere. This Atlas contains the spectra for objects occupying other areas of the Hertzsprung-Russell diagram: pre-main sequence stars, chemically peculiar stars, pulsating variables, subluminous stars, and Wolf-Rayet stars. This Atlas also presents phenomena such as the chromospheric and transition region emissions from late-type stars; composite spectra of stars, gas streams, accretion disks and gas envelopes of binary systems; the behavior of gas ejecta shortly after the outburst of novac and supernovac; and the H II regions, planetary nebulae, and supernova remnants. Population 2 stars, globular clusters, and luminous stars in the Magellanic Clouds, M31, and M33, are also included in this publication. Finally, the Atlas gives the ultraviolet spectra of galaxies of different Hubble types and of active galaxies.
Zhang, Wen-Wei; Mao, Wei-Li; Hu, Yun-Xia; Tian, Zi-Qi; Wang, Zhi-Lin; Meng, Qing-Jin
2009-09-17
Two donor-acceptor molecules with different pi-electron conjugative units, 1-((10-methyl-10H-phenothiazin-3-yl)ethynyl)anthracene-9,10-dione (AqMp) and 1,1'-(10-methyl-10H-phenothiazine-3,7-diyl)bis(ethyne-2,1-diyl)dianthracene-9,10-dione (Aq2Mp), have been synthesized and investigated for their photochemical and electrochemical properties. Density functional theory (DFT) calculations provide insights into their molecular geometry, electronic structures, and properties. These studies satisfactorily explain the electrochemistry of the two compounds and indicate that larger conjugative effect leads to smaller HOMO-LUMO gap (Eg) in Aq2Mp. Both compounds show ICT and pi --> pi* transitions in the UV-visible range in solution, and Aq2Mp has a bathochromic shift and shows higher oscillator strength of the absorption, which has been verified by time-dependent DFT (TDDFT) calculations. The differences between AqMp and Aq2Mp indicate that the structural and conjugative effects have great influence on the electronic properties of the molecules.
Global Far-ultraviolet Properties of the Cygnus Loop
NASA Astrophysics Data System (ADS)
Kim, Il-Joong; Seon, Kwang-Il; Lim, Yeo-Myeong; Lee, Dae-Hee; Han, Wonyong; Min, Kyoung-Wook; Edelstein, Jerry
2014-03-01
We present the C III λ977, O VI λλ1032, 1038 and N IV] λ1486 emission line maps of the Cygnus Loop, obtained with the newly processed data of the Spectroscopy of Plasma Evolution from Astrophysical Radiation (SPEAR; also known as FIMS) mission. In addition, the Si IV+O IV] line complexes around 1400 Å are resolved into two separate emission lines whose intensity demonstrates a relatively high Si IV region that was predicted in the previous study. The morphological similarity between the O VI and X-ray images, as well as a comparison of the O VI intensity with the value expected from the X-ray results, indicates that large portions of the observed O VI emissions could be produced from X-ray emitting gas. Comparisons of the far-ultraviolet (FUV) images with the optical and H I 21 cm images reveal spatial variations of shock-velocity populations and high FUV extinction in the direction of a previously identified H I cloud. By calculating the FUV line ratios for several subregions of the Cygnus Loop, we investigate the spatial variation of the population of radiative shock velocities as well as the effects of resonance scattering, X-ray emitting gas, and nonradiative shocks. The FUV and X-ray luminosity comparisons between the Cygnus Loop and the Vela supernova remnant suggest that the fraction of shocks in the early evolutionary stages is much larger in the Cygnus Loop.
NASA Technical Reports Server (NTRS)
Kim, W. S.; Seng, G. T.
1982-01-01
A rapid ultraviolet spectrophotometric method for the simultaneous determination of aromatics in middistillate fuels was developed and evaluated. In this method, alkylbenzenes, alkylnaphthalenes, alkylanthracenes/phenanthracenes and total aromatics were determined from ultraviolet spectra of the fuels. The accuracy and precision were determined using simulated standard fuels with known compositions. The total aromatics fraction accuracy was 5% for a Jet A type fuel and 0.6% for a broadened properties jet turbine type fuel. Precision, expressed as relative standard deviations, ranged from 2.9% for the alkylanthracenes/phenanthrenes to 15.3% for the alkylbenzenes. The accuracy, however, was less for actual fuel samples when compared to the results obtained by a mass spectrometric method. In addition, the ASTM D-1840 method for naphthalenes by ultraviolet spectroscopy was evaluated.