Sample records for ultraviolet euv lithography

  1. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  2. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  3. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  4. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  5. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  6. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  7. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  8. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  9. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  10. Four-mirror extreme ultraviolet (EUV) lithography projection system

    DOEpatents

    Cohen, Simon J; Jeong, Hwan J; Shafer, David R

    2000-01-01

    The invention is directed to a four-mirror catoptric projection system for extreme ultraviolet (EUV) lithography to transfer a pattern from a reflective reticle to a wafer substrate. In order along the light path followed by light from the reticle to the wafer substrate, the system includes a dominantly hyperbolic convex mirror, a dominantly elliptical concave mirror, spherical convex mirror, and spherical concave mirror. The reticle and wafer substrate are positioned along the system's optical axis on opposite sides of the mirrors. The hyperbolic and elliptical mirrors are positioned on the same side of the system's optical axis as the reticle, and are relatively large in diameter as they are positioned on the high magnification side of the system. The hyperbolic and elliptical mirrors are relatively far off the optical axis and hence they have significant aspherical components in their curvatures. The convex spherical mirror is positioned on the optical axis, and has a substantially or perfectly spherical shape. The spherical concave mirror is positioned substantially on the opposite side of the optical axis from the hyperbolic and elliptical mirrors. Because it is positioned off-axis to a degree, the spherical concave mirror has some asphericity to counter aberrations. The spherical concave mirror forms a relatively large, uniform field on the wafer substrate. The mirrors can be tilted or decentered slightly to achieve further increase in the field size.

  11. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  12. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  13. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  14. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  15. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  16. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  17. Estimation of resist sensitivity for extreme ultraviolet lithography using an electron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa, E-mail: ohyama.tomoko@qst.go.jp; Oshima, Akihiro; Tagawa, Seiichi, E-mail: tagawa@sanken.osaka-u.ac.jp

    2016-08-15

    It is a challenge to obtain sufficient extreme ultraviolet (EUV) exposure time for fundamental research on developing a new class of high sensitivity resists for extreme ultraviolet lithography (EUVL) because there are few EUV exposure tools that are very expensive. In this paper, we introduce an easy method for predicting EUV resist sensitivity by using conventional electron beam (EB) sources. If the chemical reactions induced by two ionizing sources (EB and EUV) are the same, the required absorbed energies corresponding to each required exposure dose (sensitivity) for the EB and EUV would be almost equivalent. Based on this theory, wemore » calculated the resist sensitivities for the EUV/soft X-ray region. The estimated sensitivities were found to be comparable to the experimentally obtained sensitivities. It was concluded that EB is a very useful exposure tool that accelerates the development of new resists and sensitivity enhancement processes for 13.5 nm EUVL and 6.x nm beyond-EUVL (BEUVL).« less

  18. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  19. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  20. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  1. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Caudillo, Roman; Chandhok, Manish

    2010-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. Readiness of EUV materials is currently one high risk area according to recent assessments made at the 2009 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data collected utilizing Intel's Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <= 12.5mJ/cm2 with <= 4nm LWR.

  2. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  3. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  4. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  5. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  6. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  7. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  8. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  9. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  10. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  11. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  12. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  13. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mirkarimi, Paul B.; Bajt, Sasa; Wall, Mark A.

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decreasemore » more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar. (c) 2000 Optical Society of America.« less

  14. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography.

    PubMed

    Mirkarimi, P B; Bajt, S; Wall, M A

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.

  15. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  16. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  17. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  18. Conventional and modified Schwarzschild objective for EUV lithography: design relations

    NASA Astrophysics Data System (ADS)

    Bollanti, S.; di Lazzaro, P.; Flora, F.; Mezi, L.; Murra, D.; Torre, A.

    2006-12-01

    The design criteria of a Schwarzschild-type optical system are reviewed in relation to its use as an imaging system in an extreme ultraviolet lithography setup. Both the conventional and the modified reductor imaging configurations are considered, and the respective performances, as far as the geometrical resolution in the image plane is concerned, are compared. In this connection, a formal relation defining the modified configuration is elaborated, refining a rather naïve definition presented in an earlier work. The dependence of the geometrical resolution on the image-space numerical aperture for a given magnification is investigated in detail for both configurations. So, the advantages of the modified configuration with respect to the conventional one are clearly evidenced. The results of a semi-analytical procedure are compared with those obtained from a numerical simulation performed by an optical design program. The Schwarzschild objective based system under implementation at the ENEA Frascati Center within the context of the Italian FIRB project for EUV lithography has been used as a model. Best-fit functions accounting for the behaviour of the system parameters vs. the numerical aperture are reported; they can be a useful guide for the design of Schwarzschild objective type optical systems.

  19. Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography

    NASA Astrophysics Data System (ADS)

    Singh, Vikram; Satyanarayana, Vardhineedi Sri Venkata; Batina, Nikola; Reyes, Israel Morales; Sharma, Satinder K.; Kessler, Felipe; Scheffer, Francine R.; Weibel, Daniel E.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2014-10-01

    Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising next-generation lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ/cm2. The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.

  20. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Ohomori, Katsumi; Kozawa, Takahiro

    2015-03-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub 10nm. An anion-bound polymer(ABP), in which at the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using γ and EUV radiolysis. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The protons of acids are considered to be mainly generated through the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through the hole transfer to the decomposition products of onium salts.

  1. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  2. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  3. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Utsumi, Yoshiyuki; Ohomori, Katsumi; Kozawa, Takahiro

    2014-11-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub-10 nm. An anion-bound polymer (ABP), in which the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using electron (pulse), γ, and EUV radiolyses. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The major path for proton generation in the absence of effective proton sources is considered to be the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through hole transfer to the decomposition products of onium salts.

  4. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  5. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  6. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  7. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  8. Mask characterization for CDU budget breakdown in advanced EUV lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  9. Actinic imaging and evaluation of phase structures on EUV lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mochi, Iacopo; Goldberg, Kenneth; Huh, Sungmin

    2010-09-28

    The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of themore » object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.« less

  10. Plasma-based EUV light source

    DOEpatents

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  11. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  12. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  13. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  14. EUV patterning improvement toward high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie

    2015-03-01

    Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.

  15. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  16. High-space resolution imaging plate analysis of extreme ultraviolet (EUV) light from tin laser-produced plasmas

    NASA Astrophysics Data System (ADS)

    Musgrave, Christopher S. A.; Murakami, Takehiro; Ugomori, Teruyuki; Yoshida, Kensuke; Fujioka, Shinsuke; Nishimura, Hiroaki; Atarashi, Hironori; Iyoda, Tomokazu; Nagai, Keiji

    2017-03-01

    With the advent of high volume manufacturing capabilities by extreme ultraviolet lithography, constant improvements in light source design and cost-efficiency are required. Currently, light intensity and conversion efficiency (CE) measurments are obtained by charged couple devices, faraday cups etc, but also phoshpor imaging plates (IPs) (BaFBr:Eu). IPs are sensitive to light and high-energy species, which is ideal for studying extreme ultraviolet (EUV) light from laser produced plasmas (LPPs). In this work, we used IPs to observe a large angular distribution (10°-90°). We ablated a tin target by high-energy lasers (1064 nm Nd:YAG, 1010 and 1011 W/cm2) to generate the EUV light. The europium ions in the IP were trapped in a higher energy state from exposure to EUV light and high-energy species. The light intensity was angular dependent; therefore excitation of the IP depends on the angle, and so highly informative about the LPP. We obtained high-space resolution (345 μm, 0.2°) angular distribution and grazing spectrometer (5-20 nm grate) data simultaneously at different target to IP distances (103 mm and 200 mm). Two laser systems and IP types (BAS-TR and BAS-SR) were also compared. The cosine fitting values from the IP data were used to calculate the CE to be 1.6% (SD ± 0.2) at 13.5 nm 2% bandwidth. Finally, a practical assessment of IPs and a damage issue are disclosed.

  17. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  18. Observation of EUVL mask using coherent EUV scatterometry microscope with high-harmonic-generation EUV source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-07-01

    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.

  19. Mechanisms of EUV exposure: electrons and holes

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ackerman, Christian; Flynn, Tracy; Denbeaux, Greg; Brainard, Robert L.

    2017-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry. Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.

  20. Surface roughness control by extreme ultraviolet (EUV) radiation

    NASA Astrophysics Data System (ADS)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  1. High-NA EUV lithography enabling Moore's law in the next decade

    NASA Astrophysics Data System (ADS)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  2. Mask fabrication and its applications to extreme ultra-violet diffractive optics

    NASA Astrophysics Data System (ADS)

    Cheng, Yang-Chun

    Short-wavelength radiation around 13nm of wavelength (Extreme Ultra-Violet, EUV) is being considered for patterning microcircuits, and other electronic chips with dimensions in the nanometer range. Interferometric Lithography (IL) uses two beams of radiation to form high-resolution interference fringes, as small as half the wavelength of the radiation used. As a preliminary step toward manufacturing technology, IL can be used to study the imaging properties of materials in a wide spectral range and at nanoscale dimensions. A simple implementation of IL uses two transmission diffraction gratings to form the interference pattern. More complex interference patterns can be created by using different types of transmission gratings. In this thesis, I describe the development of a EUV lithography system that uses diffractive optical elements (DOEs), from simple gratings to holographic structures. The exposure system is setup on a EUV undulator beamline at the Synchrotron Radiation Center, in the Center for NanoTechnology clean room. The setup of the EUV exposure system is relatively simple, while the design and fabrication of the DOE "mask" is complex, and relies on advanced nanofabrication techniques. The EUV interferometric lithography provides reliable EUV exposures of line/space patterns and is ideal for the development of EUV resist technology. In this thesis I explore the fabrication of these DOE for the EUV range, and discuss the processes I have developed for the fabrication of ultra-thin membranes. In addition, I discuss EUV holographic lithography and generalized Talbot imaging techniques to extend the capability of our EUV-IL system to pattern arbitrary shapes, using more coherent sources than the undulator. In a series of experiments, we have demonstrated the use of a soft X-ray (EUV) laser as effective source for EUV lithography. EUV-IL, as implemented at CNTech, is being used by several companies and research organizations to characterize photoresist

  3. Low temperature plasmas induced in SF6 by extreme ultraviolet (EUV) pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Skrzeczanowski, W.; Czwartos, J.; Kostecki, J.; Fiedorowicz, H.; Wachulak, P.; Fok, T.

    2018-06-01

    In this work, a comparative study of extreme ultraviolet (EUV) induced low temperature SF6-based plasmas, created using two different irradiation systems, was performed. Both systems utilized laser-produced plasma (LPP) EUV sources. The essential difference between the systems concerned the formation of the driving EUV beam. The first one contained an efficient ellipsoidal EUV collector allowing for focusing of the EUV radiation at a large distance from the LPP source. The spectrum of focused radiation was limited to the long-wavelength part of the total LPP emission, λ > 8 nm, due to the reflective properties of the collector. The second system did not contain any EUV collector. The gas to be ionized was injected in the vicinity of the LPP, at a distance of the order of 10 mm. In both systems, energies of the driving photons were high enough for dissociative ionization of the SF6 molecules and ionization of atoms or even singly charged ions. Plasmas, created due to these processes, were investigated by spectral measurements in the EUV, ultraviolet (UV), and visible (VIS) spectral ranges. These low temperature plasmas were employed for preliminary experiments concerning surface treatment. The formation of pronounced nanostructures on the silicon surface after plasma treatment was demonstrated.

  4. Improvements in resist performance towards EUV HVM

    NASA Astrophysics Data System (ADS)

    Yildirim, Oktay; Buitrago, Elizabeth; Hoefnagels, Rik; Meeuwissen, Marieke; Wuister, Sander; Rispens, Gijsbert; van Oosten, Anton; Derks, Paul; Finders, Jo; Vockenhuber, Michaela; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

  5. A 1kW EUV source for lithography based on FEL emission in a compact storage ring

    NASA Astrophysics Data System (ADS)

    Feser, Michael; Ruth, Ron; Loewen, Rod

    2017-10-01

    EUV has long been hailed as the next generation lithography technology. Its adoption into high volume manufacturing (HVM), however, has been delayed several technology nodes due to technical issues, many of which can be attributed to the EUV source performance. Today's EUV lithography scanners are powered by laser produce plasma (LPP) sources. They have issues with power scaling beyond 300 W, reliability and contamination. Free Electron Lasers (FELs) have been considered as an alternative EUV source. Advantages of accelerator based sources are the maturity of the accelerator technology, lack of debris/contamination, and ability to provide high power. Industry turned away from this technology because of the requirement to feed up to 10 scanners from one linear FEL to make it economically feasible, the large footprint, and generation of radioactive byproducts. All of these issues are overcome in the presented concept using a compact storage ring with steady-state FEL lasing action. At 1 kW output power, comparable cost and footprint to an LPP source, this source is ideally suited for use on a single scanner and promises reliable, contamination free operation. FEL action in the storage ring is sustained by operating the FEL well below the saturation regime and preserving the equilibrium low emittance and energy distribution of the ring.

  6. Integrated approach to improving local CD uniformity in EUV patterning

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  7. The future of EUV lithography: enabling Moore's Law in the next decade

    NASA Astrophysics Data System (ADS)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  8. Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration

    NASA Astrophysics Data System (ADS)

    Kandel, Yudhishthir; Chandonait, Jonathan; Melvin, Lawrence S.; Marokkey, Sajan; Yan, Qiliang; Grzeskowiak, Steven; Painter, Benjamin; Denbeaux, Gregory

    2017-03-01

    Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.

  9. Manufacturability improvements in EUV resist processing toward NXE:3300 processing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Shimoaoki, Takeshi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie; Shimura, Satoru

    2014-03-01

    As the design rule of semiconductor process gets finer, extreme ultraviolet lithography (EUVL) technology is aggressively studied as a process for 22nm half pitch and beyond. At present, the studies for EUV focus on manufacturability. It requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. In the first half of 2013, a CLEAN TRACKTM LITHIUS ProTMZ-EUV was installed at imec for POR development in preparation of the ASML NXE:3300. This next generation coating/developing system is equipped with state of the art defect reduction technology. This tool with advanced functions can achieve low defect levels. This paper reports on the progress towards manufacturing defectivity levels and latest optimizations towards the NXE:3300 POR for both lines/spaces and contact holes at imec.

  10. Particle protection capability of SEMI-compliant EUV-pod carriers

    NASA Astrophysics Data System (ADS)

    Huang, George; He, Long; Lystad, John; Kielbaso, Tom; Montgomery, Cecilia; Goodwin, Frank

    2010-04-01

    With the projected rollout of pre-production extreme ultraviolet lithography (EUVL) scanners in 2010, EUVL pilot line production will become a reality in wafer fabrication companies. Among EUVL infrastructure items that must be ready, EUV mask carriers remain critical. To keep non-pellicle EUV masks free from particle contamination, an EUV pod concept has been extensively studied. Early prototypes demonstrated nearly particle-free results at a 53 nm PSL equivalent inspection sensitivity during EUVL mask robotic handling, shipment, vacuum pump-purge, and storage. After the passage of SEMI E152, which specifies the EUV pod mechanical interfaces, standards-compliant EUV pod prototypes, including a production version inner pod and prototype outer pod, were built and tested. Their particle protection capability results are reported in this paper. A state-of-the-art blank defect inspection tool was used to quantify their defect protection capability during mask robotic handling, shipment, and storage tests. To ensure the availability of an EUV pod for 2010 pilot production, the progress and preliminary test results of pre-production EUV outer pods are reported as well.

  11. CO2 laser drives extreme ultraviolet nano-lithography — second life of mature laser technology

    NASA Astrophysics Data System (ADS)

    Nowak, K. M.; Ohta, T.; Suganuma, T.; Fujimoto, J.; Mizoguchi, H.; Sumitani, A.; Endo, A.

    2013-12-01

    It was shown both theoretically and experimentally that nanosecond order laser pulses at 10.6 micron wavelength were superior for driving the Sn plasma extreme ultraviolet (EUV) source for nano-lithography for the reasons of higher conversion efficiency, lower production of debris and higher average power levels obtainable in CO2 media without serious problems of beam distortions and nonlinear effects occurring in competing solid-state lasers at high intensities. The renewed interest in such pulse format, wavelength, repetition rates in excess of 50 kHz and average power levels in excess of 18 kiloWatt has sparked new opportunities for a matured multi-kiloWatt CO2 laser technology. The power demand of EUV source could be only satisfied by a Master-Oscillator-Power-Amplifier system configuration, leading to a development of a new type of hybrid pulsed CO2 laser employing a whole spectrum of CO2 technology, such as fast flow systems and diffusion-cooled planar waveguide lasers, and relatively recent quantum cascade lasers. In this paper we review briefly the history of relevant pulsed CO2 laser technology and the requirements for multi-kiloWatt CO2 laser, intended for the laser-produced plasma EUV source, and present our recent advances, such as novel solid-state seeded master oscillator and efficient multi-pass amplifiers built on planar waveguide CO2 lasers.

  12. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  13. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    NASA Astrophysics Data System (ADS)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  14. Design considerations of 10 kW-scale extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-05-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry roadmap, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not obvious. The problem of construction of Extreme Ultraviolet (EUV) quantum laser for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant break through in the near future. Nevertheless, there is clear path for optical lithography to take us to sub- 100 nm dimensions. Theoretical and experimental work in free electron laser (FEL) and accelerator physics and technology over the last 10 years has pointed to the possibility of generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain self-amplified spontaneous emission (SASE) FEL at 100 nm wavelength (Andruszkov et al., Phys. Rev. Lett. 85 (2000), 3825). In the SASE FEL powerful, coherent radiation is produced by the electron beam during single-pass of the undulator, thus there are no apparent limitations which would prevent operation at very short wavelength range and to increase the average output power of this device up to 10 kW level. The use of superconducting energy-recovery linac could produce a major, cost-effective facility with wall plug power to output optical power efficiency of about 1%. A 10-kW-scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be an excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy-recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time schedule requirement.

  15. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-12-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andruszkov, et al., Phys. Rev. Lett. 85 (2000) 3821). The SASE FEL concept eliminates the need for an optical cavity. As a result, there are no apparent limitations which would prevent operating at very short wavelength range and increasing the average output power of this device up to 10-kW level. The use of super conducting energy-recovery linac could produce a major, cost-efficient facility with wall plug power to output optical power efficiency of about 1%. A 10-kW scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time-schedule requirement.

  16. Coater/developer based techniques to improve high-resolution EUV patterning defectivity

    NASA Astrophysics Data System (ADS)

    Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Liu, Eric; Ko, Akiteru; Kawakami, Shinichiro; Shimoaoki, Takeshi; Hashimoto, Yusaku; Tanaka, Koichiro; Petrillo, Karen; Meli, Luciana; De Silva, Anuja; Xu, Yongan; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex

    2017-10-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.

  17. Analytical techniques for mechanistic characterization of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  18. Microchannel plate EUV detectors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Siegmund, O. H. W.; Malina, R. F.; Coburn, K.; Werthimer, D.

    1984-01-01

    The design and operating characteristics of the prototype imaging microchannel plate (MCP) detector for the Extreme Ultraviolet Explorer (EUVE) Satellite are discussed. It is shown that this detector has achieved high position resolution performance (greater than 512 x 512 pixels) and has low (less than one percent) image distortion. In addition, the channel plate scheme used has tight pulse height distributions (less than 40 percent FWHM) for UV radiation and displays low (less than 0.2 cnt/sq cm-s) dark background counting rates. Work that has been done on EUV filters in relation to the envisaged filter and photocathode complement is also described.

  19. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  20. Inhomogeneity of PAGs in resist film studied by molecular-dynamics simulations for EUV lithography

    NASA Astrophysics Data System (ADS)

    Toriumi, Minoru; Itani, Toshiro

    2014-03-01

    EUV resist materials are requested simultaneously to improve the resolution, line-edge roughness (LER), and sensitivity (RLS). In a resist film inhomogeneous structures in nanometer region may have large effects on directly the resolution and LER and indirectly on sensitivity. Inhomogeneity of PAGs in a hybrid resist for EUV lithography was investigated using molecular dynamics simulations. The hybrid resist film showed the inhomogeneous positions and motions of PAG cations and anions. Free volumes in resist matrix influence the motions of PAGs. Molecular structure such as bulky phenyl groups of a PAG cation localize the positions and reduce the motion of a cation. Chemical properties such as ionic interactions and lone-pair interaction also play an important role to determine the inhomogeneity of PAGs. Fluorine interaction enables active motions of PAG anions.

  1. Evolution analysis of EUV radiation from laser-produced tin plasmas based on a radiation hydrodynamics model

    PubMed Central

    Su, M. G.; Min, Q.; Cao, S. Q.; Sun, D. X.; Hayden, P.; O’Sullivan, G.; Dong, C. Z.

    2017-01-01

    One of fundamental aims of extreme ultraviolet (EUV) lithography is to maximize brightness or conversion efficiency of laser energy to radiation at specific wavelengths from laser produced plasmas (LPPs) of specific elements for matching to available multilayer optical systems. Tin LPPs have been chosen for operation at a wavelength of 13.5 nm. For an investigation of EUV radiation of laser-produced tin plasmas, it is crucial to study the related atomic processes and their evolution so as to reliably predict the optimum plasma and experimental conditions. Here, we present a simplified radiation hydrodynamic model based on the fluid dynamic equations and the radiative transfer equation to rapidly investigate the evolution of radiation properties and dynamics in laser-produced tin plasmas. The self-absorption features of EUV spectra measured at an angle of 45° to the direction of plasma expansion have been successfully simulated and explained, and the evolution of some parameters, such as the plasma temperature, ion distribution and density, expansion size and velocity, have also been evaluated. Our results should be useful for further understanding of current research on extreme ultraviolet and soft X-ray source development for applications such as lithography, metrology and biological imaging. PMID:28332621

  2. EUV tools: hydrogen gas purification and recovery strategies

    NASA Astrophysics Data System (ADS)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  3. Low-cost method for producing extreme ultraviolet lithography optics

    DOEpatents

    Folta, James A [Livermore, CA; Montcalm, Claude [Fort Collins, CO; Taylor, John S [Livermore, CA; Spiller, Eberhard A [Mt. Kisco, NY

    2003-11-21

    Spherical and non-spherical optical elements produced by standard optical figuring and polishing techniques are extremely expensive. Such surfaces can be cheaply produced by diamond turning; however, the roughness in the diamond turned surface prevent their use for EUV lithography. These ripples are smoothed with a coating of polyimide before applying a 60 period Mo/Si multilayer to reflect a wavelength of 134 .ANG. and have obtained peak reflectivities close to 63%. The savings in cost are about a factor of 100.

  4. Serendipitous EUV sources detected during the first year of the Extreme Ultraviolet Explorer right angle program

    NASA Technical Reports Server (NTRS)

    Mcdonald, K.; Craig, N.; Sirk, M. M.; Drake, J. J.; Fruscione, A.; Vallerga, J. V.; Malina, R. F.

    1994-01-01

    We report the detection of 114 extreme ultraviolet (EUV; 58 - 740 A) sources, of which 99 are new serendipitous sources, based on observations made with the imaging telescopes on board the Extreme Ultraviolet Explorer (EUVE) during the Right Angle Program (RAP). These data were obtained using the survey scanners and the Deep Survey instrument during the first year of the spectroscopic guest observer phase of the mission, from January 1993 to January 1994. The data set consists of 162 discrete pointings whose exposure times are typically two orders of magnitude longer than the average exposure times during the EUVE all-sky survey. Based on these results, we can expect that EUVE will serendipitously detect approximately 100 new EUV sources per year, or about one new EUV source per 10 sq deg, during the guest observer phase of the EUVE mission. New EUVE sources of note include one B star and three extragalactic objects. The B star (HR 2875, EUVE J0729 - 38.7) is detected in both the Lexan/B (approximately 100 A) and Al/Ti/C (approximately 200 A) bandpasses, and the detection is shown not to be a result of UV leaks. We suggest that we are detecting EUV and/or soft x rays from a companion to the B star. Three sources, EUVE J2132+10.1, EUVE J2343-14.9, and EUVE J2359-30.6 are identified as the active galactic nuclei MKN 1513, MS2340.9-1511, and 1H2354-315, respectively.

  5. Production of EUV mask blanks with low killer defects

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Kearney, Patrick; Godwin, Milton; He, Long; John Kadaksham, Arun; Goodwin, Frank; Weaver, Al; Hayes, Alan; Trigg, Steve

    2014-04-01

    For full commercialization, extreme ultraviolet lithography (EUVL) technology requires the availability of EUV mask blanks that are free of defects. This remains one of the main impediments to the implementation of EUV at the 22 nm node and beyond. Consensus is building that a few small defects can be mitigated during mask patterning, but defects over 100 nm (SiO2 equivalent) in size are considered potential "killer" defects or defects large enough that the mask blank would not be usable. The current defect performance of the ion beam sputter deposition (IBD) tool will be discussed and the progress achieved to date in the reduction of large size defects will be summarized, including a description of the main sources of defects and their composition.

  6. X-ray/EUV optics for astronomy, microscopy, polarimetry, and projection lithography; Proceedings of the Meeting, San Diego, CA, July 9-13, 1990

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B. (Editor); Walker, Arthur B. C., Jr. (Editor)

    1991-01-01

    Topics discussed in this issue include the fabrication of multilayer X-ray/EUV coatings; the design, characterization, and test of multilayer X-ray/EUV coatings; multilayer X-ray/EUV monochromators and imaging microscopes; X-ray/EUV telescopes; the test and calibration performance of X-ray/EUV instruments; XUV/soft X-ray projection lithography; X-ray/EUV space observatories and missions; X-ray/EUV telescopes for solar research; X-ray/EUV polarimetry; X-ray/EUV spectrographs; and X-ray/EUV filters and gratings. Papers are presented on the deposition-controlled uniformity of multilayer mirrors, interfaces in Mo/Si multilayers, the design and analysis of an aspherical multilayer imaging X-ray microscope, recent developments in the production of thin X-ray reflecting foils, and the ultraprecise scanning technology. Consideration is also given to an active sun telescope array, the fabrication and performance at 1.33 nm of a 0.24-micron-period multilayer grating, a cylindrical proportional counter for X-ray polarimetry, and the design and analysis of the reflection grating arrays for the X-Ray Multi-Mirror Mission.

  7. Material design of negative-tone polyphenol resist for EUV and EB lithography

    NASA Astrophysics Data System (ADS)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  8. Stability and imaging of the ASML EUV alpha demo tool

    NASA Astrophysics Data System (ADS)

    Hermans, Jan V.; Baudemprez, Bart; Lorusso, Gian; Hendrickx, Eric; van Dijk, Andre; Jonckheere, Rik; Goethals, Anne-Marie

    2009-03-01

    Extreme Ultra-Violet (EUV) lithography is the leading candidate for semiconductor manufacturing of the 22nm technology node and beyond, due to the very short wavelength of 13.5nm. However, reducing the wavelength adds complexity to the lithographic process. The impact of the EUV specific conditions on lithographic performance needs to be understood, before bringing EUV lithography into pre-production. To provide early learning on EUV, an EUV fullfield scanner, the Alpha Demo Tool (ADT) from ASML was installed at IMEC, using a Numerical Aperture (NA) of 0.25. In this paper we report on different aspects of the ADT: the imaging and overlay performance and both short and long-term stability. For 40nm dense Lines-Spaces (LS), the ADT shows an across field overlapping process window of 270nm Depth Of Focus (DOF) at 10% Exposure Latitude (EL) and a wafer CD Uniformity (CDU) of 3nm 3σ, without any corrections for process or reticle. The wafer CDU is correlated to different factors that are known to influence the CD fingerprint from traditional lithography: slit intensity uniformity, focus plane deviation and reticle CD error. Taking these contributions into account, the CD through slit fingerprint for 40nm LS is simulated with excellent agreement to experimental data. The ADT shows good CD stability over 9 months of operation, both intrafield and across wafer. The projection optics reflectivity has not degraded over 9 months. Measured overlay performance with respect to a dry tool shows |Mean|+3σ below 20nm with more correction potential by applying field-by-field corrections (|Mean|+3σ <=10nm). For 22nm SRAM application, both contact hole and metal layer were printed in EUV with 10% CD and 15nm overlay control. Below 40nm, the ADT shows good wafer CDU for 30nm dense and isolated lines (on the same wafer) and 38nm dense Contact Holes (CH). First 28nm dense line CDU data are achieved. The results indicate that the ADT can be used effectively for EUV process development

  9. State-of-the-art EUV materials and processes for the 7nm node and beyond

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Vockenhuber, Michaela; Mochi, Iacopo; Fallica, Roberto; Tasdemir, Zuhal; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the

  10. A Molecular- and Nano-Electronics Test (MONET) platform fabricated using extreme ultraviolet lithography.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dentinger, Paul M.; Cardinale, Gregory F.; Hunter, Luke L.

    2003-12-01

    We describe the fabrication and characterization of an electrode array test structure, designed for electrical probing of molecules and nanocrystals. We use Extreme Ultraviolet Lithography (EUVL) to define the electrical test platform features. As fabricated, the platform includes nominal electrode gaps of 0 nm, 40 nm, 60 nm, and 80 nm. Additional variation in electrode gap is achieved by controlling the exposure conditions, such as dose and focus. To enable EUVL based nanofabrication, we develop a novel bi-level photoresist process. The bi-level photoresist consists of a combination of a commercially available polydimethylglutarimide (PMGI) bottom layer and an experimental EUVL photoresistmore » top (imaging) layer. We measure the sensitivity of PMGI to EUV exposure dose as a function of photoresist pre-bake temperature, and using this data, optimize a metal lift-off process. Reliable fabrication of 700 Angstrom thick Au structures with sub-1000 Angstrom critical dimensions is achieved, even without the use of a Au adhesion layer, such as Ti. Several test platforms are used to characterize electrical properties of organic molecules deposited as self assembled monolayers.« less

  11. Shot noise limit of chemically amplified resists with photodecomposable quenchers used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2017-06-01

    In lithography using high-energy photons such as an extreme ultraviolet (EUV) radiation, the shot noise of photons is a critical issue. The shot noise is a cause of line edge/width roughness (LER/LWR) and stochastic defect generation and limits the resist performance. In this study, the effects of photodecomposable quenchers were investigated from the viewpoint of the shot noise limit. The latent images of line-and-space patterns with 11 nm half-pitch were calculated using a Monte Carlo method. In the simulation, the effect of secondary electron blur was eliminated to clarify the shot noise limits regarding stochastic phenomena such as LER. The shot noise limit for chemically amplified resists with acid generators and photodecomposable quenchers was approximately the same as that for chemically amplified resists with acid generators and conventional quenchers when the total sensitizer concentration was the same. The effect of photodecomposable quenchers on the shot noise limit was essentially the same as that of acid generators.

  12. Interferometric at-wavelength flare characterization of EUV optical systems

    DOEpatents

    Naulleau, Patrick P.; Goldberg, Kenneth Alan

    2001-01-01

    The extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) provides the high-accuracy wavefront characterization critical to the development of EUV lithography systems. Enhancing the implementation of the PS/PDI can significantly extend its spatial-frequency measurement bandwidth. The enhanced PS/PDI is capable of simultaneously characterizing both wavefront and flare. The enhanced technique employs a hybrid spatial/temporal-domain point diffraction interferometer (referred to as the dual-domain PS/PDI) that is capable of suppressing the scattered-reference-light noise that hinders the conventional PS/PDI. Using the dual-domain technique in combination with a flare-measurement-optimized mask and an iterative calculation process for removing flare contribution caused by higher order grating diffraction terms, the enhanced PS/PDI can be used to simultaneously measure both figure and flare in optical systems.

  13. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    NASA Astrophysics Data System (ADS)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  14. Protection efficiency of a standard compliant EUV reticle handling solution

    NASA Astrophysics Data System (ADS)

    He, Long; Lystad, John; Wurm, Stefan; Orvek, Kevin; Sohn, Jaewoong; Ma, Andy; Kearney, Patrick; Kolbow, Steve; Halbmaier, David

    2009-03-01

    For successful implementation of extreme ultraviolet lithography (EUVL) technology for late cycle insertion at 32 nm half-pitch (hp) and full introduction for 22 nm hp high volume production, the mask development infrastructure must be in place by 2010. The central element of the mask infrastructure is contamination-free reticle handling and protection. Today, the industry has already developed and balloted an EUV pod standard for shipping, transporting, transferring, and storing EUV masks. We have previously demonstrated that the EUV pod reticle handling method represents the best approach in meeting EUVL high volume production requirements, based on then state-of-the-art inspection capability at ~53nm polystyrene latex (PSL) equivalent sensitivity. In this paper, we will present our latest data to show defect-free reticle handling is achievable down to 40 nm particle sizes, using the same EUV pod carriers as in the previous study and the recently established world's most advanced defect inspection capability of ~40 nm SiO2 equivalent sensitivity. The EUV pod is a worthy solution to meet EUVL pilot line and pre-production exposure tool development requirements. We will also discuss the technical challenges facing the industry in refining the EUV pod solution to meet 22 nm hp EUVL production requirements and beyond.

  15. Plasma-assisted oxide removal from ruthenium-coated EUV optics

    NASA Astrophysics Data System (ADS)

    Dolgov, A.; Lee, C. J.; Bijkerk, F.; Abrikosov, A.; Krivtsun, V. M.; Lopaev, D.; Yakushev, O.; van Kampen, M.

    2018-04-01

    An experimental study of oxide reduction at the surface of ruthenium layers on top of multilayer mirrors and thin Ru/Si films is presented. Oxidation and reduction processes were observed under conditions close to those relevant for extreme ultraviolet lithography. The oxidized ruthenium surface was exposed to a low-temperature hydrogen plasma, similar to the plasma induced by extreme ultraviolet radiation. The experiments show that hydrogen ions are the main reducing agent. Furthermore, the addition of hydrogen radicals increases the reduction rate beyond that expected from simple flux calculations. We show that low-temperature hydrogen plasmas can be effective for reducing oxidized top surfaces. Our proof-of-concept experiments show that an in situ, EUV-generated plasma cleaning technology is feasible.

  16. Performance of 100-W HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  17. Ptychographic imaging with partially coherent plasma EUV sources

    NASA Astrophysics Data System (ADS)

    Bußmann, Jan; Odstrčil, Michal; Teramoto, Yusuke; Juschkin, Larissa

    2017-12-01

    We report on high-resolution lens-less imaging experiments based on ptychographic scanning coherent diffractive imaging (CDI) method employing compact plasma sources developed for extreme ultraviolet (EUV) lithography applications. Two kinds of discharge sources were used in our experiments: a hollow-cathode-triggered pinch plasma source operated with oxygen and for the first time a laser-assisted discharge EUV source with a liquid tin target. Ptychographic reconstructions of different samples were achieved by applying constraint relaxation to the algorithm. Our ptychography algorithms can handle low spatial coherence and broadband illumination as well as compensate for the residual background due to plasma radiation in the visible spectral range. Image resolution down to 100 nm is demonstrated even for sparse objects, and it is limited presently by the sample structure contrast and the available coherent photon flux. We could extract material properties by the reconstruction of the complex exit-wave field, gaining additional information compared to electron microscopy or CDI with longer-wavelength high harmonic laser sources. Our results show that compact plasma-based EUV light sources of only partial spatial and temporal coherence can be effectively used for lens-less imaging applications. The reported methods may be applied in combination with reflectometry and scatterometry for high-resolution EUV metrology.

  18. Imaging performance improvement of coherent extreme-ultraviolet scatterometry microscope with high-harmonic-generation extreme-ultraviolet source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-06-01

    In extreme-ultraviolet (EUV) lithography, the development of a review apparatus for the EUV mask pattern at an exposure wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern and a Mo/Si multilayer on a glass substrate. This mask pattern has a three-dimensional (3D) structure. The 3D structure would modulate the EUV reflection phase, which would cause focus and pattern shifts. Thus, the review of the EUV phase image is also important. We have developed a coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. The EUV phase and intensity images were reconstructed with diffraction images by ptychography. For a standalone mask review, the high-harmonic-generation (HHG) EUV source was employed. In this study, we updated the sample stage, pump-laser reduction system, and gas-pressure control system to reconstruct the image. As a result, an 88 nm line-and-space pattern and a cross-line pattern were reconstructed. In addition, a particle defect of 2 µm diameter was well reconstructed. This demonstrated the high capability of the standalone CSM, which can hence be used in factories, such as mask shops and semiconductor fabrication plants.

  19. Condenser for ring-field deep ultraviolet and extreme ultraviolet lithography

    DOEpatents

    Chapman, Henry N.; Nugent, Keith A.

    2002-01-01

    A condenser for use with a ring-field deep ultraviolet or extreme ultraviolet lithography system. A condenser includes a ripple-plate mirror which is illuminated by a collimated or converging beam at grazing incidence. The ripple plate comprises a flat or curved plate mirror into which is formed a series of channels along an axis of the mirror to produce a series of concave surfaces in an undulating pattern. Light incident along the channels of the mirror is reflected onto a series of cones. The distribution of slopes on the ripple plate leads to a distribution of angles of reflection of the incident beam. This distribution has the form of an arc, with the extremes of the arc given by the greatest slope in the ripple plate. An imaging mirror focuses this distribution to a ring-field arc at the mask plane.

  20. Condenser for ring-field deep-ultraviolet and extreme-ultraviolet lithography

    DOEpatents

    Chapman, Henry N.; Nugent, Keith A.

    2001-01-01

    A condenser for use with a ring-field deep ultraviolet or extreme ultraviolet lithography system. A condenser includes a ripple-plate mirror which is illuminated by a collimated beam at grazing incidence. The ripple plate comprises a plate mirror into which is formed a series of channels along an axis of the mirror to produce a series of concave surfaces in an undulating pattern. Light incident along the channels of the mirror is reflected onto a series of cones. The distribution of slopes on the ripple plate leads to a distribution of angles of reflection of the incident beam. This distribution has the form of an arc, with the extremes of the arc given by the greatest slope in the ripple plate. An imaging mirror focuses this distribution to a ring-field arc at the mask plane.

  1. MoRu/Be multilayers for extreme ultraviolet applications

    DOEpatents

    Bajt, Sasa C.; Wall, Mark A.

    2001-01-01

    High reflectance, low intrinsic roughness and low stress multilayer systems for extreme ultraviolet (EUV) lithography comprise amorphous layers MoRu and crystalline Be layers. Reflectance greater than 70% has been demonstrated for MoRu/Be multilayers with 50 bilayer pairs. Optical throughput of MoRu/Be multilayers can be 30-40% higher than that of Mo/Be multilayer coatings. The throughput can be improved using a diffusion barrier to make sharper interfaces. A capping layer on the top surface of the multilayer improves the long-term reflectance and EUV radiation stability of the multilayer by forming a very thin native oxide that is water resistant.

  2. Protection of extreme ultraviolet lithography masks. II. Showerhead flow mitigation of nanoscale particulate contamination [Protection of EUV lithography masks II: Showerhead flow mitigation of nanoscale particulate contamination

    DOE PAGES

    Klebanoff, Leonard E.; Torczynski, John R.; Geller, Anthony S.; ...

    2015-03-27

    An analysis is presented of a method to protect the reticle (mask) in an extreme ultraviolet (EUV) mask inspection tool using a showerhead plenum to provide a continuous flow of clean gas over the surface of a reticle. The reticle is suspended in an inverted fashion (face down) within a stage/holder that moves back and forth over the showerhead plenum as the reticle is inspected. It is essential that no particles of 10-nm diameter or larger be deposited on the reticle during inspection. Particles can originate from multiple sources in the system, and mask protection from each source is explicitlymore » analyzed. The showerhead plate has an internal plenum with a solid conical wall isolating the aperture. The upper and lower surfaces of the plate are thin flat sheets of porous-metal material. These porous sheets form the top and bottom showerheads that supply the region between the showerhead plate and the reticle and the region between the conical aperture and the Optics Zone box with continuous flows of clean gas. The model studies show that the top showerhead provides robust reticle protection from particles of 10-nm diameter or larger originating from the Reticle Zone and from plenum surfaces contaminated by exposure to the Reticle Zone. Protection is achieved with negligible effect on EUV transmission. Furthermore, the bottom showerhead efficiently protects the reticle from nanoscale particles originating from the Optics Zone.« less

  3. Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography

    DOEpatents

    Montcalm, Claude; Stearns, Daniel G.; Vernon, Stephen P.

    1999-01-01

    A passivating overcoat bilayer is used for multilayer reflective coatings for extreme ultraviolet (EUV) or soft x-ray applications to prevent oxidation and corrosion of the multilayer coating, thereby improving the EUV optical performance. The overcoat bilayer comprises a layer of silicon or beryllium underneath at least one top layer of an elemental or a compound material that resists oxidation and corrosion. Materials for the top layer include carbon, palladium, carbides, borides, nitrides, and oxides. The thicknesses of the two layers that make up the overcoat bilayer are optimized to produce the highest reflectance at the wavelength range of operation. Protective overcoat systems comprising three or more layers are also possible.

  4. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  5. Availability of underlayer application to EUV process

    NASA Astrophysics Data System (ADS)

    Kosugi, Hitoshi; Fonseca, Carlos; Iwao, Fumiko; Marumoto, Hiroshi; Kim, Hyun-Woo; Cho, Kyoungyong; Park, Cheol-Hong; Park, Chang-Min; Na, Hai-Sub; Koh, Cha-Won; Cho, Hanku

    2011-04-01

    EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.

  6. Exploring EUV and SAQP pattering schemes at 5nm technology node

    NASA Astrophysics Data System (ADS)

    Hamed Fatehy, Ahmed; Kotb, Rehab; Lafferty, Neal; Jiang, Fan; Word, James

    2018-03-01

    For years, Moore's law keeps driving the semiconductors industry towards smaller dimensions and higher density chips with more devices. Earlier, the correlation between exposure source's wave length and the smallest resolvable dimension, mandated the usage of Deep Ultra-Violent (DUV) optical lithography system which has been used for decades to sustain Moore's law, especially when immersion lithography was introduced with 193nm ArF laser sources. As dimensions of devices get smaller beyond Deep Ultra-Violent (DUV) optical resolution limits, the need for Extremely Ultra-Violent (EUV) optical lithography systems was a must. However, EUV systems were still under development at that time for the mass-production in semiconductors industry. Theretofore, Multi-Patterning (MP) technologies was introduced to swirl about DUV optical lithography limitations in advanced nodes beyond minimum dimension (CD) of 20nm. MP can be classified into two main categories; the first one is to split the target itself across multiple masks that give the original target patterns when they are printed. This category includes Double, Triple and Quadruple patterning (DP, TP, and QP). The second category is the Self-Aligned Patterning (SAP) where the target is divided into Mandrel patterns and non-Mandrel patterns. The Mandrel patterns get printed first, then a self-aligned sidewalls are grown around these printed patterns drawing the other non-Mandrel targets, afterword, a cut mask(s) is used to define target's line-ends. This approach contains Self-Aligned-Double Pattering (SADP) and Self-Aligned- Quadruple-Pattering (SAQP). DUV and MP along together paved the way for the industry down to 7nm. However, with the start of development at the 5nm node and the readiness of EUV, the differentiation question is aroused again, which pattering approach should be selected, direct printing using EUV or DUV with MP, or a hybrid flow that contains both DUV-MP and EUV. In this work we are comparing two

  7. Universal EUV in-band intensity detector

    DOEpatents

    Berger, Kurt W.

    2004-08-24

    Extreme ultraviolet light is detected using a universal in-band detector for detecting extreme ultraviolet radiation that includes: (a) an EUV sensitive photodiode having a diode active area that generates a current responsive to EUV radiation; (b) one or more mirrors that reflects EUV radiation having a defined wavelength(s) to the diode active area; and (c) a mask defining a pinhole that is positioned above the diode active area, wherein EUV radiation passing through the pinhole is restricted substantially to illuminating the diode active area.

  8. Prospective EUV observations of hot DA white dwarfs with the EUV Explorer

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Malina, Roger F.; Bowyer, Stuart

    1987-01-01

    The Extreme Ultraviolet Explorer (EUVE) will perform a high sensitivity EUV all-sky survey. A major category of sources which will be detected with the EUVE instruments consists of hot white dwarfs. Detailed preliminary studies of synthetic EUV observations of white dwarfs have been carried out using the predicted EUVE instrumental response functions. Using available information regarding space densities of white dwarfs and the distribution of neutral hydrogen in the interstellar medium, the numbers of DA white dwarfs which will be detectable in the different EUV bandpasses have been estimated.

  9. Design requirements for a stand alone EUV interferometer

    NASA Astrophysics Data System (ADS)

    Michallon, Ph.; Constancias, C.; Lagrange, A.; Dalzotto, B.

    2008-03-01

    EUV lithography is expected to be inserted for the 32/22 nm nodes with possible extension below. EUV resist availability remains one of the main issues to be resolved. There is an urgent need to provide suitable tools to accelerate resist development and to achieve resolution, LER and sensitivity specifications simultaneously. An interferometer lithography tool offers advantages regarding conventional EUV exposure tool. It allows the evaluation of resists, free from the deficiencies of optics and mask which are limiting the achieved resolution. Traditionally, a dedicated beam line from a synchrotron, with limited access, is used as a light source in EUV interference lithography. This paper identifies the technology locks to develop a stand alone EUV interferometer using a compact EUV source. It will describe the theoretical solutions adopted and especially look at the feasibility according to available technologies. EUV sources available on the market have been evaluated in terms of power level, source size, spatial coherency, dose uniformity, accuracy, stability and reproducibility. According to the EUV source characteristics, several optic designs were studied (simple or double gratings). For each of these solutions, the source and collimation optic specifications have been determined. To reduce the exposure time, a new grating technology will also be presented allowing to significantly increasing the transmission system efficiency. The optical grating designs were studied to allow multi-pitch resolution print on the same exposure without any focus adjustment. Finally micro mechanical system supporting the gratings was studied integrating the issues due to vacuum environment, alignment capability, motion precision, automation and metrology to ensure the needed placement control between gratings and wafer. A similar study was carried out for the collimation-optics mechanical support which depends on the source characteristics.

  10. Update on EUV radiometry at PTB

    NASA Astrophysics Data System (ADS)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  11. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  12. Modeling of thermomechanical changes of extreme-ultraviolet mask and their dependence on absorber variation

    NASA Astrophysics Data System (ADS)

    Ban, Chung-Hyun; Park, Eun-Sang; Park, Jae-Hun; Oh, Hye-Keun

    2018-06-01

    Thermal and structural deformation of extreme-ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness requirements. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the masks rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. The use of very thick low-thermal-expansion substrate materials (LTEMs) may reduce energy absorption, but they do not completely eliminate mask deformation. Therefore, it is necessary to predict and optimize the effects of energy transferred from the extreme-ultraviolet (EUV) light source and the resultant patterns of structured EUV masks with complex multilayers. Our study shows that heat accumulates in the masks as exposure progresses. It has been found that a higher absorber ratio (pattern density) applied to the patterning of EUV masks exacerbates the problem, especially in masks with more complex patterns.

  13. EUV lithography: NXE platform performance overview

    NASA Astrophysics Data System (ADS)

    Peeters, Rudy; Lok, Sjoerd; Mallman, Joerg; van Noordenburg, Martijn; Harned, Noreen; Kuerz, Peter; Lowisch, Martin; van Setten, Eelco; Schiffelers, Guido; Pirati, Alberto; Stoeldraijer, Judon; Brandt, David; Farrar, Nigel; Fomenkov, Igor; Boom, Herman; Meiling, Hans; Kool, Ron

    2014-04-01

    The first NXE3300B systems have been qualified and shipped to customers. The NXE:3300B is ASML's third generation EUV system and has an NA of 0.33. It succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. Good overlay and imaging performance has been shown on the NXE:3300B system in line with 22nm device requirements. Full wafer CDU performance of <1.5nm for 22nm dense and iso lines at a dose of ~16mJ/cm2 has been achieved. Matched machine overlay (NXE to immersion) of around 3.5nm has been demonstrated on multiple systems. Dense lines have been exposed down to 13nm half pitch, and contact holes down to 17nm half pitch. 10nm node Metal-1 layers have been exposed with a DOF of 120nm, and using single spacer assisted double patterning flow a resolution of 9nm has been achieved. Source power is the major challenge to overcome in order to achieve cost-effectiveness in EUV and enable introduction into High Volume Manufacturing. With the development of the MOPA+prepulse operation of the source, steps in power have been made, and with automated control the sources have been prepared to be used in a preproduction fab environment. Flexible pupil formation is under development for the NXE:3300B which will extend the usage of the system in HVM, and the resolution for the full system performance can be extended to 16nm. Further improvements in defectivity performance have been made, while in parallel full-scale pellicles are being developed. In this paper we will discuss the current NXE:3300B performance, its future enhancements and the recent progress in EUV source performance.

  14. Driving down defect density in composite EUV patterning film stacks

    NASA Astrophysics Data System (ADS)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  15. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  16. Extreme ultraviolet patterning of tin-oxo cages

    NASA Astrophysics Data System (ADS)

    Haitjema, Jarich; Zhang, Yu; Vockenhuber, Michaela; Kazazis, Dimitrios; Ekinci, Yasin; Brouwer, Albert M.

    2017-07-01

    We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These cage molecules were already known to function as a negative tone photoresist for EUV radiation, but in this work, we significantly optimized their performance. Our results show that sensitivity and resolution are only meaningful photoresist parameters if the process conditions are optimized. We focus on contrast curves of the materials using large area EUV exposures and patterning of the cages using EUV interference lithography. It is shown that baking steps, such as postexposure baking, can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. A layer thickness increase reduced the necessary dose to induce a solubility change but decreased the patterning quality. The patterning experiments were affected by minor changes in processing conditions such as an increased rinsing time. In addition, we show that the anions of the cage can influence the sensitivity and quality of the patterning, probably through their effect on physical properties of the materials.

  17. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  18. Theoretical modeling of PEB procedure on EUV resist using FDM formulation

    NASA Astrophysics Data System (ADS)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2018-03-01

    Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick's second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.

  19. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    NASA Astrophysics Data System (ADS)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  20. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    NASA Astrophysics Data System (ADS)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  1. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    PubMed

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  2. Phase measurements of EUV mask defects

    DOE PAGES

    Claus, Rene A.; Wang, Yow-Gwo; Wojdyla, Antoine; ...

    2015-02-22

    Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than themore » conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.« less

  3. Soft x-ray microscopy and extreme ultraviolet lithography: Imaging in the 20-50 nm regime (abstract) (invited)

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2002-03-01

    Advances in short wavelength optics, covering the range from 1 to 14 nm, are providing new results and new opportunities. Zone plate lenses [E. Anderson et al., J. Vac. Sci. Techno. B 18, 2970 (2000)] for soft x-ray microscopy [G. Denbeaux, Rev. Sci. Instrum. (these proceedings); W. Chao, Proc. SPIE 4146, 171 (2000)] are now made to high accuracy with outer zone widths of 25 nm, and demonstrated resolution of 23 nm with proper illumination and stability. These permit important advances in the study of protein specific transport and structure in the life sciences [C. Larabell (private communication); W. Meyer-Ilse et al., J. Microsc. 201, 395 (2001)] and the study of magnetic materials [P. Fischer et al., J. Synchrotron. Radiat. 8, 325 (2001)] with elemental sensitivity at the resolution of individual domains. Major corporations (members of the EUV Limited Liability Company are Intel, Motorola, AMD, Micron, Infineon, and IBM) are now preparing the path for the fabrication of future computer chips, in the years 2007 and beyond, using multilayer coated reflective optics, which achieve reflectivities of 70% in the 11-14 nm region [T. Barbee et al., Appl. Opt. 24, 883 (1985); C. Montcalm et al., Proc. SPIE 3676, 710 (1999)]. These coated optics are to be incorporated in extreme ultraviolet (EUV) print cameras, known as "steppers." Electronic patterns with features in the range of 50-70 nm have been printed. The first alpha tool stepper recently demonstrated all critical technologies [D. Tichenor et al., Proc. SPIE 4343, 19 (2001)] needed for EUV lithography. Preproduction beta tools are targeted for delivery by leading suppliers [ASML, the Netherlands, at the SPIE Microlithography Conference, Santa Clara, CA, March 2001] in 2004, with high volume production tools available in late 2006 for manufacturing in 2007. New results in these two areas will be discussed in the context of the synergy of science and technology.

  4. Stochastic effects in EUV lithography: random, local CD variability, and printing failures

    NASA Astrophysics Data System (ADS)

    De Bisschop, Peter

    2017-10-01

    Stochastic effects in lithography are usually quantified through local CD variability metrics, such as line-width roughness or local CD uniformity (LCDU), and these quantities have been measured and studied intensively, both in EUV and optical lithography. Next to the CD-variability, stochastic effects can also give rise to local, random printing failures, such as missing contacts or microbridges in spaces. When these occur, there often is no (reliable) CD to be measured locally, and then such failures cannot be quantified with the usual CD-measuring techniques. We have developed algorithms to detect such stochastic printing failures in regular line/space (L/S) or contact- or dot-arrays from SEM images, leading to a stochastic failure metric that we call NOK (not OK), which we consider a complementary metric to the CD-variability metrics. This paper will show how both types of metrics can be used to experimentally quantify dependencies of stochastic effects to, e.g., CD, pitch, resist, exposure dose, etc. As it is also important to be able to predict upfront (in the OPC verification stage of a production-mask tape-out) whether certain structures in the layout are likely to have a high sensitivity to stochastic effects, we look into the feasibility of constructing simple predictors, for both stochastic CD-variability and printing failure, that can be calibrated for the process and exposure conditions used and integrated into the standard OPC verification flow. Finally, we briefly discuss the options to reduce stochastic variability and failure, considering the entire patterning ecosystem.

  5. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  6. Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure

    NASA Astrophysics Data System (ADS)

    Nagahara, Seiji; Carcasi, Michael; Shiraishi, Gosuke; Nakagawa, Hisashi; Dei, Satoshi; Shiozawa, Takahiro; Nafus, Kathleen; De Simone, Danilo; Vandenberghe, Geert; Stock, Hans-Jürgen; Küchler, Bernd; Hori, Masafumi; Naruoka, Takehiko; Nagai, Tomoki; Minekawa, Yukie; Iseki, Tomohiro; Kondo, Yoshihiro; Yoshihara, Kosuke; Kamei, Yuya; Tomono, Masaru; Shimada, Ryo; Biesemans, Serge; Nakashima, Hideo; Foubert, Philippe; Buitrago, Elizabeth; Vockenhuber, Michaela; Ekinci, Yasin; Oshima, Akihiro; Tagawa, Seiichi

    2017-03-01

    A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: "PSCAR 2.0," is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a "photo acid generator which can be photosensitized" (PS-PAG), a "photo decomposable base (quencher) which can be photosensitized" (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML's NXE:3300 with TEL's standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.

  7. Quality control of EUVE databases

    NASA Technical Reports Server (NTRS)

    John, L. M.; Drake, J.

    1992-01-01

    The publicly accessible databases for the Extreme Ultraviolet Explorer include: the EUVE Archive mailserver; the CEA ftp site; the EUVE Guest Observer Mailserver; and the Astronomical Data System node. The EUVE Performance Assurance team is responsible for verifying that these public EUVE databases are working properly, and that the public availability of EUVE data contained therein does not infringe any data rights which may have been assigned. In this poster, we describe the Quality Assurance (QA) procedures we have developed from the approach of QA as a service organization, thus reflecting the overall EUVE philosophy of Quality Assurance integrated into normal operating procedures, rather than imposed as an external, post facto, control mechanism.

  8. Aryl sulfonates as neutral photoacid generators (PAGs) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sulc, Robert; Blackwell, James M.; Younkin, Todd R.; Putna, E. Steve; Esswein, Katherine; DiPasquale, Antonio G.; Callahan, Ryan; Tsubaki, Hideaki; Tsuchihashi, Tooru

    2009-03-01

    EUV lithography (EUVL) is a leading candidate for printing sub-32 nm hp patterns. In order for EUVL to be commercially viable at these dimensions, a continuous evolution of the photoresist material set is required to simultaneously meet the aggressive specifications for resolution, resist sensitivity, LWR, and outgassing rate. Alternative PAG designs, especially if tailored for EUVL, may aid in the formation of a material set that helps achieve these aggressive targets. We describe the preparation, characterization, and lithographic evaluation of aryl sulfonates as non-ionic or neutral photoacid generators (PAGs) for EUVL. Full lithographic characterization is reported for our first generation resist formulation using compound H, MAP-1H-2.5. It is benchmarked against MAP-1P-5.0, which contains the well-known sulfonium PAG, triphenylsulfonium triflate (compound P). Z-factor analysis indicates nZ32 = 81.4 and 16.8 respectively, indicating that our first generation aryl sulfonate formulations require about 4.8x improvement to match the results achieved with a model onium PAG. Improving the acid generation efficiency and use of the generated byproducts is key to the continued optimization of this class of PAGs. To that end, we believe EI-MS fragmentation patterns and molecular simulations can be used to understand and optimize the nature and efficiency of electron-induced PAG fragmentation.

  9. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  10. Extreme Ultraviolet Fractional Orbital Angular Momentum Beams from High Harmonic Generation

    PubMed Central

    Turpin, Alex; Rego, Laura; Picón, Antonio; San Román, Julio; Hernández-García, Carlos

    2017-01-01

    We investigate theoretically the generation of extreme-ultraviolet (EUV) beams carrying fractional orbital angular momentum. To this end, we drive high-order harmonic generation with infrared conical refraction (CR) beams. We show that the high-order harmonic beams emitted in the EUV/soft x-ray regime preserve the characteristic signatures of the driving beam, namely ringlike transverse intensity profile and CR-like polarization distribution. As a result, through orbital and spin angular momentum conservation, harmonic beams are emitted with fractional orbital angular momentum, and they can be synthesized into structured attosecond helical beams –or “structured attosecond light springs”– with rotating linear polarization along the azimuth. Our proposal overcomes the state of the art limitations for the generation of light beams far from the visible domain carrying non-integer orbital angular momentum and could be applied in fields such as diffraction imaging, EUV lithography, particle trapping, and super-resolution imaging. PMID:28281655

  11. Alignment of a multilayer-coated imaging system using extreme ultraviolet Foucault and Ronchi interferometric testing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ray-Chaudhuri, A.K.; Ng, W.; Cerrina, F.

    1995-11-01

    Multilayer-coated imaging systems for extreme ultraviolet (EUV) lithography at 13 nm represent a significant challenge for alignment and characterization. The standard practice of utilizing visible light interferometry fundamentally provides an incomplete picture since this technique fails to account for phase effects induced by the multilayer coating. Thus the development of optical techniques at the functional EUV wavelength is required. We present the development of two EUV optical tests based on Foucault and Ronchi techniques. These relatively simple techniques are extremely sensitive due to the factor of 50 reduction in wavelength. Both techniques were utilized to align a Mo--Si multilayer-coated Schwarzschildmore » camera. By varying the illumination wavelength, phase shift effects due to the interplay of multilayer coating and incident angle were uniquely detected. {copyright} {ital 1995} {ital American} {ital Vacuum} {ital Society}« less

  12. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE PAGES

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun; ...

    2016-07-12

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  13. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  14. EUV process improvement with novel litho track hardware

    NASA Astrophysics Data System (ADS)

    Stokes, Harold; Harumoto, Masahiko; Tanaka, Yuji; Kaneyama, Koji; Pieczulewski, Charles; Asai, Masaya

    2017-03-01

    Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased HVM feasibility. Targeted improvements in hardware design for advanced lithography are of interest to our group specifically for metrics such as CD uniformity, LWR, and defect density. Of course, our work is focused on EUV process steps that are specifically affected by litho track performance, and consequently, can be improved by litho track design improvement and optimization. In this study we are building on our experience to provide continual improvement for LWR, CDU, and Defects as applied to a standard EUV process by employing novel hardware solutions on our SOKUDO DUO coat develop track system. Although it is preferable to achieve such improvements post-etch process we feel, as many do, that improvements after patterning are a precursor to improvements after etching. We hereby present our work utilizing the SOKUDO DUO coat develop track system with an ASML NXE:3300 in the IMEC (Leuven, Belgium) cleanroom environment to improve aggressive dense L/S patterns.

  15. EUVE observations of the Moon

    NASA Technical Reports Server (NTRS)

    Gladstone, G. R.; Mcdonald, J. S.; Boyd, W. T.

    1993-01-01

    During its all-sky survey, the Extreme Ultraviolet Explorer (EUVE) satellite observed the Moon several times at first and last quarters, and once near the Dec. 10, 1992 lunar eclipse. We present a preliminary reduction and analysis of this data, in the form of EUV images of the Moon and derived albedos.

  16. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  17. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  18. Honing the accuracy of extreme-ultraviolet optical system testing: at-wavelength and visible-light measurements of the ETS Set-2 projection optic

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Bokor, Jeffrey; Chapman, Henry N.

    2002-07-01

    As the quality of optical systems for extreme ultraviolet lithography improves, high-accuracy wavefront metrology for alignment and qualification becomes ever more important. To enable the development of diffraction-limited EUV projection optics, visible-light and EUV interferometries must work in close collaboration. We present a detailed comparison of EUV and visible-light wavefront measurements performed across the field of view of a lithographic-quality EUV projection optical system designed for use in the Engineering Test Stand developed by the Virtual National Laboratory and the EUV Limited Liability Company. The comparisons reveal that the present level of RMS agreement lies in the 0.3-0.4-nm range. Astigmatism is the most significant aberration component for the alignment of this optical system; it is also the dominant term in the discrepancy, and the aberration with the highest measurement uncertainty. With EUV optical systems requiring total wavefront quality in the (lambda) EUV/50 range, and even higher surface-figure quality for the individual mirror elements, improved accuracy through future comparisons, and additional studies, are required.

  19. Exploring EUV Spicules Using 304 Angstrom He II Data from SDO AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian R.; Sterling, Alphonse C.; Falconer, David A.; Moore, Ron L.

    2014-01-01

    We present results from a statistical study of He II 304 Angstrom Extreme Ultraviolet (EUV) spicules at the limb of the Sun. We also measured properties of one macrospicule; macrospicules are longer than most spicules, and much broader in width than spicules. We use high-cadence (12 second) and high-resolution (0.6 arcseconds pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred near the solar north pole, where quiet Sun or coronal hole environments ensued. We examined the maximum lengths, maximum rise velocities, and lifetimes of 33 Extreme Ultraviolet (EUV) spicules and the macrospicule. For the bulk of the Extreme Ultraviolet (EUV) spicules these quantities are, respectively, approximately 10,000-40,000 kilometers, 20-100 kilometers per second, and approximately 100- approximately 1000 seconds. For the macrospicule the corresponding quantities were respectively approximately 60,000 kilometers, approximately 130 kilometers per second, approximately 1800 seconds, which is typical of macrospicules measured by other workers. Therefore macrospicules are taller, longer-lived, and faster than most Extreme Ultraviolet (EUV) spicules. The rise profiles of both the spicules and the macrospicules match well a second-order ("parabolic" ) trajectory, although the acceleration was often weaker than that of solar gravity in the profiles fitted to the trajectories. Our macrospicule also had an obvious brightening at its base at birth, while such brightening was not apparent for the Extreme Ultraviolet (EUV) spicules. Most of the Extreme Ultraviolet (EUV) spicules remained visible during their descent back to the solar surface, although a small percentage of the spicules and the macrospicule faded out before falling back to the surface. Our sample of macrospicules is not yet large enough to determine whether their initiation mechanism is identical to that of Extreme Ultraviolet (EUV

  20. Progress on EUV mask fabrication for 32-nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Zhang, Guojing; Yan, Pei-Yang; Liang, Ted; Park, Seh-jin; Sanchez, Peter; Shu, Emily Y.; Ultanir, Erdem A.; Henrichs, Sven; Stivers, Alan; Vandentop, Gilroy; Lieberman, Barry; Qu, Ping

    2007-05-01

    Extreme ultraviolet lithography (EUVL) tool development achieved a big milestone last year as two full-field Alpha Demo Tools (ADT) were shipped to customers by ASML. In the future horizon, a full field "EUV1" exposure tool from Nikon will be available by the end of 20071 and the pre-production EUV exposure tools from ASML are targeted for 20092. It is essential that high quality EUVL masks can be made and delivered to the EUVL tool users to support the technology development. In the past year, we have demonstrated mask fabrication with low stress absorber deposition and good etch process control yielding a vertical etch profile and a mask CD control of 5.7 nm for 32 nm (1x) space and 7.4 nm for 32 nm (1x) lines. Mask pattern resolution of 15 nm (1x) dense lines was achieved. Full field reflective mask die-to-die inspection at a 125nm pixel size was demonstrated after low defect multilayer blanks became available. In this paper, we will present details of the Intel EUVL Mask Pilot Line progress in EUVL mask defect reduction, pattern CD performance, program defect mask design and inspection, in-house absorber film development and its performance, and EUVL metrology tool development. We will demonstrate an overall improvement in EUV mask manufacturing readiness due to our Pilot Line activities.

  1. SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Balachandran, Dave; He, Long; Kearney, Patrick; Karumuri, Anil; Goodwin, Frank; Cummings, Kevin

    2015-03-01

    Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.

  2. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    NASA Astrophysics Data System (ADS)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  3. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    NASA Astrophysics Data System (ADS)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  4. The Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Bowyer, S.; Lampton, M.; Finley, D.; Paresce, F.; Penegor, G.; Heetderks, H.

    1982-01-01

    The Extreme Ultraviolet Explorer Mission is described. The purpose of this mission is to search the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation (100 to 1000 A). The search will be accomplished with the use of three EUV telescopes, each sensitive to different bands within the EUV band. A fourth telescope will perform a higher sensitivity search of a limited sample of the sky in a single EUV band. In six months, the entire sky will be scanned at a sensitivity level comparable to existing surveys in other more traditional astronomical bandpasses.

  5. Compact multi-bounce projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2002-01-01

    An optical system compatible with short wavelength (extreme ultraviolet) radiation comprising four optical elements providing five reflective surfaces for projecting a mask image onto a substrate. The five optical surfaces are characterized in order from object to image as concave, convex, concave, convex and concave mirrors. The second and fourth reflective surfaces are part of the same optical element. The optical system is particularly suited for ring field step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width, which effectively minimizes dynamic distortion.

  6. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  7. Extreme Ultraviolet Explorer Bright Source List

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Marshall, Herman L.; Antia, Behram; Christian, Carol A.; Dobson, Carl A.; Finley, David S.; Fruscione, Antonella; Girouard, Forrest R.; Hawkins, Isabel; Jelinsky, Patrick

    1994-01-01

    Initial results from the analysis of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (58-740 A) and deep survey (67-364 A) are presented through the EUVE Bright Source List (BSL). The BSL contains 356 confirmed extreme ultraviolet (EUV) point sources with supporting information, including positions, observed EUV count rates, and the identification of possible optical counterparts. One-hundred twenty-six sources have been detected longward of 200 A.

  8. High-efficiency spectral purity filter for EUV lithography

    DOEpatents

    Chapman, Henry N [Livermore, CA

    2006-05-23

    An asymmetric-cut multilayer diffracts EUV light. A multilayer cut at an angle has the same properties as a blazed grating, and has been demonstrated to have near-perfect performance. Instead of having to nano-fabricate a grating structure with imperfections no greater than several tens of nanometers, a thick multilayer is grown on a substrate and then cut at an inclined angle using coarse and inexpensive methods. Effective grating periods can be produced this way that are 10 to 100 times smaller than those produced today, and the diffraction efficiency of these asymmetric multilayers is higher than conventional gratings. Besides their ease of manufacture, the use of an asymmetric multilayer as a spectral purity filter does not require that the design of an EUV optical system be modified in any way, unlike the proposed use of blazed gratings for such systems.

  9. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, S.J.; Seppala, L.G.

    1998-04-07

    A critical illumination condenser system is disclosed, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 {micro}m source and requires a magnification of 26. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth. 6 figs.

  10. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, Simon J.; Seppala, Lynn G.

    1998-01-01

    A critical illumination condenser system, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 .mu.m source and requires a magnification of 26.times.. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth.

  11. Optical proximity correction for anamorphic extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Clifford, Chris; Lam, Michael; Raghunathan, Ananthan; Jiang, Fan; Fenger, Germain; Adam, Kostas

    2017-10-01

    The change from isomorphic to anamorphic optics in high numerical aperture (NA) extreme ultraviolet (EUV) scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated, and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking (MRC). OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs which are more tolerant to mask errors.

  12. The EUVE Proposal Database

    NASA Astrophysics Data System (ADS)

    Christian, C. A.; Olson, E. C.

    1993-01-01

    The proposal database and scheduling system for the Extreme Ultraviolet Explorer is described. The proposal database has been implemented to take input for approved observations selected by the EUVE Peer Review Panel and output target information suitable for the scheduling system to digest. The scheduling system is a hybrid of the SPIKE program and EUVE software which checks spacecraft constraints, produces a proposed schedule and selects spacecraft orientations with optimal configurations for acquiring star trackers, etc. This system is used to schedule the In Orbit Calibration activities that took place this summer, following the EUVE launch in early June 1992. The strategy we have implemented has implications for the selection of approved targets, which have impacted the Peer Review process. In addition, we will discuss how the proposal database, founded on Sybase, controls the processing of EUVE Guest Observer data.

  13. Line-edge roughness performance targets for EUV lithography

    NASA Astrophysics Data System (ADS)

    Brunner, Timothy A.; Chen, Xuemei; Gabor, Allen; Higgins, Craig; Sun, Lei; Mack, Chris A.

    2017-03-01

    Our paper will use stochastic simulations to explore how EUV pattern roughness can cause device failure through rare events, so-called "black swans". We examine the impact of stochastic noise on the yield of simple wiring patterns with 36nm pitch, corresponding to 7nm node logic, using a local Critical Dimension (CD)-based fail criteria Contact hole failures are examined in a similar way. For our nominal EUV process, local CD uniformity variation and local Pattern Placement Error variation was observed, but no pattern failures were seen in the modest (few thousand) number of features simulated. We degraded the image quality by incorporating Moving Standard Deviation (MSD) blurring to degrade the Image Log-Slope (ILS), and were able to find conditions where pattern failures were observed. We determined the Line Width Roughness (LWR) value as a function of the ILS. By use of an artificial "step function" image degraded by various MSD blur, we were able to extend the LWR vs ILS curve into regimes that might be available for future EUV imagery. As we decreased the image quality, we observed LWR grow and also began to see pattern failures. For high image quality, we saw CD distributions that were symmetrical and close to Gaussian in shape. Lower image quality caused CD distributions that were asymmetric, with "fat tails" on the low CD side (under-exposed) which were associated with pattern failures. Similar non-Gaussian CD distributions were associated with image conditions that caused missing contact holes, i.e. CD=0.

  14. Model based high NA anamorphic EUV RET

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Wiaux, Vincent; Fenger, Germain; Clifford, Chris; Liubich, Vlad; Hendrickx, Eric

    2018-03-01

    With the announcement of the extension of the Extreme Ultraviolet (EUV) roadmap to a high NA lithography tool that utilizes anamorphic optics design, an investigation of design tradeoffs unique to the imaging of anamorphic lithography tool is shown. An anamorphic optical proximity correction (OPC) solution has been developed that models fully the EUV near field electromagnetic effects and the anamorphic imaging using the Domain Decomposition Method (DDM). Clips of imec representative for the N3 logic node were used to demonstrate the OPC solutions on critical layers that will benefit from the increased contrast at high NA using anamorphic imaging. However, unlike isomorphic case, from wafer perspective, OPC needs to treat x and y differently. In the paper, we show a design trade-off seen unique to Anamorphic EUV, namely that using a mask rule of 48nm (mask scale), approaching current state of the art, limitations are observed in the available correction that can be applied to the mask. The metal pattern has a pitch of 24nm and CD of 12nm. During OPC, the correction of the metal lines oriented vertically are being limited by the mask rule of 12nm 1X. The horizontally oriented lines do not suffer from this mask rule limitation as the correction is allowed to go to 6nm 1X. For this example, the masks rules will need to be more aggressive to allow complete correction, or design rules and wafer processes (wafer rotation) would need to be created that utilize the orientation that can image more aggressive features. When considering VIA or block level correction, aggressive polygon corner to corner designs can be handled with various solutions, including applying a 45 degree chop. Multiple solutions are discussed with the metrics of edge placement error (EPE) and Process Variation Bands (PVBands), together with all the mask constrains. Noted in anamorphic OPC, the 45 degree chop is maintained at the mask level to meet mask manufacturing constraints, but results in skewed

  15. High numerical aperture projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2000-01-01

    An optical system is described that is compatible with extreme ultraviolet radiation and comprises five reflective elements for projecting a mask image onto a substrate. The five optical elements are characterized in order from object to image as concave, convex, concave, convex, and concave mirrors. The optical system is particularly suited for ring field, step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width which effectively minimizes dynamic distortion. The present invention allows for higher device density because the optical system has improved resolution that results from the high numerical aperture, which is at least 0.14.

  16. Mars Thermospheric Temperature Sensitivity to Solar EUV Forcing from the MAVEN EUV Monitor

    NASA Astrophysics Data System (ADS)

    Thiemann, Ed; Eparvier, Francis; Andersson, Laila; Pilinski, Marcin; Chamberlin, Phillip; Fowler, Christopher; MAVEN Extreme Ultraviolet Monitor Team, MAVEN Langmuir Probe and Waves Team

    2017-10-01

    Solar extreme ultraviolet (EUV) radiation is the primary heat source for the Mars thermosphere, and the primary source of long-term temperature variability. The Mars obliquity, dust cycle, tides and waves also drive thermospheric temperature variability; and it is important to quantify the role of each in order to understand processes in the upper atmosphere today and, ultimately, the evolution of Mars climate over time. Although EUV radiation is the dominant heating mechanism, accurately measuring the thermospheric temperature sensitivity to EUV forcing has remained elusive, in part, because Mars thermospheric temperature varies dramatically with latitude and local time (LT), ranging from 150K on the nightside to 300K on the dayside. It follows that studies of thermospheric variability must control for location.Instruments onboard the Mars Atmosphere and Volatile EvolutioN (MAVEN) orbiter have begun to characterize thermospheric temperature sensitivity to EUV forcing. Bougher et al. [2017] used measurements from the Imaging Ultraviolet Spectrograph (IUVS) and the Neutral Gas and Ion Mass Spectrometer (NGIMS) to characterize solar activity trends in the thermosphere with some success. However, aside from restricting measurements to solar zenith angles (SZAs) below 75 degrees, they were unable to control for latitude and LT because repeat-track observations from either instrument were limited or unavailable.The MAVEN EUV Monitor (EUVM) has recently demonstrated the capability to measure thermospheric density from 100 to 200 km with solar occultations of its 17-22 nm channel. These new density measurements are ideal for tracking the long-term thermospheric temperature variability because they are inherently constrained to either 06:00 or 18:00 LT, and the orbit has precessed to include a range of ecliptic latitudes, a number of which have been revisited multiple times over 2.5 years. In this study we present, for the first-time, measurements of thermospheric

  17. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  18. Selected highlights from the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1995-01-01

    We present a few scientific highlights from the Extreme Ultraviolet Explorer (EUVE) all-sky and deep surveys, from the EUVE Righ Angle Program, and from the EUVE Guest Observer Program. The First EUVE Source Catalog includes 410 extreme ultraviolet (EUV) sources detected in the initial processing of the EUVE all-sky data. A program of optical identification indicates that counterparts include cool star coronae, flare stars, hot white dwarfs, central stars of planetary nebulae, B star photospheres and winds, an X-ray binary, extragalactic objects (active galactic nuclei, BL Lacertae), solar system objects (Moon, Mars, Io,), supernova remnants, and two novae.

  19. SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform

    NASA Astrophysics Data System (ADS)

    Bekaert, Joost; Di Lorenzo, Paolo; Mao, Ming; Decoster, Stefan; Larivière, Stéphane; Franke, Joern-Holger; Blanco Carballo, Victor M.; Kutrzeba Kotowska, Bogumila; Lazzarino, Frederic; Gallagher, Emily; Hendrickx, Eric; Leray, Philippe; Kim, R. Ryoung-han; McIntyre, Greg; Colsters, Paul; Wittebrood, Friso; van Dijk, Joep; Maslow, Mark; Timoshkov, Vadim; Kiers, Ton

    2017-03-01

    The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an `aggressive' full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.

  20. Increasing EUV source efficiency via recycling of radiation power

    NASA Astrophysics Data System (ADS)

    Hassanein, Ahmed; Sizyuk, Valeryi; Sizyuk, Tatyana; Johnson, Kenneth C.

    2018-03-01

    EUV source power is critical for advanced lithography, for achieving economical throughput performance and also for minimizing stochastic patterning effects. Power conversion efficiency can be increased by recycling plasma-scattered laser radiation and other out-of-band radiation back to the plasma via retroreflective optics. Radiation both within and outside of the collector light path can potentially be recycled. For recycling within the collector path, the system uses a diffractive collection mirror that concomitantly filters all laser and out-of-band radiation out of the EUV output. In this paper we review the optical design concept for power recycling and present preliminary plasma-physics simulation results showing a potential gain of 60% in EUV conversion efficiency.

  1. The novel top-coat material for RLS trade-off reduction in EUVL

    NASA Astrophysics Data System (ADS)

    Onishi, Ryuji; Sakamoto, Rikimaru; Fujitani, Noriaki; Endo, Takafumi; Ho, Bang-ching

    2012-03-01

    For the next generation lithography (NGL), several technologies have been proposed to achieve the 22nm-node devices and beyond. Extreme ultraviolet (EUV) lithography is one of the candidates for the next generation lithography. In EUV light source development, low power is one of the critical issue because of the low throughput, and another issue is Out of Band (OoB) light existing in EUV light. OoB is concerned to be the cause of deterioration for the lithography performance. In order to avoid this critical issue, we focused on development of the resist top coat material with OoB absorption property as Out of Band Protection Layer (OBPL). We designed this material having high absorbance around 240nm wavelength and high transmittance for EUV light. And this material aimed to improve sensitivity, resolution and LWR performance.

  2. Exploring the readiness of EUV photo materials for patterning advanced technology nodes

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Vesters, Yannick; Shehzad, Atif; Vandenberghe, Geert; Foubert, Philippe; Beral, Christophe; Van Den Heuvel, Dieter; Mao, Ming; Lazzarino, Fred

    2017-03-01

    Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.

  3. Enabling laboratory EUV research with a compact exposure tool

    NASA Astrophysics Data System (ADS)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  4. Method for the protection of extreme ultraviolet lithography optics

    DOEpatents

    Grunow, Philip A.; Clift, Wayne M.; Klebanoff, Leonard E.

    2010-06-22

    A coating for the protection of optical surfaces exposed to a high energy erosive plasma. A gas that can be decomposed by the high energy plasma, such as the xenon plasma used for extreme ultraviolet lithography (EUVL), is injected into the EUVL machine. The decomposition products coat the optical surfaces with a protective coating maintained at less than about 100 .ANG. thick by periodic injections of the gas. Gases that can be used include hydrocarbon gases, particularly methane, PH.sub.3 and H.sub.2S. The use of PH.sub.3 and H.sub.2S is particularly advantageous since films of the plasma-induced decomposition products S and P cannot grow to greater than 10 .ANG. thick in a vacuum atmosphere such as found in an EUVL machine.

  5. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  6. Ecofriendly antiglare film derived from biomass using ultraviolet curing nanoimprint lithography for high-definition display

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Murakami, Gaku; Mori, Yuto; Ichikawa, Takumi; Sekiguchi, Atsushi; Obata, Tsutomu; Yokoyama, Yoshiyuki; Mizuno, Wataru; Sumioka, Junji; Horita, Yuji

    2013-07-01

    Nanopatterning of an ecofriendly antiglare film derived from biomass using an ultraviolet curing nanoimprint lithography is reported. Developed sugar-related organic compounds with liquid glucose and trehalose derivatives derived from biomass produced high-quality imprint images of pillar patterns with a 230-nm diameter. Ecofriendly antiglare film with liquid glucose and trehalose derivatives derived from biomass was indicated to achieve the real refraction index of 1.45 to 1.53 at 350 to 800 nm, low imaginary refractive index of <0.005 and low volumetric shrinkage of 4.8% during ultraviolet irradiation. A distinctive bulky glucose structure in glucose and trehalose derivatives was considered to be effective for minimizing the volumetric shrinkage of resist film during ultraviolet irradiation, in addition to suitable optical properties for high-definition display.

  7. A study on EUV reticle surface molecular contamination under different storage conditions in a HVM foundry fab

    NASA Astrophysics Data System (ADS)

    Singh, SherJang; Yatzor, Brett; Taylor, Ron; Wood, Obert; Mangat, Pawitter

    2017-03-01

    The prospect of EUVL (Extreme Ultraviolet Lithography) insertion into HVM (High Volume Manufacturing) has never been this promising. As technology is prepared for "lab to fab" transition, it becomes important to comprehend challenges associated with integrating EUVL infrastructure within existing high volume chip fabrication processes in a foundry fab. The existing 193nm optical lithography process flow for reticle handling and storage in a fab atmosphere is well established and in-fab reticle contamination concerns are mitigated with the reticle pellicle. However EUVL reticle pellicle is still under development and if available, may only provide protection against particles but not molecular contamination. HVM fab atmosphere is known to be contaminated with trace amounts of AMC's (Atmospheric Molecular Contamination). If such contaminants are organic in nature and get absorbed on the reticle surface, EUV photon cause photo-dissociation resulting into carbon generation which is known to reduce multilayer reflectivity and also degrades exposure uniformity. Chemical diffusion and aggregation of other ions is also reported under the e-beam exposure of a EUV reticle which is known to cause haze issues in optical lithography. Therefore it becomes paramount to mitigate absorbed molecular contaminant concerns on EUVL reticle surface. In this paper, we have studied types of molecular contaminants that are absorbed on an EUVL reticle surface under HVM fab storage and handling conditions. Effect of storage conditions (gas purged vs atmospheric) in different storage pods (Dual pods, Reticle Clamshells) is evaluated. Absorption analysis is done both on ruthenium capping layer as well as TaBN absorber. Ru surface chemistry change as a result of storage is also studied. The efficacy of different reticle cleaning processes to remove absorbed contaminant is evaluated as well.

  8. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    NASA Astrophysics Data System (ADS)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  9. Synchrotron-based EUV lithography illuminator simulator

    DOEpatents

    Naulleau, Patrick P.

    2004-07-27

    A lithographic illuminator to illuminate a reticle to be imaged with a range of angles is provided. The illumination can be employed to generate a pattern in the pupil of the imaging system, where spatial coordinates in the pupil plane correspond to illumination angles in the reticle plane. In particular, a coherent synchrotron beamline is used along with a potentially decoherentizing holographic optical element (HOE), as an experimental EUV illuminator simulation station. The pupil fill is completely defined by a single HOE, thus the system can be easily modified to model a variety of illuminator fill patterns. The HOE can be designed to generate any desired angular spectrum and such a device can serve as the basis for an illuminator simulator.

  10. Carbon contamination topography analysis of EUV masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Y.-J.; Yankulin, L.; Thomas, P.

    2010-03-12

    The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine possible contamination topography. Lithographic simulations were also performed and the results compared with the experimental data.

  11. Ultraviolet Laser Lithography of Titania Photonic Crystals for Terahertz-Wave Modulation.

    PubMed

    Kirihara, Soshu; Nonaka, Koki; Kisanuki, Shoichiro; Nozaki, Hirotoshi; Sakaguchi, Keito

    2018-05-18

    Three-dimensional (3D) microphotonic crystals with a diamond structure composed of titania microlattices were fabricated using ultraviolet laser lithography, and the bandgap properties in the terahertz (THz) electromagnetic-wave frequency region were investigated. An acrylic resin paste with titania fine particle dispersions was used as the raw material for additive manufacturing. By scanning a spread paste surface with an ultraviolet laser beam, two-dimensional solid patterns were dewaxed and sintered. Subsequently, 3D structures with a relative density of 97% were created via layer lamination and joining. A titania diamond lattice with a lattice constant density of 240 µm was obtained. The properties of the electromagnetic wave were measured using a THz time-domain spectrometer. In the transmission spectra for the Γ-X direction, a forbidden band was observed from 0.26 THz to 0.44 THz. The frequency range of the bandgap agreed well with calculated results obtained using the plane⁻wave expansion method. Additionally, results of a simulation via transmission-line modeling indicated that a localized mode can be obtained by introducing a plane defect between twinned diamond lattice structures.

  12. Next generation of Z* modelling tool for high intensity EUV and soft x-ray plasma sources simulations

    NASA Astrophysics Data System (ADS)

    Zakharov, S. V.; Zakharov, V. S.; Choi, P.; Krukovskiy, A. Y.; Novikov, V. G.; Solomyannaya, A. D.; Berezin, A. V.; Vorontsov, A. S.; Markov, M. B.; Parot'kin, S. V.

    2011-04-01

    In the specifications for EUV sources, high EUV power at IF for lithography HVM and very high brightness for actinic mask and in-situ inspections are required. In practice, the non-equilibrium plasma dynamics and self-absorption of radiation limit the in-band radiance of the plasma and the usable radiation power of a conventional single unit EUV source. A new generation of the computational code Z* is currently developed under international collaboration in the frames of FP7 IAPP project FIRE for modelling of multi-physics phenomena in radiation plasma sources, particularly for EUVL. The radiation plasma dynamics, the spectral effects of self-absorption in LPP and DPP and resulting Conversion Efficiencies are considered. The generation of fast electrons, ions and neutrals is discussed. Conditions for the enhanced radiance of highly ionized plasma in the presence of fast electrons are evaluated. The modelling results are guiding a new generation of EUV sources being developed at Nano-UV, based on spatial/temporal multiplexing of individual high brightness units, to deliver the requisite brightness and power for both lithography HVM and actinic metrology applications.

  13. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    PubMed

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  14. Development of a Wafer Positioning System for the Sandia Extreme Ultraviolet Lithography Tool

    NASA Technical Reports Server (NTRS)

    Wronosky, John B.; Smith, Tony G.; Darnold, Joel R.

    1996-01-01

    A wafer positioning system was recently developed by Sandia National Laboratories for an Extreme Ultraviolet Lithography (EUVL) tool. The system, which utilizes a magnetically levitated fine stage to provide ultra-precise positioning in all six degrees of freedom, incorporates technological improvements resulting from four years of prototype development. This paper describes the design, implementation, and functional capability of the system. Specifics regarding control system electronics, including software and control algorithm structure, as well as performance design goals and test results are presented. Potential system enhancements, some of which are in process, are also discussed.

  15. Extreme Ultraviolet Explorer. Long look at the next window

    NASA Technical Reports Server (NTRS)

    Maran, Stephen P.

    1991-01-01

    The Extreme Ultraviolet Explorer (EUVE) will map the entire sky to determine the existence, direction, brightness, and temperature of thousands of objects that are sources of so-called extreme ultraviolet (EUV) radiation. The EUV spectral region is located between the x-ray and ultraviolet regions of the electromagnetic spectrum. From the sky survey by EUVE, astronomers will determine the nature of sources of EUV light in our galaxy, and infer the distribution of interstellar gas for hundreds of light years around the solar system. It is from this gas and the accompanying dust in space that new stars and solar systems are born and to which evolving and dying stars return much of their material in an endless cosmic cycle of birth, death, and rebirth. Besides surveying the sky, astronomers will make detailed studies of selected objects with EUVE to determine their physical properties and chemical compositions. Also, they will learn about the conditions that prevail and the processes at work in stars, planets, and other sources of EUV radiation, maybe even quasars. The EUVE mission and instruments are described. The objects that EUVE will likely find are described.

  16. The extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, Stuart; Malina, Roger F.

    1990-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled for launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of Extreme Ultraviolet (EUV) radiation. The survey will be accomplished with the use of three EUV telescopes, each sensitive to a different segment of the EUV band. A fourth telescope will perform a high sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all sky survey will be carried out in the first six months of the mission and will be made in four bands, or colors. The second phase of the mission, conducted entirely by guest observers selected by NASA, will be devoted to spectroscopic observations of EUV sources. The performance of the instrument components is described. An end to end model of the mission, from a stellar source to the resulting scientific data, was constructed. Hypothetical data from astronomical sources processed through this model are shown.

  17. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    PubMed

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  18. Cleaning process for EUV optical substrates

    DOEpatents

    Weber, Frank J.; Spiller, Eberhard A.

    1999-01-01

    A cleaning process for surfaces with very demanding cleanliness requirements, such as extreme-ultraviolet (EUV) optical substrates. Proper cleaning of optical substrates prior to applying reflective coatings thereon is very critical in the fabrication of the reflective optics used in EUV lithographic systems, for example. The cleaning process involves ultrasonic cleaning in acetone, methanol, and a pH neutral soap, such as FL-70, followed by rinsing in de-ionized water and drying with dry filtered nitrogen in conjunction with a spin-rinse.

  19. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  20. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    NASA Astrophysics Data System (ADS)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  1. Feasibility of using Extreme Ultraviolet Explorer (EUVE) reaction wheels to satisfy Space Infrared Telescope Facility (SIRTF) maneuver requirements

    NASA Technical Reports Server (NTRS)

    Lightsey, W. D.

    1990-01-01

    A digital computer simulation is used to determine if the extreme ultraviolet explorer (EUVE) reaction wheels can provide sufficient torque and momentum storage capability to meet the space infrared telescope facility (SIRTF) maneuver requirements. A brief description of the pointing control system (PCS) and the sensor and actuator dynamic models used in the simulation is presented. A model to represent a disturbance such as fluid sloshing is developed. Results developed with the simulation, and a discussion of these results are presented.

  2. Spectroscopy of Highly Charged Tin Ions for AN Extreme Ultraviolet Light Source for Lithography

    NASA Astrophysics Data System (ADS)

    Torretti, Francesco; Windberger, Alexander; Ubachs, Wim; Hoekstra, Ronnie; Versolato, Oscar; Ryabtsev, Alexander; Borschevsky, Anastasia; Berengut, Julian; Crespo Lopez-Urrutia, Jose

    2017-06-01

    Laser-produced tin plasmas are the prime candidates for the generation of extreme ultraviolet (EUV) light around 13.5 nm in nanolithographic applications. This light is generated primarily by atomic transitions in highly charged tin ions: Sn^{8+}-Sn^{14+}. Due to the electronic configurations of these charge states, thousands of atomic lines emit around 13.5 nm, clustered in a so-called unresolved transition array. As a result, accurate line identification becomes difficult in this regime. Nevertheless, this issue can be circumvented if one turns to the optical: with far fewer atomic states, only tens of transitions take place and the spectra can be resolved with far more ease. We have investigated optical emission lines in an electron-beam-ion-trap (EBIT), where we managed to charge-state resolve the spectra. Based on this technique and on a number of different ab initio techniques for calculating the level structure, the optical spectra could be assigned [1,2]. As a conclusion the assignments of EUV transitions in the literature require corrections. The EUV and optical spectra are measured simultaneously in the controlled conditions of the EBIT as well as in a droplet-based laser-produced plasma source providing information on the contribution of Sn^{q+} charge states to the EUV emission. [1] A. Windberger, F. Torretti, A. Borschevsky, A. Ryabtsev, S. Dobrodey, H. Bekker, E. Eliav, U. Kaldor, W. Ubachs, R. Hoekstra, J.R. Crespo Lopez-Urrutia, O.O. Versolato, Analysis of the fine structure of Sn^{11+} - Sn^{14+} ions by optical spectroscopy in an electron beam ion trap, Phys. Rev. A 94, 012506 (2016). [2] F. Torretti, A. Windberger, A. Ryabtsev, S. Dobrodey, H. Bekker, W. Ubachs, R. Hoekstra, E.V. Kahl, J.C. Berengut, J.R. Crespo Lopez-Urrutia, O.O. Versolato, Optical spectroscopy of complex open 4d-shell ions Sn^{7+} - Sn^{10+}, arXiv:1612.00747

  3. Development of a EUV Test Facility at the Marshall Space Flight Center

    NASA Technical Reports Server (NTRS)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  4. EUV phase-shifting masks and aberration monitors

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the use of phase-shifting masks in EUV lithography. The effects of oblique incident illumination and mask patterning by ion-mixing of multilayers are analyzed. Oblique incident illumination causes streamers at absorber edges and causes position shifting in aerial images. The diffraction waves between ion-mixed and pristine multilayers are observed. The phase-shifting caused by stepped substrates is simulated and images show that it succeeds in creation of phase-shifting effects. The diffraction process at the phase boundary is also analyzed. As an example of EUV phase-shifting masks, a coma pattern and probe based aberration monitor is simulated and aerial images are formed under different levels of coma aberration. The probe signal rises quickly as coma increases as designed.

  5. The extreme ultraviolet explorer mission

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Bowyer, S.

    1988-01-01

    The science design goals and engineering implementation for the Extreme Ultraviolet Explorer (EUVE) science payload are discussed. The primary scientific goal of the EUVE payload is to carry out an all-sky survey in the 100- to 900-A band of the spectrum. Another goal of the mission is to demonstrate the use of a scientific platform in near-earth orbit. EUVE data will be used to study the distribution of EUV stars in the neighborhood of the sun and the emission physics responsible for the EUV mission.

  6. Removal of Tin from Extreme Ultraviolet Collector Optics by an In-Situ Hydrogen Plasma

    NASA Astrophysics Data System (ADS)

    Elg, Daniel Tyler

    Throughout the 1980s and 1990s, as the semiconductor industry upheld Moore's Law and continuously shrank device feature sizes, the wavelength of the lithography source remained at or below the resolution limit of the minimum feature size. Since 2001, however, the light source has been the 193nm ArF excimer laser. While the industry has managed to keep up with Moore's Law, shrinking feature sizes without shrinking the lithographic wavelength has required extra innovations and steps that increase fabrication time, cost, and error. These innovations include immersion lithography and double patterning. Currently, the industry is at the 14 nm technology node. Thus, the minimum feature size is an order of magnitude below the exposure wavelength. For the 10 nm node, triple and quadruple patterning have been proposed, causing potentially even more cost, fabrication time, and error. Such a trend cannot continue indefinitely in an economic fashion, and it is desirable to decrease the wavelength of the lithography sources. Thus, much research has been invested in extreme ultraviolet lithography (EUVL), which uses 13.5 nm light. While much progress has been made in recent years, some challenges must still be solved in order to yield a throughput high enough for EUVL to be commercially viable for high-volume manufacturing (HVM). One of these problems is collector contamination. Due to the 92 eV energy of a 13.5 nm photon, EUV light must be made by a plasma, rather than by a laser. Specifically, the industrially-favored EUV source topology is to irradiate a droplet of molten Sn with a laser, creating a dense, hot laser-produced plasma (LPP) and ionizing the Sn to (on average) the +10 state. Additionally, no materials are known to easily transmit EUV. All EUV light must be collected by a collector optic mirror, which cannot be guarded by a window. The plasmas used in EUV lithography sources expel Sn ions and neutrals, which degrade the quality of collector optics. The mitigation

  7. Use of Sacrificial Nanoparticles to Remove the Effects of Shot-noise in Contact Holes Fabricated by E-beam Lithography.

    PubMed

    Rananavare, Shankar B; Morakinyo, Moshood K

    2017-02-12

    Nano-patterns fabricated with extreme ultraviolet (EUV) or electron-beam (E-beam) lithography exhibit unexpected variations in size. This variation has been attributed to statistical fluctuations in the number of photons/electrons arriving at a given nano-region arising from shot-noise (SN). The SN varies inversely to the square root of a number of photons/electrons. For a fixed dosage, the SN is larger in EUV and E-beam lithographies than for traditional (193 nm) optical lithography. Bottom-up and top-down patterning approaches are combined to minimize the effects of shot noise in nano-hole patterning. Specifically, an amino-silane surfactant self-assembles on a silicon wafer that is subsequently spin-coated with a 100 nm film of a PMMA-based E-beam photoresist. Exposure to the E-beam and the subsequent development uncover the underlying surfactant film at the bottoms of the holes. Dipping the wafer in a suspension of negatively charged, citrate-capped, 20 nm gold nanoparticles (GNP) deposits one particle per hole. The exposed positively charged surfactant film in the hole electrostatically funnels the negatively charged nanoparticle to the center of an exposed hole, which permanently fixes the positional registry. Next, by heating near the glass transition temperature of the photoresist polymer, the photoresist film reflows and engulfs the nanoparticles. This process erases the holes affected by SN but leaves the deposited GNPs locked in place by strong electrostatic binding. Treatment with oxygen plasma exposes the GNPs by etching a thin layer of the photoresist. Wet-etching the exposed GNPs with a solution of I2/KI yields uniform holes located at the center of indentations patterned by E-beam lithography. The experiments presented show that the approach reduces the variation in the size of the holes caused by SN from 35% to below 10%. The method extends the patterning limits of transistor contact holes to below 20 nm.

  8. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  9. EUV Cross-Calibration Strategies for the GOES-R SUVI

    NASA Astrophysics Data System (ADS)

    Darnel, Jonathan; Seaton, Daniel

    2016-10-01

    The challenges of maintaining calibration for solar EUV instrumentation is well-known. The lack of standard calibration sources and the fact that most solar EUV telescopes are incapable of utilizing bright astronomical EUV sources for calibration make knowledge of instrument performance quite difficult. In the recent past, calibration rocket underflights have helped establish a calibration baseline. The EVE instrument on SDO for a time provided well-calibrated, high spectral resolution solar spectra for a broad range of the EUV, but has suffered a loss of coverage at the shorter wavelengths. NOAA's Solar UltraViolet Imager (SUVI), a solar EUV imager with similarities to SDO/AIA, will provide solar imagery over nearly an entire solar cycle. In order to maintain the scientific value of the SUVI's dataset, novel approaches to calibration are necessary. Here we demonstrate a suite of methods to cross-calibrate SUVI against other solar EUV instruments through the use of proxy solar spectra.

  10. Discovery of Strong EUV-induced Balmer Emission in the New WD+dM Binary EUVE J2013+40.0 (RE 2013+400)

    NASA Astrophysics Data System (ADS)

    Thorstensen, J. R.; Vennes, S.

    1993-12-01

    The binary system EUVE J2013+40.0 (= RE 2013+400) was discovered in the EUV-selected sample of white dwarfs identified in the course of the ROSAT Wide Field Camera (WFC) all-sky survey (Pounds et al. 1993, MNRAS, 260, 77). The intense extreme ultraviolet (EUV) emission from the hot white dwarf (DAO type) was also detected in the course of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (Bowyer et al. 1993, ApJ, submitted), and the subsequent optical identification campaign suggested the association of EUVE J2013+40.0 with the Feige 24 class of binary systems (see Vennes & Thorstensen, these proceedings). Such systems consist of a hot H-rich white dwarf (DA/DAO) and a red dwarf companion (dM) and are characterized by strong, narrow, variable Balmer emission. We obtained spectroscopy with 4 Angstroms resolution at the Michigan-Dartmouth-MIT Hiltner 2.4 m, covering the Hα and Hβ range. The Hα emission line velocity and equivalent widths varied with a period of 0.708 +/- 0.003 d; the velocity semiamplitude is 89 +/- 3 km s(-1) . The emission equivalent width reaches maximum strength 0.251 +/- 0.007 cycle after maximum emission-line velocity, that is, when the emission source reaches superior conjunction. This is just as expected if the emission arises from reprocessing of the EUV radiation incident upon the face of the dM star facing the white dwarf, as proposed for Feige 24 by Thorstensen et al. (1978, ApJ, 223, 260). EUVE J2013+40.0 is one of a handful of WD+dM binary systems in which the illumination effect is observed with unambiguous clarity. By comparing Feige 24 and EUVE J2013+40.0, and modelling the white dwarf EUV emission and red dwarf Balmer emission, we constrain the orbital inclinations. Additional spectroscopy of EUVE J2013+40.0 is being scheduled to determine the component masses. These are important input data for the study of the close binary systems which arise from common envelope evolution. This work is supported by a forthcoming NASA

  11. The Extreme Ultraviolet Explorer Mission

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1991-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled from launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation with the use of three EUV telescope, each sensitive to a different segment of the EUV band. A fourth telescope is planned to perform a high-sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all-sky survey is planned to be carried out in the first six months of the mission in four bands, or colors, 70-180 A, 170-250 A, 400-600 A, and 500-700 A. The second phase of the mission is devoted to spectroscopic observations of EUV sources. A high-efficiency grazing-incidence spectrometer using variable line-space gratings is planned to provide spectral data with about 1-A resolution. An end-to-end model of the mission, from a stellar source to the resulting scientific data, is presented. Hypothetical data from astronomical sources were processed through this model and are shown.

  12. Calibration techniques and results in the soft X-ray and extreme ultraviolet for components of the Extreme Ultraviolet Explorer Satellite

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Jelinsky, Patrick; Bowyer, Stuart

    1986-01-01

    The calibration facilities and techniques for the Extreme Ultraviolet Explorer (EUVE) from 44 to 2500 A are described. Key elements include newly designed radiation sources and a collimated monochromatic EUV beam. Sample results for the calibration of the EUVE filters, detectors, gratings, collimators, and optics are summarized.

  13. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  14. Nanoplasmonic generation of ultrashort EUV pulses

    NASA Astrophysics Data System (ADS)

    Choi, Joonhee; Lee, Dong-Hyub; Han, Seunghwoi; Park, In-Yong; Kim, Seungchul; Kim, Seung-Woo

    2012-10-01

    Ultrashort extreme-ultraviolet (EUV) light pulses are an important tool for time-resolved pump-probe spectroscopy to investigate the ultrafast dynamics of electrons in atoms and molecules. Among several methods available to generate ultrashort EUV light pulses, the nonlinear frequency upconversion process of high-harmonic generation (HHG) draws attention as it is capable of producing coherent EUV pulses with precise control of burst timing with respect to the driving near-infrared (NIR) femtosecond laser. In this report, we present and discuss our recent experimental data obtained by the plasmon-driven HHG method that generate EUV radiation by means of plasmonic nano-focusing of NIR femtosecond pulses. For experiment, metallic waveguides having a tapered hole of funnel shape inside were fabricated by adopting the focused-ion-beam process on a micro-cantilever substrate. The plasmonic field formed within the funnelwaveguides being coupled with the incident femtosecond pulse permitted intensity enhancement by a factor of ~350, which creates a hot spot of sub-wavelength size with intensities strong enough for HHG. Experimental results showed that with injection of noble gases into the funnel-waveguides, EUV radiation is generated up to wavelengths of 32 nm and 29.6 nm from Ar and Ne gas atoms, respectively. Further, it was observed that lower-order EUV harmonics are cut off in the HHG spectra by the tiny exit aperture of the funnel-waveguide.

  15. Extreme-ultraviolet and electron beam lithography processing using water developable resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi

    2017-08-01

    In order to achieve the use of pure water in the developable process of extreme-ultraviolet and electron beam lithography, instead of conventionally used tetramethylammonium hydroxide and organic solvents, a water developable resist material was designed and developed. The water-developable resist material was derived from woody biomass with beta-linked disaccharide unit for environmental affair, safety, easiness of handling, and health of the working people. 80 nm dense line patterning images with exposure dose of 22 μC/cm2 and CF4 etching selectivity of 1.8 with hardmask layer were provided by specific process conditions. The approach of our water-developable resist material will be one of the most promising technologies ready to be investigated into production of medical device applications.

  16. EUVE/XTE orbit decay study

    NASA Technical Reports Server (NTRS)

    Richon, K.; Hashmall, J.; Lambertson, M.; Phillips, T.

    1988-01-01

    The Explorer Platform (EP) program currently comprises two missions, the Extreme Ultraviolet Explorer (EUVE) and the X-ray Timing Explorer (XTE), each of which consists of a scientific payload mounted to the EP. The EP has no orbit maintenance capability. The EP with the EUVE payload will be launched first. At the end of the EUVE mission, the spacecraft will be serviced by the Space Transportation System (STS), and the EUVE instrument will be exchanged for the XTE. The XTE mission will continue until reentry or reservicing by the STS. Because the missions will be using the EP sequentially, the orbit requirements are unusually constrained by orbit decay rates. The initial altitude must be selected so that, by the end of the EUVE mission (2.5 years), the spacecraft will have decayed to an altitude within the STS capabilities. In addition, the payload exchange must occur at an altitude that ensures meeting the minimum XTE mission lifetime (3 years) because no STS reboost will be available. Studies were performed using the Goddard Mission Analysis System to estimate the effects of mass, cross-sectional area, and solar flux on the fulfillment of mission requirements. In addition to results from these studies, conclusions are presented as to the accuracy of the Marshall Space Flight Center solar flux predictions.

  17. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  18. Novel EUV mask black border suppressing EUV and DUV OoB light reflection

    NASA Astrophysics Data System (ADS)

    Ito, Shin; Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Maruyama, Shingo; Watanabe, Genta; Yoshida, Itaru; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-05-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel BB called `Hybrid Black Border' (HBB) has been developed to eliminate EUV and DUV OOB light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern, defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the corners of the die. A CD uniformity budget including

  19. Estimation of optimum density and temperature for maximum efficiency of tin ions in Z discharge extreme ultraviolet sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Masnavi, Majid; Nakajima, Mitsuo; Hotta, Eiki

    Extreme ultraviolet (EUV) discharge-based lamps for EUV lithography need to generate extremely high power in the narrow spectrum band of 13.5{+-}0.135 nm. A simplified collisional-radiative model and radiative transfer solution for an isotropic medium were utilized to investigate the wavelength-integrated light outputs in tin (Sn) plasma. Detailed calculations using the Hebrew University-Lawrence Livermore atomic code were employed for determination of necessary atomic data of the Sn{sup 4+} to Sn{sup 13+} charge states. The result of model is compared with experimental spectra from a Sn-based discharge-produced plasma. The analysis reveals that considerably larger efficiency compared to the so-called efficiency of amore » black-body radiator is formed for the electron density {approx_equal}10{sup 18} cm{sup -3}. For higher electron density, the spectral efficiency of Sn plasma reduces due to the saturation of resonance transitions.« less

  20. Shot noise, LER, and quantum efficiency of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Brainard, Robert L.; Trefonas, Peter; Lammers, Jeroen H.; Cutler, Charlotte A.; Mackevich, Joseph F.; Trefonas, Alexander; Robertson, Stewart A.

    2004-05-01

    The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied. These resists were identical to EUV-2D except were prepared with seven levels of added base while keeping all other resist variables constant. These seven resists were patterned with EUV lithography, and LER was measured on 100-200 nm dense lines. Similarly, the resists were also imaged using DUV lithography and LER was determined for 300-500 nm dense lines. LER results for both wavelengths were plotted against Esize. Both curves show very similar LER behavior-the resists requiring low doses have poor LER, whereas the resists requiring high doses have good LER. One possible explanation for the observed LER response is that the added base improves LER by reacting with the photogenerated acid to control the lateral spread of acid, leading to better chemical contrast at the line edge. An alternative explanation to the observed relationship between LER and Esize is that shot-noise generated LER decreases as the number of photons absorbed at the line edge increases. We present an analytical model for the influence of shot noise based on Poisson statistics that preidicts that the LER is proportional to (Esize)-1/2. Indeed, both sets of data give straight lines when plotted this way (DUV r2 = 0.94; EUV r2 = 0.97). We decided to further evaluate this interpretation by constructing a simulation model for shot noise resulting from exposure and acid diffusion at the mask edge. In order to acquire the data for this model, we used the base titration method developed by Szmanda et al. to determine C-parameters and hence the quantum efficiency for producing photogenerated acid. This information, together with film absorptivity, allows the calculation of number and location of acid molecules generated at the mask edgte by assuming a stochastic distribution of individual photons corresponding to the aerial image function. The edge

  1. Resist image quality control via acid diffusion constant and/or photodecomposable quencher concentration in the fabrication of 11 nm half-pitch line-and-space patterns using extreme-ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-05-01

    Extreme-ultraviolet (EUV) lithography will be applied to the high-volume production of semiconductor devices with 16 nm half-pitch resolution and is expected to be extended to that of devices with 11 nm half-pitch resolution. With the reduction in the feature sizes, the control of acid diffusion becomes a significant concern. In this study, the dependence of resist image quality on T PEB D acid and photodecomposable quencher concentration was investigated by the Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. Here, T PEB and D acid are the postexposure baking (PEB) time and the acid diffusion constant, respectively. The resist image quality of 11 nm line-and-space patterns is discussed in terms of line edge roughness (LER) and stochastic defect generation. For the minimization of LER, it is necessary to design and control not only the photodecomposable quencher concentration but also T PEB D acid. In this case, D acid should be adjusted to be 0.3–1.5 nm2 s‑1 for a PEB time of 60 s with optimization of the balance among LER and stochastic pinching and bridging. Even if it is difficult to decrease D acid to the range of 0.3–1.5 nm2 s‑1, the image quality can still be controlled via only the photodecomposable quencher concentration, although LER and stochastic pinching and bridging are slightly increased. In this case, accurate control of the photodecomposable quencher concentration and the reduction in the initial standard deviation of the number of protected units are required.

  2. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    PubMed

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  3. AWARE - The Automated EUV Wave Analysis and REduction algorithm

    NASA Astrophysics Data System (ADS)

    Ireland, J.; Inglis; A. R.; Shih, A. Y.; Christe, S.; Mumford, S.; Hayes, L. A.; Thompson, B. J.

    2016-10-01

    Extreme ultraviolet (EUV) waves are large-scale propagating disturbances observed in the solar corona, frequently associated with coronal mass ejections and flares. Since their discovery over two hundred papers discussing their properties, causes and physics have been published. However, their fundamental nature and the physics of their interactions with other solar phenomena are still not understood. To further the understanding of EUV waves, and their relation to other solar phenomena, we have constructed the Automated Wave Analysis and REduction (AWARE) algorithm for the detection of EUV waves over the full Sun. The AWARE algorithm is based on a novel image processing approach to isolating the bright wavefront of the EUV as it propagates across the corona. AWARE detects the presence of a wavefront, and measures the distance, velocity and acceleration of that wavefront across the Sun. Results from AWARE are compared to results from other algorithms for some well known EUV wave events. Suggestions are also give for further refinements to the basic algorithm presented here.

  4. Thermal conduction properties of Mo/Si multilayers for extreme ultraviolet optics

    NASA Astrophysics Data System (ADS)

    Bozorg-Grayeli, Elah; Li, Zijian; Asheghi, Mehdi; Delgado, Gil; Pokrovsky, Alexander; Panzer, Matthew; Wack, Daniel; Goodson, Kenneth E.

    2012-10-01

    Extreme ultraviolet (EUV) lithography requires nanostructured optical components, whose reliability can be influenced by radiation absorption and thermal conduction. Thermal conduction analysis is complicated by sub-continuum electron and phonon transport and the lack of thermal property data. This paper measures and interprets thermal property data, and their evolution due to heating exposure, for Mo/Si EUV mirrors with 6.9 nm period and Mo/Si thickness ratios of 0.4/0.6 and 0.6/0.4. We use time-domain thermoreflectance and the 3ω method to estimate the thermal resistance between the Ru capping layer and the Mo/Si multilayers (RRu-Mo/Si = 1.5 m2 K GW-1), as well as the out-of-plane thermal conductivity (kMo/Si 1.1 W m-1 K-1) and thermal anisotropy (η = 13). This work also reports the impact of annealing on thermal conduction in a co-deposited MoSi2 layer, increasing the thermal conductivity from 1.7 W m-1 K-1 in the amorphous phase to 2.8 W m-1 K-1 in the crystalline phase.

  5. Optical element for full spectral purity from IR-generated EUV light sources

    NASA Astrophysics Data System (ADS)

    van den Boogaard, A. J. R.; Louis, E.; van Goor, F. A.; Bijkerk, F.

    2009-03-01

    Laser produced plasma (LLP) sources are generally considered attractive for high power EUV production in next generation lithography equipment. Such plasmas are most efficiently excited by the relatively long, infrared wavelengths of CO2-lasers, but a significant part of the rotational-vibrational excitation lines of the CO2 radiation will be backscattered by the plasma's critical density surface and consequently will be present as parasitic radiation in the spectrum of such sources. Since most optical elements in the EUV collecting and imaging train have a high reflection coefficient for IR radiation, undesirable heating phenomena at the resist level are likely to occur. In this study a completely new principle is employed to obtain full separation of EUV and IR radiation from the source by a single optical component. While the application of a transmission filter would come at the expense of EUV throughput, this technique potentially enables wavelength separation without loosing reflectance compared to a conventional Mo/Si multilayer coated element. As a result this method provides full spectral purity from the source without loss in EUV throughput. Detailed calculations on the principal of functioning are presented.

  6. Sensitizers in EUV chemically amplified resist: mechanism of sensitivity improvement

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; Jiang, Jing; Yamamoto, Hiroki; De Simone, Danilo; Kozawa, Takahiro; De Gendt, Stefan; Vandenberghe, Geert

    2018-03-01

    EUV lithography utilizes photons with 91.6 eV energy to ionize resists, generate secondary electrons, and enable electron driven reactions that produce acid in chemically amplified photoresist. Efficiently using the available photons is of key importance. Unlike DUV lithography, where photons are selectively utilized by photoactive compounds, photons at 13.5nm wavelength ionize almost all materials. Nevertheless, specific elements have a significantly higher atomic photon-absorption cross section at 91.6 eV. To increase photon absorption, sensitizer molecules, containing highly absorbing elements, can be added to photoresist formulations. These sensitizers have gained growing attention in recent years, showing significant sensitivity improvement. But there are few experimental evidences that the sensitivity improvement is due to the higher absorption only, as adding metals salts into the resist formulation can induce other mechanisms, like modification of the dissolution rate, potentially affecting patterning performance. In this work, we used different sensitizers in chemically amplified resist. We measured experimentally the absorption of EUV light, the acid yield, the dissolution rate and the patterning performance of the resists. Surprisingly, the absorption of EUV resist was decreased with addition of metal salt sensitizers. Nevertheless, the resist with sensitizer showed a higher acid yield. Sensitizer helps achieving higher PAG conversion to acid, notably due to an increase of the secondary electron generation. Patterning data confirm a significant sensitivity improvement, but at the cost of roughness degradation at high sensitizer loading. This can be explained by the chemical distribution of the sensitizer in the resist combined with a modification of the dissolution contrast, as observed by Dissolution Rate Monitor.

  7. DUV or EUV: that is the question

    NASA Astrophysics Data System (ADS)

    Williamson, David M.

    2000-11-01

    Lord Rayleigh's well-known equations for resolution and depth of focus indicate that resolution is better improved by reducing the wavelength of light rather than by increasing the numerical aperture (NA) of the projection optics, particularly when NA is approaching its physical limit of 1.0 in air (or vacuum). Vector aerial image simulations of diffraction-limited Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) lithographic systems verify this simple view, even though Rayleigh's constants in Microlithography are not constant because of a variety of image enhancement techniques that attempt to compensate for the shortcomings of the aerial image when it is pushed to the limit. The aerial image is not the whole story, however. The competition between DUV and EUV systems will be decided more by economic and technological factors such as risk, time and cost of development and cost of ownership. These in turn depend on cost, availability and quality of light sources, refracting materials, photoresists and reticles.

  8. The Extreme Ultraviolet Spectrograph Sounding Rocket Payload: Recent Modifications for Planetary Observations in the EUV/FUV

    NASA Technical Reports Server (NTRS)

    Slater, David C.; Stern, S. Alan; Scherrer, John; Cash, Webster; Green, James C.; Wilkinson, Erik

    1995-01-01

    We report on the status of modifications to an existing extreme ultraviolet (EUV) telescope/spectrograph sounding rocket payload for planetary observations in the 800 - 1200 A wavelength band. The instrument is composed of an existing Wolter Type 2 grazing incidence telescope, a newly built 0.4-m normal incidence Rowland Circle spectrograph, and an open-structure resistive-anode microchannel plate detector. The modified payload has successfully completed three NASA sounding rocket flights within 1994-1995. Future flights are anticipated for additional studies of planetary and cometary atmospheres and interstellar absorption. A detailed description of the payload, along with the performance characteristics of the integrated instrument are presented. In addition, some preliminary flight results from the above three missions are also presented.

  9. Continued Analysis of EUVE Solar System Observations

    NASA Technical Reports Server (NTRS)

    Gladstone, G. Randall

    2001-01-01

    This is the final report for this project. We proposed to continue our work on extracting important results from the EUVE (Extreme UltraViolet Explorer) archive of lunar and jovian system observations. In particular, we planned to: (1) produce several monochromatic images of the Moon at the wavelengths of the brightest solar EUV emission lines; (2) search for evidence of soft X-ray emissions from the Moon and/or X-ray fluorescence at specific EUV wavelengths; (3) search for localized EUV and soft X-ray emissions associated with each of the Galilean satellites; (4) search for correlations between localized Io Plasma Torus (IPT) brightness and volcanic activity on Io; (5) search for soft X-ray emissions from Jupiter; and (6) determine the long term variability of He 58.4 nm emissions from Jupiter, and relate these to solar variability. However, the ADP review panel suggested that the work concentrate on the Jupiter/IPT observations, and provided half the requested funding. Thus we have performed no work on the first two tasks, and instead concentrated on the last three. In addition we used funds from this project to support reduction and analysis of EUVE observations of Venus. While this was not part of the original statement of work, it is entirely in keeping with extracting important results from EUVE solar system observations.

  10. EUVE GO Survey: High Levels of User Satisfaction

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.

    2000-12-01

    This paper describes the results of a detailed customer survey of Guest Observers (GOs) for NASA's Extreme Ultraviolet Explorer (EUVE) astronomy satellite observatory. The purpose of the research survey was to (1) measure the levels of GO customer satisfaction with respect to EUVE observing services, and (2) compare the observing experiences of EUVE GOs with their experiences using other satellite observatories. This survey was conducted as a business research project -- part of the author's graduate work as an MBA candidate. A total sample of 38 respondents, from a working population of 101 "active" EUVE GOs, participated in this survey. The results, which provided a profile of the "typical" EUVE GO, showed in a statistically significant fashion that these GOs were more than satisfied with the available EUVE observing services. In fact, the sample GOs generally rated their EUVE observing experiences to be better than average as compared to their experiences as GOs on other missions. These relatively high satisfaction results are particularly pleasing to the EUVE Project which, given its significantly reduced staffing environment at U.C. Berkeley, has continued to do more with less. This paper outlines the overall survey process: the relevant background and previous research, the survey design and methodology, and the final results and their interpretation. The paper also points out some general limitations and weaknesses of the study, along with some recommended actions for the EUVE Project and for NASA in general. This work was funded by NASA/UCB Cooperative Agreement NCC5-138.

  11. PECULIAR STATIONARY EUV WAVE FRONTS IN THE ERUPTION ON 2011 MAY 11

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra, R.; Fulara, A.; Chen, P. F.

    We present and interpret the observations of extreme ultraviolet (EUV) waves associated with a filament eruption on 2011 May 11. The filament eruption also produces a small B-class two ribbon flare and a coronal mass ejection. The event is observed by the Solar Dynamic Observatory with high spatio-temporal resolution data recorded by the Atmospheric Imaging Assembly. As the filament erupts, we observe two types of EUV waves (slow and fast) propagating outwards. The faster EUV wave has a propagation velocity of ∼500 km s{sup −1} and the slower EUV wave has an initial velocity of ∼120 km s{sup −1}. Wemore » report, for the first time, that not only does the slower EUV wave stop at a magnetic separatrix to form bright stationary fronts, but also the faster EUV wave transits a magnetic separatrix, leaving another stationary EUV front behind.« less

  12. Antimony photoresists for EUV lithography: mechanistic studies

    NASA Astrophysics Data System (ADS)

    Murphy, Michael; Narasimhan, Amrit; Grzeskowiak, Steven; Sitterly, Jacob; Schuler, Philip; Richards, Jeff; Denbeaux, Greg; Brainard, Robert L.

    2017-03-01

    We have developed a method to study the photomechanism of our antimony carboxylate platform R3Sb(COOR')2. A series of mechanistic studies followed the production of reaction byproducts by mass spectrometer, as they left the film during exposure to EUV photons and 80 eV electrons. We identified several prominent outgassing fragments and their rates of production as a function of ligand structure. The degree of outgassing appears to be well-correlated with the bond dissociation energy of the carboxylate ligand R' group. Furthermore, a deuterium labeling study was conducted to determine from which ligand hydrogen is abstracted to form benzene and phenol during exposure. Benzene and phenol were found to abstract hydrogen from opposing sites within the film, and with greater than 95% isotopic purity. Using the results of the outgassing studies alongside established mechanisms for electron-induced reactions; a series of reaction pathways were proposed to generate the aforementioned outgassing species and a possible nonvolatile negative-tone photoproduct.

  13. EUV Irradiance Inputs to Thermospheric Density Models: Open Issues and Path Forward

    NASA Astrophysics Data System (ADS)

    Vourlidas, A.; Bruinsma, S.

    2018-01-01

    One of the objectives of the NASA Living With a Star Institute on "Nowcasting of Atmospheric Drag for low Earth orbit (LEO) Spacecraft" was to investigate whether and how to increase the accuracy of atmospheric drag models by improving the quality of the solar forcing inputs, namely, extreme ultraviolet (EUV) irradiance information. In this focused review, we examine the status of and issues with EUV measurements and proxies, discuss recent promising developments, and suggest a number of ways to improve the reliability, availability, and forecast accuracy of EUV measurements in the next solar cycle.

  14. Thin film filter lifetesting results in the extreme ultraviolet

    NASA Technical Reports Server (NTRS)

    Vedder, P. W.; Vallerga, J. V.; Gibson, J. L.; Stock, J.; Siegmund, O. H. W.

    1993-01-01

    We present the results of the thin film filter lifetesting program conducted as part of the NASA Extreme Ultraviolet Explorer (EUVE) satellite mission. This lifetesting program is designed to monitor changes in the transmission and mechanical properties of the EUVE filters over the lifetime of the mission (fabrication, assembly, launch and operation). Witness test filters were fabricated from thin film foils identical to those used in the flight filters. The witness filters have been examined and calibrated periodically over the past seven years. The filters have been examined for evidence of pinholing, mechanical degradation, and oxidation. Absolute transmissions of the flight and witness filters have been measured in the extreme ultraviolet (EUV) over six orders of magnitude at numerous wavelengths using the Berkeley EUV Calibration Facility.

  15. Experience from the in-flight calibration of the Extreme Ultraviolet Explorer (EUVE) and Upper Atmosphere Research Satellite (UARS) fixed head star trackers (FHSTs)

    NASA Technical Reports Server (NTRS)

    Lee, Michael

    1995-01-01

    Since the original post-launch calibration of the FHSTs (Fixed Head Star Trackers) on EUVE (Extreme Ultraviolet Explorer) and UARS (Upper Atmosphere Research Satellite), the Flight Dynamics task has continued to analyze the FHST performance. The algorithm used for inflight alignment of spacecraft sensors is described and the equations for the errors in the relative alignment for the simple 2 star tracker case are shown. Simulated data and real data are used to compute the covariance of the relative alignment errors. Several methods for correcting the alignment are compared and results analyzed. The specific problems seen on orbit with UARS and EUVE are then discussed. UARS has experienced anomalous tracker performance on an FHST resulting in continuous variation in apparent tracker alignment. On EUVE, the FHST residuals from the attitude determination algorithm showed a dependence on the direction of roll during survey mode. This dependence is traced back to time tagging errors and the original post launch alignment is found to be in error due to the impact of the time tagging errors on the alignment algorithm. The methods used by the FDF (Flight Dynamics Facility) to correct for these problems is described.

  16. Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Kim, Jinsu; Lowe, Jeff; Dattilo, Davide; Koh, Soowan; Choi, Jun Yeol; Dietze, Uwe; Shoki, Tsutomu; Kim, Byung Gook; Jeon, Chan-Uk

    2015-10-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation.

  17. Energy deposition in ultrathin extreme ultraviolet resist films: extreme ultraviolet photons and keV electrons

    NASA Astrophysics Data System (ADS)

    Kyser, David F.; Eib, Nicholas K.; Ritchie, Nicholas W. M.

    2016-07-01

    The absorbed energy density (eV/cm3) deposited by extreme ultraviolet (EUV) photons and electron beam (EB) high-keV electrons is proposed as a metric for characterizing the sensitivity of EUV resist films. Simulations of energy deposition are used to calculate the energy density as a function of the incident aerial flux (EUV: mJ/cm2, EB: μC/cm2). Monte Carlo calculations for electron exposure are utilized, and a Lambert-Beer model for EUV absorption. The ratio of electron flux to photon flux which results in equivalent energy density is calculated for a typical organic chemically amplified resist film and a typical inorganic metal-oxide film. This ratio can be used to screen EUV resist materials with EB measurements and accelerate advances in EUV resist systems.

  18. Research in extreme ultraviolet and far ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Bowyer, C. S.

    1985-01-01

    The Far Ultraviolet imager (FUVI) was flown on the Aries class sounding rocket 24.015, producing outstanding results. The diffuse extreme ultraviolet (EUV) background spectrometer which is under construction is described. It will be launched on the Black Brant sounding rocket flight number 27.086. Ongoing design studies of a high resolution spectrometer are discussed. This instrument incorporates a one meter normal incidence mirror and will be suitable for an advanced Spartan mission.

  19. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  20. Galileo Ultraviolet Spectrometer experiment

    NASA Technical Reports Server (NTRS)

    Hord, C. W.; Mcclintock, W. E.; Stewart, A. I. F.; Barth, C. A.; Esposito, L. W.; Thomas, G. E.; Sandel, B. R.; Hunten, D. M.; Broadfoot, A. L.; Shemansky, D. E.

    1992-01-01

    The Galileo ultraviolet spectrometer experiment uses data obtained by the Ultraviolet Spectrometer (UVS) mounted on the pointed orbiter scan platform and from the Extreme Ultraviolet Spectrometer (EUVS) mounted on the spinning part of the orbiter with the field of view perpendicular to the spin axis. The UVS is a Ebert-Fastie design that covers the range 113-432 nm with a wavelength resolution of 0.7 nm below 190 and 1.3 nm at longer wavelengths. The UVS spatial resolution is 0.4 deg x 0.1 deg for illuminated disk observations and 1 deg x 0.1 deg for limb geometries. The EUVS is a Voyager design objective grating spectrometer, modified to cover the wavelength range from 54 to 128 nm with wavelength resolution 3.5 nm for extended sources and 1.5 nm for point sources and spatial resolution of 0.87 deg x 0.17 deg. The EUVS instrument will follow up on the many Voyager UVS discoveries, particularly the sulfur and oxygen ion emissions in the Io torus and molecular and atomic hydrogen auroral and airglow emissions from Jupiter. The UVS will obtain spectra of emission, absorption, and scattering features in the unexplored, by spacecraft, 170-432 nm wavelength region. The UVS and EUVS instruments will provide a powerful instrument complement to investigate volatile escape and surface composition of the Galilean satellites, the Io plasma torus, micro- and macro-properties of the Jupiter clouds, and the composition structure and evolution of the Jupiter upper atmosphere.

  1. Flat-field anastigmatic mirror objective for high-magnification extreme ultraviolet microscopy

    NASA Astrophysics Data System (ADS)

    Toyoda, Mitsunori

    2015-08-01

    To apply high-definition microscopy to the extreme ultraviolet (EUV) region in practice, i.e. to enable in situ observation of living tissue and the at-wavelength inspection of lithography masks, we constructed a novel reflective objective made of three multilayer mirrors. This objective is configured as a two-stage imaging system made of a Schwarzschild two-mirror system as the primary objective and an additional magnifier with a single curved mirror. This two-stage configuration can provide a high magnification of 1500, which is suitable for real-time observation with an EUV charge coupled device (CCD) camera. Besides, since off-axis aberrations can be corrected by the magnifier, which provides field flattener optics, we are able to configure the objective as a flat-field anastigmatic system, in which we will have a diffraction-limited spatial resolution over a large field-of-view. This paper describes in detail the optical design of the present objective. After calculating the closed-form equations representing the third-order aberrations of the objective, we apply these equations to practical design examples with a numerical aperture of 0.25 and an operation wavelength of 13.5 nm. We also confirm the imaging performances of this novel design by using the numerical ray-tracing method.

  2. Novel EUV mask black border and its impact on wafer imaging

    NASA Astrophysics Data System (ADS)

    Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Watanabe, Genta; Ito, Shin; Yoshida, Itaru; Maruyama, Shingo; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-03-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.

  3. SoFAST: Automated Flare Detection with the PROBA2/SWAP EUV Imager

    NASA Astrophysics Data System (ADS)

    Bonte, K.; Berghmans, D.; De Groof, A.; Steed, K.; Poedts, S.

    2013-08-01

    The Sun Watcher with Active Pixels and Image Processing (SWAP) EUV imager onboard PROBA2 provides a non-stop stream of coronal extreme-ultraviolet (EUV) images at a cadence of typically 130 seconds. These images show the solar drivers of space-weather, such as flares and erupting filaments. We have developed a software tool that automatically processes the images and localises and identifies flares. On one hand, the output of this software tool is intended as a service to the Space Weather Segment of ESA's Space Situational Awareness (SSA) program. On the other hand, we consider the PROBA2/SWAP images as a model for the data from the Extreme Ultraviolet Imager (EUI) instrument prepared for the future Solar Orbiter mission, where onboard intelligence is required for prioritising data within the challenging telemetry quota. In this article we present the concept of the software, the first statistics on its effectiveness and the online display in real time of its results. Our results indicate that it is not only possible to detect EUV flares automatically in an acquired dataset, but that quantifying a range of EUV dynamics is also possible. The method is based on thresholding of macropixelled image sequences. The robustness and simplicity of the algorithm is a clear advantage for future onboard use.

  4. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, S. D.; Kuehne, M.; Lemaire, P.; Marsch, E.

    1992-01-01

    The experiment Solar Ultraviolet Measurements of Emitted Radiation (SUMER) is designed for the investigations of plasma flow characteristics, turbulence and wave motions, plasma densities and temperatures, structures and events associated with solar magnetic activity in the chromosphere, the transition zone and the corona. Specifically, SUMER will measure profiles and intensities of Extreme Ultraviolet (EUV) lines emitted in the solar atmosphere ranging from the upper chromosphere to the lower corona; determine line broadenings, spectral positions and Doppler shifts with high accuracy, provide stigmatic images of selected areas of the Sun in the EUV with high spatial, temporal and spectral resolution and obtain full images of the Sun and the inner corona in selectable EUV lines, corresponding to a temperature from 10,000 to more than 1,800,000 K.

  5. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  6. Characterization and control of EUV scanner dose uniformity and stability

    NASA Astrophysics Data System (ADS)

    Robinson, Chris; Corliss, Dan; Meli, Luciana; Johnson, Rick

    2018-03-01

    The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability. Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.

  7. Reconstruction of Solar EUV Flux 1740-2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, L.

    2015-12-01

    Solar Extreme Ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo ionization of molecular Oxygen. Solar heating of the ionosphere creates thermal winds which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and sets with the Sun and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us the deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the 'Magnetic Crusade' of the 1830s and less reliable, but still usable, data are available for portions of the hundred years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F10.7 flux and the sunspot number, and find that the reconstructed EUV flux reproduces the F10.7 flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant 'solar magnetic ground state'.

  8. Measurement of EUV lithography pupil amplitude and phase variation via image-based methodology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Levinson, Zachary; Verduijn, Erik; Wood, Obert R.

    2016-04-01

    Here, an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function is presented. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We seek to examine the behavior of pupil amplitude variation in real-optical systems. Optimized target images were captured under several conditions to fit the resulting pupil responses. Both the amplitude and phase components of the pupil function were extracted from a zone-plate-based EUV mask microscope. The pupil amplitude variation was expanded in three different bases: Zernike polynomials,more » Legendre polynomials, and Hermite polynomials. It was found that the Zernike polynomials describe pupil amplitude variation most effectively of the three.« less

  9. Solar Imaging UV/EUV Spectrometers Using TVLS Gratings

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2003-01-01

    It is a particular challenge to develop a stigmatic spectrograph for UV, EUV wavelengths since the very low normal-incidence reflectance of standard materials most often requires that the design be restricted to a single optical element which must simultaneously provide both reimaging and spectral dispersion. This problem has been solved in the past by the use of toroidal gratings with uniform line-spaced rulings (TULS). A number of solar extreme ultraviolet (EUV) spectrometers have been based on such designs, including SOHO/CDS, Solar-B/EIS, and the sounding rockets Solar Extreme ultraviolet Research Telescope and Spectrograph (SERTS) and Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS). More recently, Kita, Harada, and collaborators have developed the theory of spherical gratings with varied line-space rulings (SVLS) operated at unity magnification, which have been flown on several astronomical satellite missions. We now combine these ideas into a spectrometer concept that puts varied-line space rulings onto toroidal gratings. Such TVLS designs are found to provide excellent imaging even at very large spectrograph magnifications and beam-speeds, permitting extremely high-quality performance in remarkably compact instrument packages. Optical characteristics of three new solar spectrometers based on this concept are described: SUMI and RAISE, two sounding rocket payloads, and NEXUS, currently being proposed as a Small-Explorer (SMEX) mission.

  10. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    NASA Astrophysics Data System (ADS)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  11. Multi-trigger resist patterning with ASML NXE3300 EUV scanner

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; McClelland, Alexandra; De Simone, Danilo; Popescu, Carmen; Dawson, Guy; Roth, John; Theis, Wolfgang; Vandenberghe, Geert; Robinson, Alex P. G.

    2018-03-01

    Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist (MTR) is a negative tone crosslinking resist that does not need a post exposure bake (PEB), and during the past years, has been mainly tested using interference lithography at PSI. In this study, we present the results that have been obtained using MTR resists, performing EUV exposures on ASML NXE3300B EUV scanner at IMEC. We present the lithography performance of the MTR1 resist series in two formulations - a high-speed baseline, and a formulation designed to enhance the multi-trigger behaviour. Additionally, we present results for the MTR2 resist series, which has been designed for lower line edge roughness. The high-speed baseline resist (MTR1), showed 18 nm resolution at 20mJ/cm2. The MTR2 resist shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm. Performance across multiple process conditions are discussed. We performed etch rate measurement and the multi-trigger resist showed etch resistance equivalent or better than standard chemically amplified resist. This could compensate for the lower film thickness required to avoid pattern collapse at pitch 32nm.

  12. Method for the manufacture of phase shifting masks for EUV lithography

    DOEpatents

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  13. Compact 2D OPC modeling of a metal oxide EUV resist for a 7nm node BEOL layer

    NASA Astrophysics Data System (ADS)

    Lyons, Adam; Rio, David; Lee, Sook; Wallow, Thomas; Delorme, Maxence; Fumar-Pici, Anita; Kocsis, Michael; de Schepper, Peter; Greer, Michael; Stowers, Jason K.; Gillijns, Werner; De Simone, Danilo; Bekaert, Joost

    2017-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a high-resolution photoresist for EUV lithography1. In this contribution, we describe a Tachyon 2D OPC full-chip model for an Inpria resist as applied to an N7 BEOL block mask application.

  14. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    NASA Astrophysics Data System (ADS)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  15. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  16. Separating the optical contributions to line-edge roughness in EUV lithography using stochastic simulations

    NASA Astrophysics Data System (ADS)

    Chunder, Anindarupa; Latypov, Azat; Chen, Yulu; Biafore, John J.; Levinson, Harry J.; Bailey, Todd

    2017-03-01

    Minimization and control of line-edge roughness (LER) and contact-edge roughness (CER) is one of the current challenges limiting EUV line-space and contact hole printability. One significant contributor to feature roughness and CD variability in EUV is photon shot noise (PSN); others are the physical and chemical processes in photoresists, known as resist stochastic effect. Different approaches are available to mitigate each of these contributions. In order to facilitate this mitigation, it is important to assess the magnitude of each of these contributions separately from others. In this paper, we present and test a computational approach based on the concept of an `ideal resist'. An ideal resist is assumed to be devoid of all resist stochastic effects. Hence, such an ideal resist can only be simulated as an `ideal resist model' (IRM) through explicit utilization of the Poisson statistics of PSN2 or direct Monte Carlo simulation of photon absorption in resist. LER estimated using IRM, thus quantifies the exclusive contribution of PSN to LER. The result of the simulation study done using IRM indicates higher magnitude of contribution (60%) from PSN to LER with respect to total or final LER for a sufficiently optimized high dose `state of the art' EUV chemically amplified resist (CAR) model.

  17. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    PubMed

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  18. Nanoscale inhomogeneity and photoacid generation dynamics in extreme ultraviolet resist materials

    NASA Astrophysics Data System (ADS)

    Wu, Ping-Jui; Wang, Yu-Fu; Chen, Wei-Chi; Wang, Chien-Wei; Cheng, Joy; Chang, Vencent; Chang, Ching-Yu; Lin, John; Cheng, Yuan-Chung

    2018-03-01

    The development of extreme ultraviolet (EUV) lithography towards the 22 nm node and beyond depends critically on the availability of resist materials that meet stringent control requirements in resolution, line edge roughness, and sensitivity. However, the molecular mechanisms that govern the structure-function relationships in current EUV resist systems are not well understood. In particular, the nanoscale structures of the polymer base and the distributions of photoacid generators (PAGs) should play a critical roles in the performance of a resist system, yet currently available models for photochemical reactions in EUV resist systems are exclusively based on homogeneous bulk models that ignore molecular-level details of solid resist films. In this work, we investigate how microscopic molecular organizations in EUV resist affect photoacid generations in a bottom-up approach that describes structure-dependent electron-transfer dynamics in a solid film model. To this end, molecular dynamics simulations and stimulated annealing are used to obtain structures of a large simulation box containing poly(4-hydroxystyrene) (PHS) base polymers and triphenylsulfonium based PAGs. Our calculations reveal that ion-pair interactions govern the microscopic distributions of the polymer base and PAG molecules, resulting in a highly inhomogeneous system with nonuniform nanoscale chemical domains. Furthermore, the theoretical structures were used in combination of quantum chemical calculations and the Marcus theory to evaluate electron transfer rates between molecular sites, and then kinetic Monte Carlo simulations were carried out to model electron transfer dynamics with molecular structure details taken into consideration. As a result, the portion of thermalized electrons that are absorbed by the PAGs and the nanoscale spatial distribution of generated acids can be estimated. Our data reveal that the nanoscale inhomogeneous distributions of base polymers and PAGs strongly affect the

  19. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NASA Astrophysics Data System (ADS)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  20. Understanding the Early Evolution of M dwarf Extreme Ultraviolet Radiation

    NASA Astrophysics Data System (ADS)

    Peacock, Sarah; Barman, Travis; Shkolnik, Evgenya

    2015-11-01

    The chemistry and evolution of planetary atmospheres depends on the evolution of high-energy radiation emitted by its host star. High levels of extreme ultraviolet (EUV) radiation can drastically alter the atmospheres of terrestrial planets through ionizing, heating, expanding, chemically modifying and eroding them during the first few billion years of a planetary lifetime. While there is evidence that stars emit their highest levels of far and near ultraviolet (FUV; NUV) radiation in the earliest stages of their evolution, we are currently unable to directly measure the EUV radiation. Most previous stellar atmosphere models under-predict FUV and EUV emission from M dwarfs; here we present new models for M stars that include prescriptions for the hot, lowest density atmospheric layers (chromosphere, transition region and corona), from which this radiation is emitted. By comparing our model spectra to GALEX near and far ultraviolet fluxes, we are able to predict the evolution of EUV radiation for M dwarfs from 10 Myr to a few Gyr. This research is the next major step in the HAZMAT (HAbitable Zones and M dwarf Activity across Time) project to analyze how the habitable zone evolves with the evolving properties of stellar and planetary atmospheres.

  1. Compensation of flare-induced CD changes EUVL

    DOEpatents

    Bjorkholm, John E [Pleasanton, CA; Stearns, Daniel G [Los Altos, CA; Gullikson, Eric M [Oakland, CA; Tichenor, Daniel A [Castro Valley, CA; Hector, Scott D [Oakland, CA

    2004-11-09

    A method for compensating for flare-induced critical dimensions (CD) changes in photolithography. Changes in the flare level results in undesirable CD changes. The method when used in extreme ultraviolet (EUV) lithography essentially eliminates the unwanted CD changes. The method is based on the recognition that the intrinsic level of flare for an EUV camera (the flare level for an isolated sub-resolution opaque dot in a bright field mask) is essentially constant over the image field. The method involves calculating the flare and its variation over the area of a patterned mask that will be imaged and then using mask biasing to largely eliminate the CD variations that the flare and its variations would otherwise cause. This method would be difficult to apply to optical or DUV lithography since the intrinsic flare for those lithographies is not constant over the image field.

  2. Contamination Effects on EUV Optics

    NASA Technical Reports Server (NTRS)

    Tveekrem, J.

    1999-01-01

    During ground-based assembly and upon exposure to the space environment, optical surfaces accumulate both particles and molecular condensibles, inevitably resulting in degradation of optical instrument performance. Currently, this performance degradation (and the resulting end-of-life instrument performance) cannot be predicted with sufficient accuracy using existing software tools. Optical design codes exist to calculate instrument performance, but these codes generally assume uncontaminated optical surfaces. Contamination models exist which predict approximate end-of-life contamination levels, but the optical effects of these contamination levels can not be quantified without detailed information about the optical constants and scattering properties of the contaminant. The problem is particularly pronounced in the extreme ultraviolet (EUV, 300-1,200 A) and far (FUV, 1,200-2,000 A) regimes due to a lack of data and a lack of knowledge of the detailed physical and chemical processes involved. Yet it is in precisely these wavelength regimes that accurate predictions are most important, because EUV/FUV instruments are extremely sensitive to contamination.

  3. The Extreme Ultraviolet Explorer mission - Overview and initial results

    NASA Technical Reports Server (NTRS)

    Haisch, B.; Bowyer, S.; Malina, R. F.

    1993-01-01

    The history of extreme ultraviolet (EUV) astronomy is briefly reviewed, and an overview of the Extreme Ultraviolet Explorer mission, launched into a near-earth (550 km) orbit on June 7, 1992, is presented. First, the principal objective of the mission are summarized. The instrumentation and operation of the mission are then described, with particular attention given to the sky survey instruments, the deep survey instrument, and the spectrometers. The discussion also covers the current view of the interstellar medium, early results from the mission, and future prospects for EUV astronomy.

  4. Ultraviolet Views of Enceladus, Tethys, and Dione

    NASA Technical Reports Server (NTRS)

    Hansen, C. J.; Hendrix, A. R.

    2005-01-01

    The Cassini Ultraviolet Imaging Spectrograph (UVIS) has collected ultraviolet observations of many of Saturn's icy moons since Cassini's insertion into orbit around Saturn. We will report on results from Enceladus, Tethys and Dione, orbiting in the Saturn system at distances of 3.95, 4.88 and 6.26 Saturn radii, respectively. Icy satellite science objectives of the UVIS include investigations of surface age and evolution, surface composition and chemistry, and tenuous exospheres. We address these objectives by producing albedo maps, and reflection and emission spectra, and observing stellar occultations. UVIS has four channels: EUV: Extreme Ultraviolet (55 nm to 110 nm), FUV: Far Ultraviolet (110 to 190 nm), HSP: High Speed Photometer, and HDAC: Hydrogen-Deuterium Absorption Cell. The EUV and FUV spectrographs image onto a 2-dimensional detector, with 64 spatial rows by 1024 spectral columns. To-date we have focused primarily on the far ultraviolet data acquired with the low resolution slit width (4.8 angstrom spectral resolution). Additional information is included in the original extended abstract.

  5. Illumination system design for a three-aspherical-mirror projection camera for extreme-ultraviolet lithography.

    PubMed

    Li, Y; Kinoshita, H; Watanabe, T; Irie, S; Shirayone, S; Okazaki, S

    2000-07-01

    A scanning critical illumination system is designed to couple a synchrotron radiation source to a three-aspherical-mirror imaging system for extreme ultraviolet lithography. A static illumination area of H x V = 8 mm x 3 mm (where H is horizontal and V is vertical) can be obtained. Uniform intensity distribution and a large ring field of H x V = 150 mm x 3 mm can be achieved by scanning of the mirror of the condenser. The coherence factor (sigma) of this illumination system is approximately 0.6, with the same beam divergence in both the horizontal and the vertical directions. We describe the performance of the imaging optics at sigma = 0.6 to confirm that the illumination optics can meet the requirements for three-aspherical-mirror imaging optics with a feature size of 0.06 microm.

  6. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  7. Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography

    DOEpatents

    Stearns, Daniel G [Los Altos, CA; Sweeney, Donald W [San Ramon, CA; Mirkarimi, Paul B [Sunol, CA

    2004-11-23

    A method is provided for repairing defects in a multilayer coating layered onto a reticle blank used in an extreme ultraviolet lithography (EUVL) system. Using high lateral spatial resolution, energy is deposited in the multilayer coating in the vicinity of the defect. This can be accomplished using a focused electron beam, focused ion beam or a focused electromagnetic radiation. The absorbed energy will cause a structural modification of the film, producing a localized change in the film thickness. The change in film thickness can be controlled with sub-nanometer accuracy by adjusting the energy dose. The lateral spatial resolution of the thickness modification is controlled by the localization of the energy deposition. The film thickness is adjusted locally to correct the perturbation of the reflected field. For example, when the structural modification is a localized film contraction, the repair of a defect consists of flattening a mound or spreading out the sides of a depression.

  8. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE PAGES

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.; ...

    2018-02-05

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  9. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  10. A stand-alone compact EUV microscope based on gas-puff target source.

    PubMed

    Torrisi, Alfio; Wachulak, Przemyslaw; Węgrzyński, Łukasz; Fok, Tomasz; Bartnik, Andrzej; Parkman, Tomáš; Vondrová, Šárka; Turňová, Jana; Jankiewicz, Bartłomiej J; Bartosewicz, Bartosz; Fiedorowicz, Henryk

    2017-02-01

    We report on a very compact desk-top transmission extreme ultraviolet (EUV) microscope based on a laser-plasma source with a double stream gas-puff target, capable of acquiring magnified images of objects with a spatial (half-pitch) resolution of sub-50 nm. A multilayer ellipsoidal condenser is used to focus and spectrally narrow the radiation from the plasma, producing a quasi-monochromatic EUV radiation (λ = 13.8 nm) illuminating the object, whereas a Fresnel zone plate objective forms the image. Design details, development, characterization and optimization of the EUV source and the microscope are described and discussed. Test object and other samples were imaged to demonstrate superior resolution compared to visible light microscopy. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  11. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  12. ``Big Bang" for NASA's Buck: Nearly Three Years of EUVE Mission Operations at UCB

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.; Nevitt, R.; McDonald, K. E.; Cullison, J.; Malina, R. F.

    1999-12-01

    After over seven years in orbit, NASA's Extreme Ultraviolet Explorer (EUVE) satellite continues to perform flawlessly and with no significant loss of science capabilities. EUVE continues to produce important and exciting science results and, with reentry not expected until 2003-2004, many more such discoveries await. In the nearly three years since the outsourcing of EUVE from NASA's Goddard Space Flight Center, the small EUVE operations team at the University of California at Berkeley (UCB) has successfully conducted all aspects of the EUVE mission -- from satellite operations, science and mission planning, and data processing, delivery, and archival, to software support, systems administration, science management, and overall mission direction. This paper discusses UCB's continued focus on automation and streamlining, in all aspects of the Project, as the means to maximize EUVE's overall scientific productivity while minimizing costs. Multitasking, non-traditional work roles, and risk management have led to expanded observing capabilities while achieving significant cost reductions and maintaining the mission's historical 99 return. This work was funded under NASA Cooperative Agreement NCC5-138.

  13. EUV observation from the Earth-orbiting satellite, EXCEED

    NASA Astrophysics Data System (ADS)

    Yoshioka, K.; Murakami, G.; Yoshikawa, I.; Ueno, M.; Uemizu, K.; Yamazaki, A.

    2010-01-01

    An Earth-orbiting small satellite “EXtreme ultraviolet spectrosCope for ExosphEric Dynamics” (EXCEED) which will be launched in 2012 is under development. The mission will carry out spectroscopic and imaging observation of EUV (Extreme Ultraviolet: 60-145 nm) emissions from tenuous plasmas around the planets (Venus, Mars, Mercury, and Jupiter). It is essential for EUV observation to put on an observing site outside the Earth’s atmosphere to avoid the absorption. It is also essential that the detection efficiency must be very high in order to catch the faint signals from those targets. In this mission, we employ cesium iodide coated microchannel plate as a 2 dimensional photon counting devise which shows 1.5-50 times higher quantum detection efficiency comparing with the bared one. We coat the surface of the grating and entrance mirror with silicon carbides by the chemical vapor deposition method in order to archive the high diffraction efficiency and reflectivity. The whole spectrometer is shielded by the 2 mm thick stainless steel to prevent the contamination caused by the high energy electrons from the inner radiation belt. In this paper, we will introduce the mission overview, its instrument, and their performance.

  14. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    NASA Astrophysics Data System (ADS)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  15. Studying electron-PAG interactions using electron-induced fluorescence

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ostrander, Jonathan; Schad, Jonathon; Rebeyev, Eliran; Neisser, Mark; Ocola, Leonidas E.; Denbeaux, Gregory; Brainard, Robert L.

    2016-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Typical EUV resists are organic-based and chemically amplified using photoacid generators (PAGs). Upon exposure, PAGs produce acids which catalyze reactions that result in changes in solubility. In EUV lithography, photo- and secondary electrons (energies of 10- 80 eV) play a large role in PAG acid-production. Several mechanisms for electron-PAG interactions (e.g. electron trapping, and hole-initiated chemistry) have been proposed. The aim of this study is to explore another mechanism - internal excitation - in which a bound PAG electron can be excited by receiving energy from another energetic electron, causing a reaction that produces acid. This paper explores the mechanism of internal excitation through the analogous process of electron-induced fluorescence, in which an electron loses energy by transferring that energy to a molecule and that molecule emits a photon rather than decomposing. We will show and quantify electron-induced fluorescence of several fluorophores in polymer films to mimic resist materials, and use this information to refine our proposed mechanism. Relationships between the molecular structure of fluorophores and fluorescent quantum yield may aid in the development of novel PAGs for EUV lithography.

  16. Physical resist models and their calibration: their readiness for accurate EUV lithography simulation

    NASA Astrophysics Data System (ADS)

    Klostermann, U. K.; Mülders, T.; Schmöller, T.; Lorusso, G. F.; Hendrickx, E.

    2010-04-01

    In this paper, we discuss the performance of EUV resist models in terms of predictive accuracy, and we assess the readiness of the corresponding model calibration methodology. The study is done on an extensive OPC data set collected at IMEC for the ShinEtsu resist SEVR-59 on the ASML EUV Alpha Demo Tool (ADT), with the data set including more than thousand CD values. We address practical aspects such as the speed of calibration and selection of calibration patterns. The model is calibrated on 12 process window data series varying in pattern width (32, 36, 40 nm), orientation (H, V) and pitch (dense, isolated). The minimum measured feature size at nominal process condition is a 32 nm CD at a dense pitch of 64 nm. Mask metrology is applied to verify and eventually correct nominal width of the drawn CD. Cross-sectional SEM information is included in the calibration to tune the simulated resist loss and sidewall angle. The achieved calibration RMS is ~ 1.0 nm. We show what elements are important to obtain a well calibrated model. We discuss the impact of 3D mask effects on the Bossung tilt. We demonstrate that a correct representation of the flare level during the calibration is important to achieve a high predictability at various flare conditions. Although the model calibration is performed on a limited subset of the measurement data (one dimensional structures only), its accuracy is validated based on a large number of OPC patterns (at nominal dose and focus conditions) not included in the calibration; validation RMS results as small as 1 nm can be reached. Furthermore, we study the model's extendibility to two-dimensional end of line (EOL) structures. Finally, we correlate the experimentally observed fingerprint of the CD uniformity to a model, where EUV tool specific signatures are taken into account.

  17. Studies of Solar EUV Irradiance from SOHO

    NASA Technical Reports Server (NTRS)

    Floyd, Linton

    2002-01-01

    The Extreme Ultraviolet (EUV) irradiance central and first order channel time series (COC and FOC) from the Solar EUV Monitor aboard the Solar and Heliospheric observatory (SOHO) issued in early 2002 covering the time period 1/1/96-31/1201 were analyzed in terms of other solar measurements and indices. A significant solar proton effect in the first order irradiance was found and characterized. When this effect is removed, the two irradiance time series are almost perfectly correlated. Earlier studies have shown good correlation between the FOC and the Hall core-to-wing ratio and likewise, it was the strongest component of the COC. Analysis of the FOC showed dependence on the F10.7 radio flux. Analysis of the CDC signals showed additional dependences on F10.7 and the GOES x-ray fluxes. The SEM FOC was also well correlated with thein 30.4 nm channel of the SOHO EUV Imaging Telescope (EIT). The irradiance derived from all four EIT channels (30.4 nm, 17.1 nm, 28.4 nm, and 19.5 nm) showed better correlation with MgII than F10.7.

  18. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  19. Spectroscopy and Photometry of EUVE J1429-38.0:An Eclipsing Magnetic Cataclysmic Variable

    NASA Astrophysics Data System (ADS)

    Howell, Steve B.; Craig, Nahide; Roberts, Bryce; McGee, Paddy; Sirk, Martin

    1997-06-01

    EUVE J1429-38.0 was originally discovered as a variable source by the Extreme Ultraviolet Explorer (EUVE) satellite. We present new optical observations which unambiguously confirm this star to be an eclipsing magnetic system with an orbital period of 4() h 46() m. The photometric data are strongly modulated by ellipsoidal variations during low states which allow a system inclination of near 80 degrees to be determined. Our time-resolved optical spectra, which cover only about one-third of the orbital cycle, indicate the clear presence of a gas stream. During high states, EUVE J1429-38.0 shows ~ 1 mag deep eclipses and the apparent formation of a partial accretion disk. EUVE J1429-38.0 presents the observer with properties of both the AM Herculis and the DQ Herculis types of magnetic cataclysmic variable.

  20. Initial results from the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1993-01-01

    Data obtained during the first five months of calibration and science operation of the Extreme Ultraviolet Explorer (EUVE) are presented. Spectra of an extragalactic object were obtained; the object is detectable to wavelenghts longer than 100 A, demonstrating that extragalactic EUV astronomy is possible. Spectra of a hot white dwarf, and a late-type star in quiescence and flaring are shown as examples of the type of spectrographic data obtainable with EUVE. Other objects for which broad band photometric mode data have been obtained and analyzed include an RS CVn star and several late-type stars. The backgrounds in the EUVE detectors are quite low and the character of the diffuse astronomical EUV background has been investigated using these very low rates. Evidence is presented showing that, contrary to previously published reports, EUVE is about three times more sensitive than the English Wide Field Camera in the short wavelength bandpass covered by both instruments. Only limited information has been extracted from the longer bandpasses coered only by EUVE. Nonetheless, the brightest EUV source in the sky, a B star, has been discovered and is detected only in these longer bandpasses.

  1. Extreme Ultraviolet Explorer Science Operation Center

    NASA Technical Reports Server (NTRS)

    Wong, G. S.; Kronberg, F. A.; Meriwether, H. D.; Wong, L. S.; Grassi, C. L.

    1993-01-01

    The EUVE Science Operations Center (ESOC) is a satellite payload operations center for the Extreme Ultraviolet Explorer project, located on the Berkeley campus of the University of California. The ESOC has the primary responsibility for commanding the EUVE telescopes and monitoring their telemetry. The ESOC is one of a very few university-based satellite operations facilities operating with NASA. This article describes the history, operation, and advantages of the ESOC as an on-campus operations center.

  2. Extreme ultraviolet spectral irradiance measurements since 1946

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  3. Prototype through-pellicle coherent imaging using a 30nm tabletop EUV source

    NASA Astrophysics Data System (ADS)

    Bevis, Charles S.; Karl, Robert M.; Wang, Bin; Esashi, Yuka; Tanksalvala, Michael; Porter, Christina L.; Johnsen, Peter; Adams, Daniel E.; Murnane, Margaret M.; Kapteyn, Henry C.

    2018-03-01

    We present preliminary through-pellicle imaging using a 30nm tabletop extreme ultraviolet (EUV) coherent diffractive imaging microscope. We show that even in a non-optimized setup, this technique enables through-pellicle imaging of a sample with no detectable impact on image fidelity or resolution.

  4. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  5. The Origin of the EUV Emission in Her X-1

    NASA Technical Reports Server (NTRS)

    Leahy, D. A.; Marshall, H.

    1999-01-01

    Her X-1 exhibits a strong orbital modulation of its EUV flux with a large decrease around time of eclipse of the neutron star, and a significant dip which appears at different orbital phases at different 35-day phases. We consider observations of Her X-1 in the EUVE by the Extreme Ultraviolet Explorer (EUVE), which includes data from 1995 near the end of the Short High state, and date from 1997 at the start of the Short High state. The observed EUV lightcurve has bright and faint phases. The bright phase can be explained as the low energy tail of the soft x-ray pulse. The faint phase emission has been modeled to understand its origin. We find: the x-ray heated surface of HZ Her is too cool to produce enough emission; the accretion disk does not explain the orbital modulation; however, reflection of x-rays off of HZ Her can produce the observed lightcurve with orbital eclipses. The dip can be explained by shadowing of the companion by the accretion disk. We discuss the constraints on the accretion disk geometry derived from the observed shadowing.

  6. Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing

    NASA Astrophysics Data System (ADS)

    Kim, Jungkwun; Yoon, Yong-Kyu

    2015-07-01

    A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.

  7. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    while providing cleaning rates suitable for EUV lithography operations.

  8. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    while providing cleaning rates suitable for EUV lithography operations.

  9. Extreme ultraviolet interferometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.

    EUV lithography is a promising and viable candidate for circuit fabrication with 0.1-micron critical dimension and smaller. In order to achieve diffraction-limited performance, all-reflective multilayer-coated lithographic imaging systems operating near 13-nm wavelength and 0.1 NA have system wavefront tolerances of 0.27 nm, or 0.02 waves RMS. Owing to the highly-sensitive resonant reflective properties of multilayer mirrors and extraordinarily tight tolerances set forth for their fabrication, EUV optical systems require at-wavelength EUV interferometry for final alignment and qualification. This dissertation discusses the development and successful implementation of high-accuracy EUV interferometric techniques. Proof-of-principle experiments with a prototype EUV point-diffraction interferometer for themore » measurement of Fresnel zoneplate lenses first demonstrated sub-wavelength EUV interferometric capability. These experiments spurred the development of the superior phase-shifting point-diffraction interferometer (PS/PDI), which has been implemented for the testing of an all-reflective lithographic-quality EUV optical system. Both systems rely on pinhole diffraction to produce spherical reference wavefronts in a common-path geometry. Extensive experiments demonstrate EUV wavefront-measuring precision beyond 0.02 waves RMS. EUV imaging experiments provide verification of the high-accuracy of the point-diffraction principle, and demonstrate the utility of the measurements in successfully predicting imaging performance. Complementary to the experimental research, several areas of theoretical investigation related to the novel PS/PDI system are presented. First-principles electromagnetic field simulations of pinhole diffraction are conducted to ascertain the upper limits of measurement accuracy and to guide selection of the pinhole diameter. Investigations of the relative merits of different PS/PDI configurations accompany a general study of the most significant

  10. Solar EUV Irradiance Measurements by the Auto-Calibrating EUV Spectrometers (SolACES) Aboard the International Space Station (ISS)

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Nikutowski, B.; Jacobi, C.; Brunner, R.; Erhardt, C.; Knecht, S.; Scherle, J.; Schlagenhauf, J.

    2014-05-01

    SolACES is part of the ESA SOLAR ISS mission that started aboard the shuttle mission STS-122 on 7 February 2008. The instrument has recorded solar extreme ultraviolet (EUV) irradiance from 16 to 150 nm during the extended solar activity minimum and the beginning solar cycle 24 with rising solar activity and increasingly changing spectral composition. The SOLAR mission has been extended from a period of 18 months to > 8 years until the end of 2016. SolACES is operating three grazing incidence planar grating spectrometers and two three-current ionization chambers. The latter ones are considered as primary radiometric detector standards. Re-filling the ionization chambers with three different gases repeatedly and using overlapping band-pass filters, the absolute EUV fluxes are derived in these spectral intervals. This way the serious problem of continuing efficiency changes in space-borne instrumentation is overcome during the mission. Evaluating the three currents of the ionization chambers, the overlapping spectral ranges of the spectrometers and of the filters plus inter-comparing the results from the EUV photon absorption in the gases with different absorption cross sections, there are manifold instrumental possibilities to cross-check the results providing a high degree of reliability to the spectral irradiance derived. During the mission a very strong up-and-down variability of the spectrometric efficiency by orders of magnitude is observed. One of the effects involved is channeltron degradation. However, there are still open questions on other effects contributing to these changes. A survey of the measurements carried out and first results of the solar spectral irradiance (SSI) data are presented. Inter-comparison with EUV data from other space missions shows good agreement such that the international effort has started to elaborate a complete set of EUV-SSI data taking into account all data available from 2008 to 2013.

  11. Overview of Key Results from SDO Extreme ultraviolet Variability Experiment (EVE)

    NASA Astrophysics Data System (ADS)

    Woods, Tom; Eparvier, Frank; Jones, Andrew; Mason, James; Didkovsky, Leonid; Chamberlin, Phil

    2016-10-01

    The SDO Extreme ultraviolet Variability Experiment (EVE) includes several channels to observe the solar extreme ultraviolet (EUV) spectral irradiance from 1 to 106 nm. These channels include the Multiple EUV Grating Spectrograph (MEGS) A, B, and P channels from the University of Colorado (CU) and the EUV SpectroPhometer (ESP) channels from the University of Southern California (USC). The solar EUV spectrum is rich in many different emission lines from the corona, transition region, and chromosphere. The EVE full-disk irradiance spectra are important for studying the solar impacts in Earth's ionosphere and thermosphere and are useful for space weather operations. In addition, the EVE observations, with its high spectral resolution of 0.1 nm and in collaboration with AIA solar EUV images, have proven valuable for studying active region evolution and explosive energy release during flares and coronal eruptions. These SDO measurements have revealed interesting results such as understanding the flare variability over all wavelengths, discovering and classifying different flare phases, using coronal dimming measurements to predict CME properties of mass and velocity, and exploring the role of nano-flares in continual heating of active regions.

  12. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  13. Plans for the extreme ultraviolet explorer data base

    NASA Technical Reports Server (NTRS)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  14. EUV spectroscopy of highly charged high Z ions in the Large Helical Device plasmas

    NASA Astrophysics Data System (ADS)

    Suzuki, C.; Koike, F.; Murakami, I.; Tamura, N.; Sudo, S.; Sakaue, H. A.; Nakamura, N.; Morita, S.; Goto, M.; Kato, D.; Nakano, T.; Higashiguchi, T.; Harte, C. S.; OʼSullivan, G.

    2014-11-01

    We present recent results on the extreme ultraviolet (EUV) spectroscopy of highly charged high Z ions in plasmas produced in the Large Helical Device (LHD) at the National Institute for Fusion Science. Tungsten, bismuth and lanthanide elements have recently been studied in the LHD in terms of their importance in fusion research and EUV light source development. In relatively low temperature plasmas, quasicontinuum emissions from open 4d or 4f subshell ions are predominant in the EUV region, while the spectra tend to be dominated by discrete lines from open 4s or 4p subshell ions in higher temperature plasmas. Comparative analyses using theoretical calculations and charge-separated spectra observed in an electron beam ion trap have been performed to achieve better agreement with the spectra measured in the LHD. As a result, databases on Z dependence of EUV spectra in plasmas have been widely extended.

  15. Simultaneous ASCA and EUVE Observations of Capella

    NASA Astrophysics Data System (ADS)

    Brickhouse, N. S.; Dupree, A. K.; Edgar, R. J.; Drake, S. A.; White, N. E.; Liedahl, D. A.; Singh, K. P.

    1997-05-01

    We present simultaneous observations taken in Mar 1996 of the bright stellar coronal source Capella (HD 34029) with the ASCA and EUVE satellites. Previous EUVE observations of Fe emission lines (Fe VIII --- XXIV, excluding XVII) revealed a narrow emission measure feature at 6 x 10(6) K, which has proven to be remarkably stable over several years (flux from Fe XVIII and XIX has not varied by more than 30%), while lines formed at higher temperatures have shown intensity variations up to factors of 4. Furthermore, extremely high signal-to-noise spectra obtained by summing all EUVE measurements show that the Fe/H abundance ratio is consistent with solar photospheric. (See Dupree et al. 1993, ApJ, 418, L41; Brickhouse, Raymond, & Smith 1995, ApJSupp, 97, 551; Brickhouse 1996, IAU Coll. 152, Astrophysics in the Extreme Ultraviolet, Bowyer & Malina, eds (Kluwer), 141.) Meanwhile, the ASCA data of Capella have proven notoriously difficult to analyze. The performance verification (PV) phase data suggested a somewhat subsolar Fe abundance, but models were in poor agreement with the data (chi (2red) ~ 6). (See Drake 1996, Conf. on Cosmic Abundances, U. Maryland). Since the emission lines observed by EUVE are formed at the same emitting temperatures as the X-ray spectrum (Capella is ``soft'' such that very little flux is observed above 2 keV), the emission measure distribution derived from EUVE lines should provide a direct prediction of the X-ray spectrum, with only the relative abundances of species other than Fe as free parameters. Like the PV data, the new ASCA spectrum is not well fit by any of the standard models. Applying the constraints imposed by EUVE does not make a major improvement in the fit --- multi-thermal, variable abundance models such as Raymond-Smith and MEKAL do not provide any acceptable fit (chi (2red) > 5). We discuss our efforts to understand the X-ray spectrum, including studies of the uncertainties in the atomic data and of the underlying assumptions

  16. A volume-limited survey of High Galactic latitude planetary nebulae with the Extrme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Fruscione, Antonella; Drake, Jeremy J.; Mcdonald, Kelley; Malina, Roger F.

    1995-01-01

    We present the results of a complete survey, at extreme-ultraviolet (EUV) wavelengths (58-234 A), of the high Galactic latitude (absolute value of b greater than or = to 20 deg) planetary nebulae (PNs) with at least one determination of the distance within 1 kpc of the Sun. The sample comprises 27 objects observed during the Extreme Ultraviolet Explorer (EUVE) all-sky survey and represents the majority of PN likely to be accessible at EUV wavelengths. Six PNs (NGC 246, NGC 1360, K1-16, LoTr 5, NGC 4361, and NGC 3587) were detected in the shortest EUV band (58-174 A). A seventh PN (NGC 6853), not included in the sample, was also detected during the survey. The emission is consistent in all cases with that of a point source and therefore most probably originates from the PN central star. Accurate EUV count rates or upper limits in the two shorter EUVE bands (centered at approximately 100 and 200 A) are given for all the sources in the sample. NGC 4361 and NGC 3587 are reported here for the first time as sources of EUV radiation. As might be expected, attenuation by the interstellar medium dominates the PN distribution in the EUV sky.

  17. Plasmaspheric Erosion via Plasmasphere Coupling to Ring Current Plasmas: EUV Observations and Modeling

    NASA Technical Reports Server (NTRS)

    Adrian, M. L.; Gallagher, D. L.; Khazanov, G. V.; Chsang, S. W.; Liemohn, M. W.; Perez, J. D.; Green, J. L.; Sandel, B. R.; Mitchell, D. G.; Mende, S. B.; hide

    2002-01-01

    During a geomagnetic storm on 24 May 2000, the IMAGE Extreme Ultraviolet (EUV) camera observed a plasmaspheric density trough in the evening sector at L-values inside the plasmapause. Forward modeling of this feature has indicated that plasmaspheric densities beyond the outer wall of the trough are well below model expectations. This diminished plasma condition suggests the presence of an erosion process due to the interaction of the plasmasphere with ring current plasmas. We present an overview of EUV, energetic neutral atom (ENA), and Far Ultraviolet (FUV) camera observations associated with the plasmaspheric density trough of 24 May 2000, as well as forward modeling evidence of the lie existence of a plasmaspheric erosion process during this period. FUV proton aurora image analysis, convolution of ENA observations, and ring current modeling are then presented in an effort to associate the observed erosion with coupling between the plasmasphere and ring-current plasmas.

  18. Bidirectional reflectance distribution function of diffuse extreme ultraviolet scatterers and extreme ultraviolet baffle materials.

    PubMed

    Newell, M P; Keski-Kuha, R A

    1997-08-01

    Bidirectional reflectance distribution function (BRDF) measurements of a number of diffuse extreme ultraviolet (EUV) scatterers and EUV baffle materials have been performed with the Goddard EUV scatterometer. BRDF data are presented for white Spectralon SRS-99 at 121.6 nm; the data exhibit a non-Lambertian nature and a total hemispherical reflectance lower than 0.15. Data are also presented for an evaporated Cu black sample, a black Spectralon SRS-02 sample, and a Martin Optical Black sample at wavelengths of 58.4 and 121.6 nm and for angles of incidence of 15 degrees and 45 degrees. Overall Martin Optical Black exhibited the lowest BRDF characteristic, with a total hemispherical reflectance of the order of 0.01 and measured BRDF values as low as 2 x 10(-3) sr(-1).

  19. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    NASA Astrophysics Data System (ADS)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  20. EUV multilayer mirrors with enhanced stability

    NASA Astrophysics Data System (ADS)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  1. The Production of Titan's Ultraviolet Nitrogen Airglow

    NASA Astrophysics Data System (ADS)

    Stevens, Michael H.; Gustin, J.; Ajello, J. M.; Evans, J. S.; Meier, R. R.; Stewart, A. I. F.; Esposito, L. W.; McClintock, W. E.; Stephan, A. W.

    2010-10-01

    The Cassini Ultraviolet Imaging Spectrograph (UVIS) observed Titan's dayside limb on 22 June, 2009, obtaining high quality extreme ultraviolet (EUV) and far ultraviolet (FUV) spectra from a distance of only 60,000 km (23 Titan radii). The observations reveal the same EUV and FUV emissions arising from photoelectron excitation and photofragmentation of molecular nitrogen (N2) on Earth but with the altitude of peak emission much higher on Titan near 1000 km altitude. In the EUV, emission bands from the photoelectron excited N2 Carroll-Yoshino c4'-X system and N I and N II multiplets arising from photofragmentation of N2 dominate, with no detectable c4'(0,0) emission near 958 Å, contrary to many interpretations of the lower resolution Voyager 1 Ultraviolet Spectrometer data. The FUV is dominated by emission bands from the N2 Lyman-Birge-Hopfield a-X system and additional N I multiplets. We also identify several N2 Vegard-Kaplan A-X bands between 1500-1900 Å, two of which are located near 1561 and 1657 Å where C I multiplets were previously identified from a separate UVIS disk observation. We compare these limb emissions to predictions from a terrestrial airglow model adapted to Titan that uses a solar spectrum appropriate for these June, 2009 observations. Volume production rates and limb radiances are calculated, including extinction by methane and allowance for multiple scattering within the readily excited c4'(0,v') system, and compared to UVIS observations. We find that for these airglow data only emissions arising from processes involving N2 are present.

  2. On the Absence of EUV Emission from Comet C/2012 S1 (ISON)

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, W. Dean

    2016-01-01

    When the sungrazing comet C2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun's surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This null result is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. By comparing these properties with those of sungrazing comet C2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C2012 S1 (ISON) was at least a factor of four less than that of C2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.

  3. Patterning highly ordered arrays of complex nanofeatures through EUV directed polarity switching of non chemically amplified photoresist

    PubMed Central

    Ghosh, Subrata; Satyanarayana, V. S. V.; Pramanick, Bulti; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Morales-Reyes, Israel; Batina, Nikola; Gonsalves, Kenneth E.

    2016-01-01

    Given the importance of complex nanofeatures in the filed of micro-/nanoelectronics particularly in the area of high-density magnetic recording, photonic crystals, information storage, micro-lens arrays, tissue engineering and catalysis, the present work demonstrates the development of new methodology for patterning complex nanofeatures using a recently developed non-chemically amplified photoresist (n-CARs) poly(4-(methacryloyloxy)phenyl)dimethylsulfoniumtriflate) (polyMAPDST) with the help of extreme ultraviolet lithography (EUVL) as patterning tool. The photosensitivity of polyMAPDST is mainly due to the presence of radiation sensitive trifluoromethanesulfonate unit (triflate group) which undergoes photodegradation upon exposure with EUV photons, and thus brings in polarity change in the polymer structure. Integration of such radiation sensitive unit into polymer network avoids the need of chemical amplification which is otherwise needed for polarity switching in the case of chemically amplified photoresists (CARs). Indeed, we successfully patterned highly ordered wide-raging dense nanofeatures that include nanodots, nanowaves, nanoboats, star-elbow etc. All these developed nanopatterns have been well characterized by FESEM and AFM techniques. Finally, the potential of polyMAPDST has been established by successful transfer of patterns into silicon substrate through adaptation of compatible etch recipes. PMID:26975782

  4. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    NASA Astrophysics Data System (ADS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Gürsel, Selmiye Alkan; Scherer, Günther G.; Wokaun, Alexander

    2007-12-01

    Nanostructures of the thermoresponsive poly( N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 °C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures.

  5. Development of extreme ultraviolet and soft x-ray multilayer optics for scientific studies with femtosecond/attosecond sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aquila, Andrew Lee

    The development of multilayer optics for extreme ultraviolet (EUV) radiation has led to advancements in many areas of science and technology, including materials studies, EUV lithography, water window microscopy, plasma imaging, and orbiting solar physics imaging. Recent developments in femtosecond and attosecond EUV pulse generation from sources such as high harmonic generation lasers, combined with the elemental and chemical specificity provided by EUV radiation, are opening new opportunities to study fundamental dynamic processes in materials. Critical to these efforts is the design and fabrication of multilayer optics to transport, focus, shape and image these ultra-fast pulses This thesis describes themore » design, fabrication, characterization, and application of multilayer optics for EUV femtosecond and attosecond scientific studies. Multilayer mirrors for bandwidth control, pulse shaping and compression, tri-material multilayers, and multilayers for polarization control are described. Characterization of multilayer optics, including measurement of material optical constants, reflectivity of multilayer mirrors, and metrology of reflected phases of the multilayer, which is critical to maintaining pulse size and shape, were performed. Two applications of these multilayer mirrors are detailed in the thesis. In the first application, broad bandwidth multilayers were used to characterize and measure sub-100 attosecond pulses from a high harmonic generation source and was performed in collaboration with the Max-Planck institute for Quantum Optics and Ludwig- Maximilians University in Garching, Germany, with Professors Krausz and Kleineberg. In the second application, multilayer mirrors with polarization control are useful to study femtosecond spin dynamics in an ongoing collaboration with the T-REX group of Professor Parmigiani at Elettra in Trieste, Italy. As new ultrafast x-ray sources become available, for example free electron lasers, the multilayer designs

  6. Miniature Extreme Ultraviolet Solar Radiometers

    NASA Astrophysics Data System (ADS)

    McMullin, D. R.; Seely, J. F.; Bremer, J.; Jones, A. R.; Vest, R.; Sakdinawat, A.

    2015-12-01

    Free-standing zone plates for use in EUV solar radiometers have been fabricated using electron beam lithography and calibrated at the NIST SURF synchrotron facility. The radiometers that we are developing use zone plates (ZPs) to focus the total solar irradiance in narrow EUV spectral bands and measure it with negligible sensitivity to field angle and polarization, and with greater accuracy and greater long-term stability than radiometers that have alternative architectures. These radiometers are easy to accommodate on spacecraft due to their small size, low mass, low power requirements, low data rates, and modest pointing requirements. A proto-type instrument will be presented with performance characteristics and spacecraft resource requirements for hosting these new instruments. The compact size of the optical train make these zone plates attractive for small CubeSats. The robustness of the compact design makes these radiometers available for a large variety of applications.

  7. Quantitative phase retrieval with arbitrary pupil and illumination

    DOE PAGES

    Claus, Rene A.; Naulleau, Patrick P.; Neureuther, Andrew R.; ...

    2015-10-02

    We present a general algorithm for combining measurements taken under various illumination and imaging conditions to quantitatively extract the amplitude and phase of an object wave. The algorithm uses the weak object transfer function, which incorporates arbitrary pupil functions and partially coherent illumination. The approach is extended beyond the weak object regime using an iterative algorithm. Finally, we demonstrate the method on measurements of Extreme Ultraviolet Lithography (EUV) multilayer mask defects taken in an EUV zone plate microscope with both a standard zone plate lens and a zone plate implementing Zernike phase contrast.

  8. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chai, Kil-Byoung; Bellan, Paul M.

    2013-12-15

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10{sup 6} frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs.

  9. Study on the lifetime of Mo/Si multilayer optics with pulsed EUV-source at the ETS

    NASA Astrophysics Data System (ADS)

    Schürmann, Mark; Yulin, Sergiy; Nesterenko, Viatcheslav; Feigl, Torsten; Kaiser, Norbert; Tkachenko, Boris; Schürmann, Max C.

    2011-06-01

    As EUV lithography is on its way into production stage, studies of optics contamination and cleaning under realistic conditions become more and more important. Due to this fact an Exposure Test Stand (ETS) has been constructed at XTREME technologies GmbH in collaboration with Fraunhofer IOF and with financial support of Intel Corporation. This test stand is equipped with a pulsed DPP source and allows for the simultaneous exposure of several samples. In the standard set-up four samples with an exposed area larger than 35 mm2 per sample can be exposed at a homogeneous intensity of 0.25 mW/mm2. A recent update of the ETS allows for simultaneous exposures of two samples with intensities up to 1.0 mW/mm2. The first application of this alternative set-up was a comparative study of carbon contamination rates induced by EUV radiation from the pulsed source with contamination rates induced by quasicontinuous synchrotron radiation. A modified gas-inlet system allows for the introduction of a second gas to the exposure chamber. This possibility was applied to investigate the efficiency of EUV-induced cleaning with different gas mixtures. In particular the enhancement of EUV-induced cleaning by addition of a second gas to the cleaning gas was studied.

  10. Comparison of Helioseismic Far-Side Active Region Detections with STEREO Far-Side EUV Observations of Solar Activity

    NASA Astrophysics Data System (ADS)

    Liewer, P. C.; Qiu, J.; Lindsey, C.

    2017-10-01

    Seismic maps of the Sun's far hemisphere, computed from Doppler data from the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO) are now being used routinely to detect strong magnetic regions on the far side of the Sun (http://jsoc.stanford.edu/data/farside/). To test the reliability of this technique, the helioseismically inferred active region detections are compared with far-side observations of solar activity from the Solar TErrestrial RElations Observatory (STEREO), using brightness in extreme-ultraviolet light (EUV) as a proxy for magnetic fields. Two approaches are used to analyze nine months of STEREO and HMI data. In the first approach, we determine whether new large east-limb active regions are detected seismically on the far side before they appear Earth side and study how the detectability of these regions relates to their EUV intensity. We find that while there is a range of EUV intensities for which far-side regions may or may not be detected seismically, there appears to be an intensity level above which they are almost always detected and an intensity level below which they are never detected. In the second approach, we analyze concurrent extreme-ultraviolet and helioseismic far-side observations. We find that 100% (22) of the far-side seismic regions correspond to an extreme-ultraviolet plage; 95% of these either became a NOAA-designated magnetic region when reaching the east limb or were one before crossing to the far side. A low but significant correlation is found between the seismic signature strength and the EUV intensity of a far-side region.

  11. Deposition and characterization of B4C/CeO2 multilayers at 6.x nm extreme ultraviolet wavelengths

    NASA Astrophysics Data System (ADS)

    Sertsu, M. G.; Giglia, A.; Brose, S.; Park, D.; Wang, Z. S.; Mayer, J.; Juschkin, L.; Nicolosi, P.

    2016-03-01

    New multilayers of boron carbide/cerium dioxide (B4C/CeO2) combination on silicon (Si) substrate are manufactured to represent reflective-optics candidates for future lithography at 6.x nm wavelength. This is one of only a few attempts to make multilayers of this kind. Combination of several innovative experiments enables detailed study of optical properties, structural properties, and interface profiles of the multilayers in order to open up a room for further optimization of the manufacturing process. The interface profile is visualized by high-angle annular dark-field imaging which provides highly sensitive contrast to atomic number. Synchrotron based at-wavelength extreme ultraviolet (EUV) reflectance measurements near the boron (B) absorption edge allow derivation of optical parameters with high sensitivity to local atom interactions. X-ray reflectivity measurements at Cu-Kalpha (8 keV ) determine the period of multilayers with high in-depth resolution. By combining these measurements and choosing robust nonlinear curve fitting algorithms, accuracy of the results has been significantly improved. It also enables a comprehensive characterization of multilayers. Interface diffusion is determined to be a major cause for the low reflectivity performance. Optical constants of B4C and CeO2 layers are derived in EUV wavelengths. Besides, optical properties and asymmetric thicknesses of inter-diffusion layers (interlayers) in EUV wavelengths near the boron edge are determined. Finally, ideal reflectivity of the B4C/CeO2 combination is calculated by using optical constants derived from the proposed measurements in order to evaluate the potentiality of the design.

  12. Negative-tone imaging with EUV exposure toward 13nm hp

    NASA Astrophysics Data System (ADS)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  13. Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks

    NASA Astrophysics Data System (ADS)

    Sherwin, Stuart; Neureuther, Andrew; Naulleau, Patrick

    2017-10-01

    Achieving high-throughput extreme ultraviolet (EUV) patterning remains a major challenge due to low source power; phase-shift masks can help solve this challenge for dense features near the resolution limit by creating brighter images than traditional absorber masks when illuminated with the same source power. We explore applications of etched multilayer phase-shift masks for EUV lithography, both in the current-generation 0.33 NA and next-generation 0.55 NA systems. We derive analytic formulas for the thin-mask throughput gains, which are 2.42× for lines and spaces and 5.86× for contacts compared with an absorber mask with dipole and quadrupole illumination, respectively. Using rigorous finite-difference time-domain simulations, we quantify variations in these gains by pitch and orientation, finding 87% to 113% of the thin-mask value for lines and spaces and a 91% to 99% for contacts. We introduce an edge placement error metric, which accounts for CD errors, relative feature motion, and telecentricity errors, and use this metric both to optimize mask designs for individual features and to explore which features can be printed on the same mask. Furthermore, we find that although partial coherence shrinks the process window, at an achievable sigma of 0.2 we obtain a depth of focus of 340 nm and an exposure latitude of 39.2%, suggesting that partial coherence will not limit the feasibility of this technology. Finally, we show that many problems such as sensitivity to etch uniformity can be greatly mitigated using a central obscuration in the imaging pupil.

  14. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    NASA Technical Reports Server (NTRS)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  15. The creation of radiation dominated plasmas using laboratory extreme ultra-violet lasers

    NASA Astrophysics Data System (ADS)

    Tallents, G. J.; Wilson, S.; West, A.; Aslanyan, V.; Lolley, J.; Rossall, A. K.

    2017-06-01

    Ionization in experiments where solid targets are irradiated by high irradiance extreme ultra-violet (EUV) lasers is examined. Free electron degeneracy effects on ionization in the presence of a high EUV flux of radiation is shown to be important. Overlap of the physics of such plasmas with plasma material under compression in indirect inertial fusion is explored. The design of the focusing optics needed to achieve high irradiance (up to 1014 Wcm-2) using an EUV capillary laser is presented.

  16. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  17. Analytical treatment of the deformation behavior of extreme-ultraviolet-lithography masks during electrostatic chucking

    NASA Astrophysics Data System (ADS)

    Brandstetter, Gerd; Govindjee, Sanjay

    2012-10-01

    A new analytical approach is presented to predict mask deformation during electrostatic chucking in next-generation extreme-ultraviolet-lithography. Given an arbitrary profile measurement of the mask and chuck nonflatness, this method has been developed as an alternative to time-consuming finite element simulations for overlay error correction algorithms. We consider the feature transfer of each harmonic component in the profile shapes via linear elasticity theory and demonstrate analytically how high spatial frequencies are filtered. The method is compared to presumably more accurate finite element simulations and has been tested successfully in an overlay error compensation experiment, where the residual error y-component could be reduced by a factor of 2. As a side outcome, the formulation provides a tool to estimate the critical pin-size and -pitch such that the distortion on the mask front-side remains within given tolerances. We find for a numerical example that pin-pitches of less than 5 mm will result in a mask pattern distortion of less than 1 nm if the chucking pressure is below 30 kPa.

  18. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    NASA Astrophysics Data System (ADS)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  19. ON THE ABSENCE OF EUV EMISSION FROM COMET C/2012 S1 (ISON)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryans, Paul; Pesnell, W. Dean

    2016-05-10

    When the sungrazing comet C/2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun’s surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This “null result” is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. Bymore » comparing these properties with those of sungrazing comet C/2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C/2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C/2012 S1 (ISON) was at least a factor of four less than that of C/2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.« less

  20. EUV focus sensor: design and modeling

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    2005-05-01

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using a single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wave-lengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput opti-mizing the signal-to-noise ratio in the measured intensity contrast.

  1. EUV Focus Sensor: Design and Modeling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using amore » single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wavelengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput optimizing the signal-to-noise ratio in the measured intensity contrast.« less

  2. The Extreme-ultraviolet Emission from Sun-grazing Comets

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William D.

    2012-01-01

    The Atmospheric Imaging Assembly (AIA) on the Solar Dynamics Observatory has observed two Sun-grazing comets as they passed through the solar atmosphere. Both passages resulted in a measurable enhancement of extreme-ultraviolet (EUV) radiance in several of the AIA bandpasses.We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Molecules in the comet rapidly sublimate as it approaches the Sun. They are then photodissociated by the solar radiation field to create atomic species. Subsequent ionization of these atoms produces a higher abundance of ions than normally present in the corona and results in EUV emission in the wavelength ranges of the AIA telescope passbands.

  3. Designing a Small-Sized Engineering Model of Solar EUV Telescopr for a Korean Satellite

    NASA Astrophysics Data System (ADS)

    Han, Jung-Hoon; Jang, Min-Hwan; Kim, Sang-Joon

    2001-11-01

    For the research of solar EUV (extreme ultraviolet) radiation, we have designed a small-sized engineering model of solar EUV telescope, which is suitable for a Korean satellite. The EUV solar telescope was designed to observe the sun at 584.3Å (He¥°) and 629.7Å (O¥´). The optical system is an f/8 Ritchey-Chrètien, and the effective diameter and focal length are 80§® and 640§®, respectively. The He¥°and O¥´ filters are loaded in a filter wheel. In the detection part, the MCP (MicroChannel Plate) type is Z-stack, and the channel-to-diameter ratio is 40:1. MCP and CCD are connected by fiber optic taper. A commercial optical design software is used for the analysis of the optical system design.

  4. Telescience - Concepts and contributions to the Extreme Ultraviolet Explorer mission

    NASA Technical Reports Server (NTRS)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-01-01

    It is shown how the contradictory goals of low-cost and fast data turnaround characterizing the Extreme Ultraviolet Explorer (EUVE) mission can be achieved via the early use of telescience style transparent tools and simulations. The use of transparent tools reduces the parallel development of capability while ensuring that valuable prelaunch experience is not lost in the operations phase. Efforts made to upgrade the 'EUVE electronics' simulator are described.

  5. Extreme ultraviolet reflectivity studies of gold on glass and metal substrates

    NASA Technical Reports Server (NTRS)

    Jelinsky, Sharon R.; Malina, Roger F.; Jelinsky, Patrick

    1988-01-01

    The paper reports measurements of the extreme ultraviolet reflectivity of gold from 44 to 920 A at grazing incidence. Gold was deposited using vacuum evaporation and electroplating on substrates of glass and polished nickel, respectively. Measurements are also presented of the extreme ultraviolet reflectivity of electroless nickel in the same wavelength region, where one of the polished nickel substrates was used as a sample. Derived optical constants for evaporated and electroplated gold and electroless nickel are presented. Additional studies of the effects of various contaminants on the EUV reflectivity are also reported. The variations of the optical constants are discussed in terms of density variations, surface roughness and contamination effects. These results ae reported as part of studies for the Extreme Ultraviolet Explorer satellite program to determine acceptance criteria for the EUV optics, contamination budgets and calibration plans.

  6. Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source

    NASA Astrophysics Data System (ADS)

    Inoue, T.; Nica, P. E.; Kaku, K.; Shimoura, A.; Amano, S.; Miyamoto, S.; Mochizuki, T.

    2006-03-01

    In this paper, characterizations of a cryogenic Xe capillary jet target for a laser-produced plasma extreme ultraviolet (EUV) light source are reported. The capillary jet target is a candidate of fast-supplying targets for mitigating debris generation and target consumption in a vacuum chamber without reducing the EUV conversion efficiency. Xe capillary jets (jet velocity ~ 0.4 m/s) were generated in vacuum by using annular nozzles chilled to ~ 170 K at a Xe backing pressure of ~ 0.7 MPa. Forming mechanisms of the capillary jet targets were studied by using numerical calculations. Furthermore, laser-produced plasma EUV generation was performed by irradiating a Nd:YAG laser (1064 nm, ~ 0.5 J, 10 ns, 120 μmφ, ~ 4×10 11 W/cm2) on a Xe capillary jet target (outer / inner diameter = 100 / 70 μmφ). The angular distribution of EUV generation was approximately uniform around the Xe capillary jet target, and the peak kinetic energy of the fast-ions was evaluated to be ~ 2 keV.

  7. A battery power model for the EUVE spacecraft

    NASA Technical Reports Server (NTRS)

    Yen, Wen L.; Littlefield, Ronald G.; Mclean, David R.; Tuchman, Alan; Broseghini, Todd A.; Page, Brenda J.

    1993-01-01

    This paper describes a battery power model that has been developed to simulate and predict the behavior of the 50 ampere-hour nickel-cadmium battery that supports the Extreme Ultraviolet Explorer (EUVE) spacecraft in its low Earth orbit. First, for given orbit, attitude, solar array panel and spacecraft load data, the model calculates minute-by-minute values for the net power available for charging the battery for a user-specified time period (usually about two weeks). Next, the model is used to calculate minute-by-minute values for the battery voltage, current and state-of-charge for the time period. The model's calculations are explained for its three phases: sunrise charging phase, constant voltage phase, and discharge phase. A comparison of predicted model values for voltage, current and state-of-charge with telemetry data for a complete charge-discharge cycle shows good correlation. This C-based computer model will be used by the EUVE Flight Operations Team for various 'what-if' scheduling analyses.

  8. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  9. EUV Waves Driven by the Sudden Expansion of Transequatorial Loops Caused by Coronal Jets

    NASA Astrophysics Data System (ADS)

    Shen, Yuandeng; Tang, Zehao; Miao, Yuhu; Su, Jiangtao; Liu, Yu

    2018-06-01

    We present two events to study the driving mechanism of extreme-ultraviolet (EUV) waves that are not associated with coronal mass ejections (CMEs), by using high-resolution observations taken by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Observational results indicate that the observed EUV waves were accompanied by flares and coronal jets, but not the CMEs that were regarded as drivers of most EUV waves in previous studies. In the first case, it is observed that a coronal jet is ejected along a transequatorial loop system at a plane-of-the-sky (POS) speed of 335 ± 22 km s{}-1; in the meantime, an arc-shaped EUV wave appeared on the eastern side of the loop system. In addition, the EUV wave further interacted with another interconnecting loop system and launched a fast propagating (QFP) magnetosonic wave along the loop system, which had a period of 200 s and a speed of 388 ± 65 km s{}-1, respectively. In the second case, we observed a coronal jet that ejected at a POS speed of 282 ± 44 km s{}-1 along a transequatorial loop system as well as the generation of bright EUV waves on the eastern side of the loop system. Based on the observational results, we propose that the observed EUV waves on the eastern side of the transequatorial loop systems are fast-mode magnetosonic waves and that they are driven by the sudden lateral expansion of the transequatorial loop systems due to the direct impingement of the associated coronal jets, while the QFP wave in the fist case formed due to the dispersive evolution of the disturbance caused by the interaction between the EUV wave and the interconnecting coronal loops. It is noted that EUV waves driven by sudden loop expansions have shorter lifetimes than those driven by CMEs.

  10. Erosion resistant nozzles for laser plasma extreme ultraviolet (EUV) sources

    DOEpatents

    Kubiak, Glenn D.; Bernardez, II, Luis J.

    2000-01-04

    A gas nozzle having an increased resistance to erosion from energetic plasma particles generated by laser plasma sources. By reducing the area of the plasma-facing portion of the nozzle below a critical dimension and fabricating the nozzle from a material that has a high EUV transmission as well as a low sputtering coefficient such as Be, C, or Si, it has been shown that a significant reduction in reflectance loss of nearby optical components can be achieved even after exposing the nozzle to at least 10.sup.7 Xe plasma pulses.

  11. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  12. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  13. Extreme ultraviolet resist materials for sub-7 nm patterning.

    PubMed

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  14. Quantitative Evaluation of Hard X-ray Damage to Biological Samples using EUV Ptychography

    NASA Astrophysics Data System (ADS)

    Baksh, Peter; Odstrcil, Michal; Parsons, Aaron; Bailey, Jo; Deinhardt, Katrin; Chad, John E.; Brocklesby, William S.; Frey, Jeremy G.

    2017-06-01

    Coherent diffractive imaging (CDI) has become a standard method on a variety of synchrotron beam lines. The high brilliance short wavelength radiation from these sources can be used to reconstruct attenuation and relative phase of a sample with nanometre resolution via CDI methods. However, the interaction between the sample and high energy ionising radiation can cause degradation to sample structure. We demonstrate, using a laboratory based high harmonic generation (HHG) based extreme ultraviolet (EUV) source, imaging a sample of hippocampal neurons using the ptychography method. The significant increase in contrast of the sample in the EUV light allows identification of damage induced from exposure to 7.3 keV photons, without causing any damage to the sample itself.

  15. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    NASA Astrophysics Data System (ADS)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  16. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  17. The first Extreme Ultraviolet Explorer source catalog

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Lieu, R.; Lampton, M.; Lewis, J.; Wu, X.; Drake, J. J.; Malina, R. F.

    1994-01-01

    The Extreme Ultraviolet Explorer (EUVE) has conducted an all-sky survey to locate and identify point sources of emission in four extreme ultraviolet wavelength bands centered at approximately 100, 200, 400, and 600 A. A companion deep survey of a strip along half the ecliptic plane was simultaneously conducted. In this catalog we report the sources found in these surveys using rigorously defined criteria uniformly applied to the data set. These are the first surveys to be made in the three longer wavelength bands, and a substantial number of sources were detected in these bands. We present a number of statistical diagnostics of the surveys, including their source counts, their sensitivites, and their positional error distributions. We provide a separate list of those sources reported in the EUVE Bright Source List which did not meet our criteria for inclusion in our primary list. We also provide improved count rate and position estimates for a majority of these sources based on the improved methodology used in this paper. In total, this catalog lists a total of 410 point sources, of which 372 have plausible optical ultraviolet, or X-ray identifications, which are also listed.

  18. SEMATECH EUVL mask program status

    NASA Astrophysics Data System (ADS)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  19. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    NASA Astrophysics Data System (ADS)

    Borisov, V. M.; Vinokhodov, A. Yu; Ivanov, A. S.; Kiryukhin, Yu B.; Mishchenko, V. A.; Prokof'ev, A. V.; Khristoforov, O. B.

    2009-10-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz.

  20. The evaluation of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.

    1987-01-01

    A high-efficiency, extreme ultraviolet (EUV) imaging spectrometer is constructed and tested. The spectrometer employs a concave toroidal grating illuminated at normal incidence in a Rowland circle mounting and has only one reflecting surface. The toroidal grating has been fabricated by a new technique employing an elastically-deformable sub-master grating replicated in a spherical form and then mechanically distorted to produce the desired aspect ratio of the toroidal surface for stigmatic imaging over the selected wavelength range. The fixed toroidal grating used in the spectrometer is then replicated from this surface. Photographic tests and initial photoelectric tests with a two-dimensional, pulse-counting detector system verify the image quality of the toroidal grating at wavelengths near 600 A. The results of these tests and the basic designs of two instruments which could employ the imaging spectrometer for astrophysical investigations in space are described; i.e., a high-resolution EUV spectroheliometer for studies of the solar chromosphere, transition region, and corona; and an EUV spectroscopic telescope for studies of non-solar objects.

  1. Extreme ultraviolet photoionization of aldoses and ketoses

    NASA Astrophysics Data System (ADS)

    Shin, Joong-Won; Dong, Feng; Grisham, Michael E.; Rocca, Jorge J.; Bernstein, Elliot R.

    2011-04-01

    Gas phase monosaccharides (2-deoxyribose, ribose, arabinose, xylose, lyxose, glucose galactose, fructose, and tagatose), generated by laser desorption of solid sample pellets, are ionized with extreme ultraviolet photons (EUV, 46.9 nm, 26.44 eV). The resulting fragment ions are analyzed using a time of flight mass spectrometer. All aldoses yield identical fragment ions regardless of size, and ketoses, while also generating same ions as aldoses, yields additional features. Extensive fragmentation of the monosaccharides is the result the EUV photons ionizing various inner valence orbitals. The observed fragmentation patterns are not dependent upon hydrogen bonding structure or OH group orientation.

  2. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  3. GOES-R SUVI EUV Flatfields Generated Using Boustrophedon Scans

    NASA Astrophysics Data System (ADS)

    Shing, L.; Edwards, C.; Mathur, D.; Vasudevan, G.; Shaw, M.; Nwachuku, C.

    2017-12-01

    The Solar Ultraviolet Imager (SUVI) is mounted on the Solar Pointing Platform (SPP) of the Geostationary Operational Environmental Satellite, GOES-R. SUVI is a Generalized Cassegrain telescope with a large field of view that employs multilayer coatings optimized to operate in six extreme ultraviolet (EUV) narrow bandpasses centered at 9.4, 13.1, 17.1, 19.5, 28.4 and 30.4 nm. The SUVI CCD flatfield response was determined using two different techniques; The Kuhn-Lin-Lorentz (KLL) Raster and a new technique called, Dynamic Boustrophedon Scans. The new technique requires less time to collect the data and is also less sensitive to Solar features compared with the KLL method. This paper presents the flatfield results of the SUVI using this technique during Post Launch Testing (PLT).

  4. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  5. SDO/AIA AND HINODE/EIS OBSERVATIONS OF INTERACTION BETWEEN AN EUV WAVE AND ACTIVE REGION LOOPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Liheng; Zhang, Jun; Li, Ting

    2013-09-20

    We present detailed analysis of an extreme-ultraviolet (EUV) wave and its interaction with active region (AR) loops observed by the Solar Dynamics Observatory/Atmospheric Imaging Assembly and the Hinode EUV Imaging Spectrometer (EIS). This wave was initiated from AR 11261 on 2011 August 4 and propagated at velocities of 430-910 km s{sup –1}. It was observed to traverse another AR and cross over a filament channel on its path. The EUV wave perturbed neighboring AR loops and excited a disturbance that propagated toward the footpoints of these loops. EIS observations of AR loops revealed that at the time of the wavemore » transit, the original redshift increased by about 3 km s{sup –1}, while the original blueshift decreased slightly. After the wave transit, these changes were reversed. When the EUV wave arrived at the boundary of a polar coronal hole, two reflected waves were successively produced and part of them propagated above the solar limb. The first reflected wave above the solar limb encountered a large-scale loop system on its path, and a secondary wave rapidly emerged 144 Mm ahead of it at a higher speed. These findings can be explained in the framework of a fast-mode magnetosonic wave interpretation for EUV waves, in which observed EUV waves are generated by expanding coronal mass ejections.« less

  6. Well-defined EUV wave associated with a CME-driven shock

    NASA Astrophysics Data System (ADS)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  7. Broadband extreme ultraviolet probing of transient gratings in vanadium dioxide

    DOE PAGES

    Sistrunk, Emily; Grilj, Jakob; Jeong, Jaewoo; ...

    2015-02-11

    Nonlinear spectroscopy in the extreme ultraviolet (EUV) and soft x-ray spectral range offers the opportunity for element selective probing of ultrafast dynamics using core-valence transitions (Mukamel et al., Acc. Chem. Res. 42, 553 (2009)). The study demonstrate a step on this path showing core-valence sensitivity in transient grating spectroscopy with EUV probing. We study the optically induced insulator-to-metal transition (IMT) of a VO 2 film with EUV diffraction from the optically excited sample. The VO 2 exhibits a change in the 3p-3d resonance of V accompanied by an acoustic response. Due to the broadband probing we are able to separatemore » the two features.« less

  8. High-resolution measurements in the EUV on NSTX

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Bitter, M.; Lepson, J. K.; Gu, M.-F.

    2005-10-01

    The extreme ultraviolet (EUV) wavelength band is rich in lines useful as plasma diagnostics. This fact is being used by the Chandra and XMM-Newton satellites for studying stellar coronae and galactic nuclei. We have installed a new grating spectrometer on the NSTX tokamak that allows us to study emission lines in the EUV with similar spectral resolution. We have observed the K-shell lines of heliumlike and hydrogenlike boron, carbon, and oxygen. Moreover, we have measured the L-shell spectra of neonlike Ar, Fe, and Ni. All elements except argon were intrinsic to NSTX plasmas. Many of these spectra are of great interest to astrophysics. Our measurements provide line lists and calibrate density-sensitive line ratios in a density regime not accessible by other laboratory sources. Moreover, we were able to measure the temperature dependence of several iron lines needed to address puzzling results from stellar flare plasmas. This work was performed under the auspices of the U.S. DOE by UC-LLNL under contract W-7405-Eng-48 and by PPPL under contract DE-AC02-76CHO3073.

  9. Bottom Extreme-Ultraviolet-Sensitive Coating for Evaluation of the Absorption Coefficient of Ultrathin Film

    NASA Astrophysics Data System (ADS)

    Hijikata, Hayato; Kozawa, Takahiro; Tagawa, Seiichi; Takei, Satoshi

    2009-06-01

    A bottom extreme-ultraviolet-sensitive coating (BESC) for evaluation of the absorption coefficients of ultrathin films such as extreme ultraviolet (EUV) resists was developed. This coating consists of a polymer, crosslinker, acid generator, and acid-responsive chromic dye and is formed by a conventional spin-coating method. By heating the film after spin-coating, a crosslinking reaction is induced and the coating becomes insoluble. A typical resist solution can be spin-coated on a substrate covered with the coating film. The evaluation of the linear absorption coefficients of polymer films was demonstrated by measuring the EUV absorption of BESC substrates on which various polymers were spin-coated.

  10. An investigation of solar erythemal ultraviolet radiation at two sites in tourist attraction areas of Thailand

    NASA Astrophysics Data System (ADS)

    Buntoung, Sumaman; Pattarapanitchai, Somjet; Wattan, Rungrat; Masiri, Itsara; Promsen, Worrapass; Tohsing, Korntip; Janjai, Serm

    2013-05-01

    Islands on the southern coasts of Thailand are famous attractions for local and foreign tourists. Tourists usually expose their skins to solar radiation for tanning. Thus information on solar ultraviolet radiation (UV) is of importance for tourists to protect themselves from adverse effects of UV. In this work, solar erythemal ultraviolet radiation (EUV) at two touristic sites namely Samui island (9.451°N, 100.033°E) and Phuket island (8.104°N, 98.304°E) was investigated. In investigating EUV, broadband UV radiometers (Kipp & Zonen, model UVS-B-C) were installed at existing meteorological stations in Samui and Phuket islands. A one-year period of EUV data from these two sites was analyzed. The level of UV index at these sites was studied. The values of UV index higher than 12 at noon time of clear days are usually found in the summer at both sites. Seasonal variation of EUV at both sites was investigated. It was found that the tropical monsoons have strong influence on this variation. Finally, global broadband radiation measured at the sites was also used to establish a correlation between EUV and global broadband radiation. Higher correlation was found for the case of clear sky, as compared to the case of cloudy sky. The correlation obtained from this analysis can be used to estimate EUV from global broadband radiation at these two sites.

  11. A Change in the Solar He II EUV Global Network Structure as an Indicator of the Geo-Effectiveness of Solar Minima

    NASA Technical Reports Server (NTRS)

    Didkovsky, L.; Gurman, J. B.

    2013-01-01

    Solar activity during 2007 - 2009 was very low, causing anomalously low thermospheric density. A comparison of solar extreme ultraviolet (EUV) irradiance in the He II spectral band (26 to 34 nm) from the Solar Extreme ultraviolet Monitor (SEM), one of instruments on the Charge Element and Isotope Analysis System (CELIAS) on board the Solar and Heliospheric Observatory (SOHO) for the two latest solar minima showed a decrease of the absolute irradiance of about 15 +/- 6 % during the solar minimum between Cycles 23 and 24 compared with the Cycle 22/23 minimum when a yearly running-mean filter was used. We found that some local, shorter-term minima including those with the same absolute EUV flux in the SEM spectral band show a higher concentration of spatial power in the global network structure from the 30.4 nm SOHO/Extreme ultraviolet Imaging Telescope (EIT) images for the local minimum of 1996 compared with the minima of 2008 - 2011.We interpret this higher concentration of spatial power in the transition region's global network structure as a larger number of larger-area features on the solar disk. These changes in the global network structure during solar minima may characterize, in part, the geo-effectiveness of the solar He II EUV irradiance in addition to the estimations based on its absolute levels.

  12. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  13. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    NASA Astrophysics Data System (ADS)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  14. Characteristics of extreme ultraviolet emission from high-Z plasmas

    NASA Astrophysics Data System (ADS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  15. The Extreme Ultraviolet Explorer mission

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Battel, S. J.

    1989-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission will be the first user of NASA's new Explorer platform. The instrumentation included on this mission consists of three grazing incidence scanning telescopes, a deep survey instrument and an EUV spectrometer. The bandpass covered is 80 to 900 A. During the first six months of the mission, the scanning telescopes will be used to make all-sky maps in four bandpasses; astronomical sources wil be detected and their positions determined to an accuracy of 0.1 deg. The deep survey instrument will survey the sky with higher sensitivity along the ecliptic in two bandpasses between 80 and 500 A. Engineering and design aspects of the science payload and features of the instrument design are described.

  16. Characterization of extreme ultraviolet laser ablation mass spectrometry for actinide trace analysis and nanoscale isotopic imaging

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Green, Tyler; Kuznetsov, Ilya; Willingham, David

    The purpose of this research was to characterize Extreme Ultraviolet Time-of-Flight (EUV TOF) Laser Ablation Mass Spectrometry for high spatial resolution elemental and isotopic analysis. We compare EUV TOF results with Secondary Ionization Mass Spectrometry (SIMS) to orient the EUV TOF method within the overall field of analytical mass spectrometry. Using the well-characterized NIST 61x glasses, we show that the EUV ionization approach produces relatively few molecular ion interferences in comparison to TOF SIMS. We demonstrate that the ratio of element ion to element oxide ion is adjustable with EUV laser pulse energy and that the EUV TOF instrument hasmore » a sample utilization efficiency of 0.014%. The EUV TOF system also achieves a lateral resolution of 80 nm and we demonstrate this lateral resolution with isotopic imaging of closely spaced particles or uranium isotopic standard materials.« less

  17. Data indexing techniques for the EUVE all-sky survey

    NASA Technical Reports Server (NTRS)

    Lewis, J.; Saba, V.; Dobson, C.

    1992-01-01

    This poster describes techniques developed for manipulating large full-sky data sets for the Extreme Ultraviolet Explorer project. The authors have adapted the quatrilateralized cubic sphere indexing algorithm to allow us to efficiently store and process several types of large data sets, such as full-sky maps of photon counts, exposure time, and count rates. A variation of this scheme is used to index sparser data such as individual photon events and viewing times for selected areas of the sky, which are eventually used to create EUVE source catalogs.

  18. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Wachulak, P.; Fiedorowicz, H.; Skrzeczanowski, W.

    2016-04-01

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Kr plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.

  19. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartnik, A., E-mail: andrzej.bartnik@wat.edu.pl; Wachulak, P.; Fiedorowicz, H.

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Krmore » plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.« less

  20. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  1. Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming, and selective stripping

    NASA Astrophysics Data System (ADS)

    Mao, Ming; Lazzarino, Frederic; De Schepper, Peter; De Simone, Danilo; Piumi, Daniele; Luong, Vinh; Yamashita, Fumiko; Kocsis, Michael; Kumar, Kaushik

    2017-03-01

    Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist ( 12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria's metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.

  2. Wafer chamber having a gas curtain for extreme-UV lithography

    DOEpatents

    Kanouff, Michael P.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    An EUVL device includes a wafer chamber that is separated from the upstream optics by a barrier having an aperture that is permeable to the inert gas. Maintaining an inert gas curtain in the proximity of a wafer positioned in a chamber of an extreme ultraviolet lithography device can effectively prevent contaminants from reaching the optics in an extreme ultraviolet photolithography device even though solid window filters are not employed between the source of reflected radiation, e.g., the camera, and the wafer. The inert gas removes the contaminants by entrainment.

  3. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  4. EUVS Sounding Rocket Payload

    NASA Technical Reports Server (NTRS)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  5. Demonstration of the First 4H-SiC EUV Detector with Large Detection Area

    NASA Technical Reports Server (NTRS)

    Xin, Xiaobin; Yan, Feng; Koeth, Timothy W.; Hu, Jun; Zhao, Jian H.

    2005-01-01

    Ultraviolet (UV) and Extreme Ultraviolet (EUV) detectors are very attractive in astronomy, photolithography and biochemical applications. For EUV applications, most of the semiconductor detectors based on PN or PIN structures suffer from the very short penetration depth. Most of the carries are absorbed at the surface and recombined there due to the high surface recombination before reach the depletion region, resulting very low quantum efficiency. On the other hand, for Schottky structures, the active region starts from the surface and carriers generated from the surface can be efficiently collected. 4H-Sic has a bandgap of 3.26eV and is immune to visible light background noise. Also, 4H-Sic detectors usually have very good radiation hardness and very low noise, which is very important for space applications where the signal is very weak. The E W photodiodes presented in this paper are based on Schottky structures. Platinum (Pt) and Nickel (Ni) are selected as the Schottky contact metals, which have the highest electron work functions (5.65eV and 5.15eV, respectively) among all the known metals on 4H-Sic.

  6. Difference in EUV photoresist design towards reduction of LWR and LCDU

    NASA Astrophysics Data System (ADS)

    Jiang, Jing; De Simone, Danilo; Vandenberghe, Geert

    2017-03-01

    Pattern fidelity of EUV lithography is crucial for high resolution features, since small variation can affect device performance and even cause short or open circuit. For 1D features, dense lines and contact holes are the most common features for active, metal and contact layer, therefore line width roughness (LWR) and local critical dimension uniformity (LCDU) are important indexes to monitor. Both LWR and LCDU are greatly influenced by photon and acid shot noise. In addition, LWR is also affected by resist mechanical properties, like pattern collapse. In this study, we studied the influence of different chemically amplified resist components, such as polymer, PAG and quencher for both types and concentrations in order to understand the relative extent of influences of deprotection, acid diffusion, and base neutralization on pattern fidelity. However, conventional methods to approach higher resolution or low LWR/LCDU by sacrificing the dose are not sustainable. In order to continue to improve resist performance, a new component, metal salt sensitizer, is introduced into the resist system. This metal salt is able to achieve 30% dose reduction by increasing EUV absorption, maintaining LWR. We believe metal sensitizer might give us a new way to challenge the RLS trade-off.

  7. Testing the Interstellar Wind Helium Flow Direction with Galileo Euvs Data

    NASA Astrophysics Data System (ADS)

    Pryor, W. R.; Simmons, K. E.; Ajello, J. M.; Tobiska, W. K.; Retherford, K. D.; Stern, S. A.; Feldman, P. D.; Frisch, P. C.; Bzowski, M.; Grava, C.

    2014-12-01

    Forty years of measurements of the flow of interstellar helium through the heliosphere suggest that variations of the flow direction with time are possible. We will model Galileo Extreme Ultraviolet Spectrometer (EUVS) data to determine the best-fitting flow direction and compare it to values obtained by other spacecraft. The Galileo EUVS (Hord et al., 1992) was mounted on the spinning part of the spacecraft and obtained interstellar wind hydrogen Lyman-alpha 121.6 nm and helium 58.4 nm data on great circles passing near the ecliptic poles during the interplanetary cruise phase of the mission and also during the Jupiter orbital phase of the mission. The Galileo hydrogen cruise data have been previously published (Hord et al., 1991, Pryor et al., 1992; 1996; 2001), but the helium data have not. Our model was previously used by Ajello et al., 1978, 1979 to model Mariner 10 interstellar wind helium data, and by Stern et al., 2012 and Feldman et al., 2012 to model the interplanetary helium background near the moon in Lunar Reconnaissance Orbiter (LRO) Lyman-alpha Mapping Project (LAMP) data. The model has been updated to include recent determinations of daily helium 58.4 nm solar flux variations and helium losses due to EUV photoionization and electron impact ionization.

  8. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    NASA Technical Reports Server (NTRS)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  9. Vacuum ultraviolet instrumentation for solar irradiance and thermospheric airglow

    NASA Technical Reports Server (NTRS)

    Woods, Thomas N.; Rottman, Gary J.; Bailey, Scott M.; Solomon, Stanley C.

    1993-01-01

    A NASA sounding rocket experiment was developed to study the solar extreme ultraviolet (EUV) spectral irradiance and its effect on the upper atmosphere. Both the solar flux and the terrestrial molecular nitrogen via the Lyman-Birge-Hopfield bands in the far ultraviolet (FUV) were measured remotely from a sounding rocket on October 27, 1992. The rocket experiment also includes EUV instruments from Boston University (Supriya Chakrabarti), but only the National Center for Atmospheric Research (NCAR)/University of Colorado (CU) four solar instruments and one airglow instrument are discussed here. The primary solar EUV instrument is a 1/4 meter Rowland circle EUV spectrograph which has flown on three rockets since 1988 measuring the solar spectral irradiance from 30 to 110 nm with 0.2 nm resolution. Another solar irradiance instrument is an array of six silicon XUV photodiodes, each having different metallic filters coated directly on the photodiodes. This photodiode system provides a spectral coverage from 0.1 to 80 nm with about 15 nm resolution. The other solar irradiance instrument is a silicon avalanche photodiode coupled with pulse height analyzer electronics. This avalanche photodiode package measures the XUV photon energy providing a solar spectrum from 50 to 12,400 eV (25 to 0.1 nm) with an energy resolution of about 50 eV. The fourth solar instrument is an XUV imager that images the sun at 17.5 nm with a spatial resolution of 20 arc-seconds. The airglow spectrograph measures the terrestrial FUV airglow emissions along the horizon from 125 to 160 nm with 0.2 nm spectral resolution. The photon-counting CODACON detectors are used for three of these instruments and consist of coded arrays of anodes behind microchannel plates. The one-dimensional and two-dimensional CODACON detectors were developed at CU by Dr. George Lawrence. The pre-flight and post-flight photometric calibrations were performed at our calibration laboratory and at the Synchrotron Ultraviolet

  10. The Dual-channel Extreme Ultraviolet Continuum Experiment: Sounding Rocket EUV Observations of Local B Stars to Determine Their Potential for Supplying Intergalactic Ionizing Radiation

    NASA Astrophysics Data System (ADS)

    Erickson, Nicholas; Green, James C.; France, Kevin; Stocke, John T.; Nell, Nicholas

    2018-06-01

    We describe the scientific motivation and technical development of the Dual-channel Extreme Ultraviolet Continuum Experiment (DEUCE). DEUCE is a sounding rocket payload designed to obtain the first flux-calibrated spectra of two nearby B stars in the EUV 650-1150Å bandpass. This measurement will help in understanding the ionizing flux output of hot B stars, calibrating stellar models and commenting on the potential contribution of such stars to reionization. DEUCE consists of a grazing incidence Wolter II telescope, a normal incidence holographic grating, and the largest (8” x 8”) microchannel plate detector ever flown in space, covering the 650-1150Å band in medium and low resolution channels. DEUCE will launch on December 1, 2018 as NASA/CU sounding rocket mission 36.331 UG, observing Epsilon Canis Majoris, a B2 II star.

  11. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    NASA Astrophysics Data System (ADS)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  12. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  13. Inter-Comparison between July 24, 2014 EUV Data from NASA Sounding Rocket 36.289 and Concurrent Measurements from Orbital Solar Observatories

    NASA Astrophysics Data System (ADS)

    Didkovsky, L. V.; Wieman, S. R.; Judge, D. L.

    2014-12-01

    Sounding rocket mission NASA 36.289 Didkovsky provided solar EUV irradiance measurements from four instruments built at the USC Space Sciences Center: the Rare Gas Ionization Cell (RGIC), the Solar Extreme ultraviolet Monitor (SEM), the Dual Grating Spectrometer (DGS), and the Optics-Free Spectrometer (OFS), thus meeting the mission comprehensive success criteria. These sounding rocket data allow us to inter-compare the observed absolute EUV irradiance with the data taken at the same time from the SOHO and SDO solar observatories. The sounding rocket data from the two degradation-free instruments (DGS and OFS) can be used to verify the degradation rates of SOHO and SDO EUV channels and serve as a flight-proven prototypes for future improvements of degradation-free instrumentation for solar physics.

  14. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  15. EUVE observations of Algol: Detection of a continuum and implications for the coronal (Fe/H) abundance

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.; Lemen, James R.; Schmitt, Jurgen H. M. M.; Pye, John P.

    1995-01-01

    We report results from the first extreme ultraviolet spectrum of the prototypical eclipsing binary Algol (beta Per), obtained with the spectrometers on the Extreme Ultraviolet Explorer (EUVE). The Algol spectrum in the 80-350 A range is dominated by emission lines of Fe XVI-XXIV, and the He II 304 A line. The Fe emission is characteristic of high-temperature plasma at temperatures up to at least log T approximately 7.3 K. We have successfully modeled the observed quiescent spectrum using a continuous emission measure distribution with the bulk of the emitting material at log T greater than 6.5. We are able to adequately fit both the coronal lines and continuum data with a cosmic abundance plasma, but only if Algol's quiescent corona is dominated by material at log T greater than 7.5, which is physically ruled out by prior X-ray observations of the quiescent Algol spectrum. Since the coronal (Fe/H) abundance is the principal determinant of the line-to-continuum ratio in the EUV, allowing the abundance to be a free parameter results in models with a range of best-fit abundances approximately = 15%-40% of solar photospheric (Fe/H). Since Algol's photospheric (Fe/H) appears to be near-solar, the anomalous EUV line-to-continuum ratio could either be the result of element segregation in the coronal formation process, or other, less likely mechanisms that may enhance the continuum with respect to the lines.

  16. Laser-produced lithium plasma as a narrow-band extended ultraviolet radiation source for photoelectron spectroscopy.

    PubMed

    Schriever, G; Mager, S; Naweed, A; Engel, A; Bergmann, K; Lebert, R

    1998-03-01

    Extended ultraviolet (EUV) emission characteristics of a laser-produced lithium plasma are determined with regard to the requirements of x-ray photoelectron spectroscopy. The main features of interest are spectral distribution, photon flux, bandwidth, source size, and emission duration. Laser-produced lithium plasmas are characterized as emitters of intense narrow-band EUV radiation. It can be estimated that the lithium Lyman-alpha line emission in combination with an ellipsoidal silicon/molybdenum multilayer mirror is a suitable EUV source for an x-ray photoelectron spectroscopy microscope with a 50-meV energy resolution and a 10-mum lateral resolution.

  17. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    NASA Astrophysics Data System (ADS)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  18. Patterned mask inspection technology with Projection Electron Microscope (PEM) technique for 11 nm half-pitch (hp) generation EUV masks

    NASA Astrophysics Data System (ADS)

    Hirano, Ryoichi; Iida, Susumu; Amano, Tsuyoshi; Watanabe, Hidehiro; Hatakeyama, Masahiro; Murakami, Takeshi; Yoshikawa, Shoji; Suematsu, Kenichi; Terao, Kenji

    2015-07-01

    High-sensitivity EUV mask pattern defect detection is one of the major issues in order to realize the device fabrication by using the EUV lithography. We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 ("Model EBEYE" is an EBARA's model code), and which seems to be quite promising for 16 nm hp generation EUVL Patterned mask Inspection (PI). Defect inspection sensitivity was evaluated by capturing an electron image generated at the mask by focusing onto an image sensor. The progress of the novel PEM optics performance is not only about making an image sensor with higher resolution but also about doing a better image processing to enhance the defect signal. In this paper, we describe the experimental results of EUV patterned mask inspection using the above-mentioned system. The performance of the system is measured in terms of defect detectability for 11 nm hp generation EUV mask. To improve the inspection throughput for 11 nm hp generation defect detection, it would require a data processing rate of greater than 1.5 Giga- Pixel-Per-Second (GPPS) that would realize less than eight hours of inspection time including the step-and-scan motion associated with the process. The aims of the development program are to attain a higher throughput, and enhance the defect detection sensitivity by using an adequate pixel size with sophisticated image processing resulting in a higher processing rate.

  19. Extreme ultraviolet spectroscopy diagnostics of low-temperature plasmas based on a sliced multilayer grating and glass capillary optics.

    PubMed

    Kantsyrev, V L; Safronova, A S; Williamson, K M; Wilcox, P; Ouart, N D; Yilmaz, M F; Struve, K W; Voronov, D L; Feshchenko, R M; Artyukov, I A; Vinogradov, A V

    2008-10-01

    New extreme ultraviolet (EUV) spectroscopic diagnostics of relatively low-temperature plasmas based on the application of an EUV spectrometer and fast EUV diodes combined with glass capillary optics is described. An advanced high resolution dispersive element sliced multilayer grating was used in the compact EUV spectrometer. For monitoring of the time history of radiation, filtered fast EUV diodes were used in the same spectral region (>13 nm) as the EUV spectrometer. The radiation from the plasma was captured by using a single inexpensive glass capillary that was transported onto the spectrometer entrance slit and EUV diode. The use of glass capillary optics allowed placement of the spectrometer and diodes behind the thick radiation shield outside the direction of a possible hard x-ray radiation beam and debris from the plasma source. The results of the testing and application of this diagnostic for a compact laser plasma source are presented. Examples of modeling with parameters of plasmas are discussed.

  20. Surface characterization of tin-based inorganic EUV resists

    NASA Astrophysics Data System (ADS)

    Frederick, Ryan T.; Diulus, J. Trey; Lyubinetsky, Igor; Hutchison, Danielle C.; Olsen, Morgan R.; Nyman, May; Herman, Gregory S.

    2018-03-01

    Metal oxide nanomaterials have shown promise for use as EUV resists. Recently, significant efforts have focused on tinoxo clusters that have high absorption coefficient Sn centers and radiation sensitive organic ligands. In our studies, we have investigated a β-Keggin butyl-Sn cluster (β-NaSn13), which is charge-neutral and allows studying radiation induced chemistries without interference from counterions. We have used ambient pressure X-ray photoelectron spectroscopy (APXPS) to investigate the contrast properties of the β-NaSn13 in ultrahigh vacuum (UHV) and in the presence of ambient oxygen. These contrast studies indicate that ambient oxygen reduces the dose requirements for the solubility transition of the β-NaSn13 photoresists. APXPS spectra collected before and after the solubility transition shows that ambient oxygen causes a greater loss of butyl ligands from the samples and the formation of more tin oxide for larger doses, suggesting the presence of reactive oxygen species. APXPS was also used to study processes during the post exposure bake, where we compared the differences in film chemistries in ambient oxygen or in UHV. There were only very small differences in the APXPS spectra before exposure and after exposure and the post exposure bake. However, ambient oxygen resulted in some changes for unexposed regions during the post exposure bake; there was a greater ratio of tin oxide to other oxygen species (alkoxy ligands, hydroxyls) for samples annealed in oxygen. These results have significance for EUV and e-beam lithography processing parameters, as well as implications for cluster design and ligand chemistries.

  1. Evidence for a New Class of Extreme Ultraviolet Sources

    NASA Technical Reports Server (NTRS)

    Maoz, Dan; Ofek, Eran O.; Shemi, Amotz

    1997-01-01

    Most of the sources detected in the extreme ultraviolet (EUV; 100-600 A) by the ROSAT/WFC and EUVE all-sky surveys have been identified with active late-type stars and hot white dwarfs that are near enough to the Earth to escape absorption by interstellar gas. However, about 15 per cent of EUV sources are as yet unidentified with any optical counterparts. We examine whether the unidentified EUV sources may consist of the same population of late-type stars and white dwarfs. We present B and R photometry of stars in the fields of seven of the unidentified EUV sources. We detect in the optical the entire main-sequence and white dwarf population out to the greatest distances where they could still avoid absorption. We use color-magnitude diagrams to demonstrate that, in most of the fields, none of the observed stars has the colours and magnitudes of late-type dwarfs at distances less than 100 pc. Similarly, none of the observed stars is a white dwarf within 500 pc that is hot enough to be a EUV emitter. The unidentified EUV sources we study are not detected in X-rays, while cataclysmic variables, X-ray binaries, and active galactic nuclei generally are. We conclude that some of the EUV sources may be a new class of nearby objects, which are either very faint at optical bands or which mimic the colours and magnitudes of distant late-type stars or cool white dwarfs. One candidate for optically faint objects is isolated old neutron stars, slowly accreting interstellar matter. Such neutron stars are expected to be abundant in the Galaxy, and have not been unambiguously detected.

  2. Optical coating technology for the EUV

    NASA Astrophysics Data System (ADS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    Adavaces in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  3. Optical coating technology for the EUV

    NASA Technical Reports Server (NTRS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    1991-01-01

    Advances in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  4. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source.

    PubMed

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 microm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2 x 10(11) Wcm(2) with a spot diameter of 175 microm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  5. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 μm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2×1011 W/cm2 with a spot diameter of 175 μm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  6. Process for fabricating high reflectance-low stress Mo--Si multilayer reflective coatings

    DOEpatents

    Montcalm, Claude; Mirkarimi, Paul B.

    2001-01-01

    A high reflectance-low stress Mo--Si multilayer reflective coating particularly useful for the extreme ultraviolet (EUV) wavelength region. While the multilayer reflective coating has particular application for EUV lithography, it has numerous other applications where high reflectance and low stress multilayer coatings are utilized. Multilayer coatings having high near-normal incidence reflectance (R.gtoreq.65%) and low residual stress (.ltoreq.100 MPa) have been produced using thermal and non-thermal approaches. The thermal approach involves heating the multilayer coating to a given temperature for a given time after deposition in order to induce structural changes in the multilayer coating that will have an overall "relaxation" effect without reducing the reflectance significantly.

  7. High reflectance-low stress Mo-Si multilayer reflective coatings

    DOEpatents

    Montcalm, Claude; Mirkarimi, Paul B.

    2000-01-01

    A high reflectance-low stress Mo-Si multilayer reflective coating particularly useful for the extreme ultraviolet (EUV) wavelength region. While the multilayer reflective coating has particular application for EUV lithography, it has numerous other applications where high reflectance and low stress multilayer coatings are utilized. Multilayer coatings having high near-normal incidence reflectance (R.gtoreq.65%) and low residual stress (.ltoreq.100 MPa) have been produced using thermal and non-thermal approaches. The thermal approach involves heating the multilayer coating to a given temperature for a given time after deposition in order to induce structural changes in the multilayer coating that will have an overall "relaxation" effect without reducing the reflectance significantly.

  8. Computational approach on PEB process in EUV resist: multi-scale simulation

    NASA Astrophysics Data System (ADS)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2017-03-01

    For decades, downsizing has been a key issue for high performance and low cost of semiconductor, and extreme ultraviolet lithography is one of the promising candidates to achieve the goal. As a predominant process in extreme ultraviolet lithography on determining resolution and sensitivity, post exposure bake has been mainly studied by experimental groups, but development of its photoresist is at the breaking point because of the lack of unveiled mechanism during the process. Herein, we provide theoretical approach to investigate underlying mechanism on the post exposure bake process in chemically amplified resist, and it covers three important reactions during the process: acid generation by photo-acid generator dissociation, acid diffusion, and deprotection. Density functional theory calculation (quantum mechanical simulation) was conducted to quantitatively predict activation energy and probability of the chemical reactions, and they were applied to molecular dynamics simulation for constructing reliable computational model. Then, overall chemical reactions were simulated in the molecular dynamics unit cell, and final configuration of the photoresist was used to predict the line edge roughness. The presented multiscale model unifies the phenomena of both quantum and atomic scales during the post exposure bake process, and it will be helpful to understand critical factors affecting the performance of the resulting photoresist and design the next-generation material.

  9. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    NASA Astrophysics Data System (ADS)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  10. Recent solar extreme ultraviolet irradiance observations and modeling: A review

    NASA Technical Reports Server (NTRS)

    Tobiska, W. Kent

    1993-01-01

    For more than 90 years, solar extreme ultraviolet (EUV) irradiance modeling has progressed from empirical blackbody radiation formulations, through fudge factors, to typically measured irradiances and reference spectra was well as time-dependent empirical models representing continua and line emissions. A summary of recent EUV measurements by five rockets and three satellites during the 1980s is presented along with the major modeling efforts. The most significant reference spectra are reviewed and threee independently derived empirical models are described. These include Hinteregger's 1981 SERF1, Nusinov's 1984 two-component, and Tobiska's 1990/1991/SERF2/EUV91 flux models. They each provide daily full-disk broad spectrum flux values from 2 to 105 nm at 1 AU. All the models depend to one degree or another on the long time series of the Atmosphere Explorer E (AE-E) EUV database. Each model uses ground- and/or space-based proxies to create emissions from solar atmospheric regions. Future challenges in EUV modeling are summarized including the basic requirements of models, the task of incorporating new observations and theory into the models, the task of comparing models with solar-terrestrial data sets, and long-term goals and modeling objectives. By the late 1990s, empirical models will potentially be improved through the use of proposed solar EUV irradiance measurements and images at selected wavelengths that will greatly enhance modeling and predictive capabilities.

  11. EXTREME ULTRAVIOLET EXPLORER OBSERVATIONS OF HERCULES X-1 OVER A 35 DAY CYCLE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leahy, D. A.; Dupuis, Jean, E-mail: leahy@ucalgary.c

    2010-06-01

    Observations of Hercules X-1 by the Extreme Ultraviolet Explorer covering most of the 35 day cycle are reported here. This is the only long extreme ultraviolet (EUV) observation of Her X-1. Simultaneous X-ray observations with the Rossi X-ray Timing Explorer All-Sky Monitor (RXTE/ASM) X-ray show that Her X-1 is in an X-ray anomalous low state. The first 4 days are also observed with the RXTE proportional counter array (PCA), which shows that the X-ray properties are nearly the same as for normal low states in Her X-1 with flux reduced by a factor of 2. In contrast, the EUV emissionmore » from Her X-1 is reduced by a factor of {approx}4 compared to normal low states. The twisted-tilted accretion disk responsible for the normal 35 day X-ray cycle can be modified to explain this behavior. An increased disk twist reduces the X-ray illumination of HZ Her by a factor of {approx}2 and of the disk surface by a somewhat larger factor, leading to a larger reduction in EUV flux compared to X-ray flux.« less

  12. CXRO - Mi-Young Im, Staff Scientist

    Science.gov Websites

    X-Ray Database Zone Plate Education Nanomagnetism X-Ray Microscopy LDJIM EUV Lithography EUV Mask Publications Contact The Center for X-Ray Optics is a multi-disciplined research group within Lawrence Berkeley -Ray Optics X-Ray Database Nanomagnetism X-Ray Microscopy EUV Lithography EUV Mask Imaging

  13. Reconstruction of Solar Extreme Ultraviolet Flux 1740 - 2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, Leif

    2016-11-01

    Solar extreme ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo-ionization of molecular oxygen. Solar heating of the ionosphere creates thermal winds, which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and falls with the Sun, and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us to deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the "Magnetic Crusade" of the 1830s and less reliable, but still usable, data are available for portions of the 100 years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F_{10.7} flux and the sunspot number, and we find that the reconstructed EUV flux reproduces the F_{10.7} flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant "solar magnetic ground state".

  14. Pathways to Earth-like atmospheres. Extreme ultraviolet (EUV)-powered escape of hydrogen-rich protoatmospheres.

    PubMed

    Lammer, Helmut; Kislyakova, K G; Odert, P; Leitzinger, M; Schwarz, R; Pilat-Lohinger, E; Kulikov, Yu N; Khodachenko, M L; Güdel, M; Hanslmeier, M

    2011-12-01

    We discuss the evolution of the atmosphere of early Earth and of terrestrial exoplanets which may be capable of sustaining liquid water oceans and continents where life may originate. The formation age of a terrestrial planet, its mass and size, as well as the lifetime in the EUV-saturated early phase of its host star play a significant role in its atmosphere evolution. We show that planets even in orbits within the habitable zone of their host stars might not lose nebular- or catastrophically outgassed initial protoatmospheres completely and could end up as water worlds with CO2 and hydrogen- or oxygen-rich upper atmospheres. If an atmosphere of a terrestrial planet evolves to an N2-rich atmosphere too early in its lifetime, the atmosphere may be lost. We show that the initial conditions set up by the formation of a terrestrial planet and by the evolution of the host star's EUV and plasma environment are very important factors owing to which a planet may evolve to a habitable world. Finally we present a method for studying the discussed atmosphere evolution hypotheses by future UV transit observations of terrestrial exoplanets.

  15. Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi

    2006-03-01

    We demonstrated a debris-free, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO II) nano-particles. By using a low SnO II concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  16. Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography

    NASA Astrophysics Data System (ADS)

    Popescu, Carmen; Frommhold, Andreas; McClelland, Alexandra; Roth, John; Ekinci, Yasin; Robinson, Alex P. G.

    2017-03-01

    Irresistible Materials is developing a new molecular resist system that demonstrates high-resolution capability based on the multi-trigger concept. A series of studies such as resist purification, developer choice,and enhanced resist crosslinking were conducted in order to optimize the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a line width roughness (LWR) of 2.7 nm at the XIL beamline of the Swiss Light source. Furthermore it was possible to pattern 14 nm hp features with dose of 14 mJ/cm2 with an LWR of 4.9 nm. We have also begun to investigate the addition of high-Z additives to EUV photoresist as a means to increase sensitivity and modify secondary electron blur.

  17. The EUV spectrophotometer on Atmosphere Explorer.

    NASA Technical Reports Server (NTRS)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  18. Free-standing coating patterns fabricated by ultraviolet contact lithography using photosensitive sol-gel coatings

    NASA Astrophysics Data System (ADS)

    Xiang, Youlai; Du, Ai; Li, Xiaoguang; Sun, Wei; Wu, Shuai; Li, Tiemin; Liu, Mingfang; Zhou, Bin

    2017-07-01

    Photosensitive ZrO2-SiO2 hybrid sol-gel coatings containing large contents of chelating rings were prepared by using the zirconium n-butoxide (TBOZ) and methyltriethoxysilane (MTES) as hybrid precursors, and benzoylacetone (BZAC) as chelating agent. The change of ultraviolet (UV) absorption spectra, chemical composition, and optical properties of ZrO2-SiO2 hybrid sol-gel coatings were analyzed before and after UV exposure and calcination. The refractive index of the ZrO2-SiO2 hybrid gel coatings decreased from 1.673 to 1.561 with the increase of the molar content of MTES in precursors. The sol-gel coating patterns with the periods of 20.24 μm, 10.11 μm and 3.99 μm on the PAMS substrates were firstly obtained by using the photosensitive ZrO2-SiO2 hybrid sol-gel films as fundamental materials through a process of UV contact lithography with photo masks and etching with ethanol. Finally, the free-standing gel coating patterns supported by copper grids, with the period of 12.70 μm and line width of 4.93 μm, and the period of 14.20 μm and line width of 3.82 μm, were obtained by removing the PAMS thermal degradation sacrifice layer after being calcined at 330 °C. Micrometer-periodic free-standing gel coating patterns with different structure have potential applications in the laser physical experiments.

  19. Using synchrotron light to accelerate EUV resist and mask materials learning

    NASA Astrophysics Data System (ADS)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  20. The Extreme Ultraviolet Explorer science instruments development - Lessons learned

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Battel, S.

    1991-01-01

    The science instruments development project for the Extreme Ultraviolet Explorer (EUVE) satellite is reviewed. Issues discussed include the philosophical basis of the program, the establishment of a tight development team, the approach to planning and phasing activities, the handling of the most difficult technical problems, and the assessment of the work done during the preimplemntation period of the project.

  1. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  2. An operations and command systems for the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Muscettola, Nicola; Korsmeyer, David J.; Olson, Eric C.; Wong, Gary

    1994-01-01

    About 40% of the budget of a scientific spacecraft mission is usually consumed by Mission Operations & Data Analysis (MO&DA) with MO driving these costs. In the current practice, MO is separated from spacecraft design and comes in focus relatively late in the mission life cycle. As a result, spacecraft may be designed that are very difficult to operate. NASA centers have extensive MO expertise but often lessons learned in one mission are not exploited for other parallel or future missions. A significant reduction of MO costs is essential to ensure a continuing and growing access to space for the scientific community. We are addressing some of these issues with a highly automated payload operations and command system for an existing mission, the Extreme Ultraviolet Explorer (EUVE). EUVE is currently operated jointly by the Goddard Space Flight Center (GSFC), responsible for spacecraft operations, and the Center for Extreme Ultraviolet Astrophysics (CEA) of the University of California, Berkeley, which controls the telescopes and scientific instruments aboard the satellite. The new automated system is being developed by a team including personnel from the NASA Ames Research Center (ARC), the Jet Propulsion Laboratory (JPL) and the Center for EUV Astrophysics (CEA). An important goal of the project is to provide AI-based technology that can be easily operated by nonspecialists in AI. Another important goal is the reusability of the techniques for other missions. Models of the EUVE spacecraft need to be built both for planning/scheduling and for monitoring. In both cases, our modeling tools allow the assembly of a spacecraft model from separate sub-models of the various spacecraft subsystems. These sub-models are reusable; therefore, building mission operations systems for another small satellite mission will require choosing pre-existing modules, reparametrizing them with respect to the actual satellite telemetry information, and reassembling them in a new model. We

  3. Dual-domain lateral shearing interferometer

    DOEpatents

    Naulleau, Patrick P.; Goldberg, Kenneth Alan

    2004-03-16

    The phase-shifting point diffraction interferometer (PS/PDI) was developed to address the problem of at-wavelength metrology of extreme ultraviolet (EUV) optical systems. Although extremely accurate, the fact that the PS/PDI is limited to use with coherent EUV sources, such as undulator radiation, is a drawback for its widespread use. An alternative to the PS/PDI, with relaxed coherence requirements, is lateral shearing interferometry (LSI). The use of a cross-grating, carrier-frequency configuration to characterize a large-field 4.times.-reduction EUV lithography optic is demonstrated. The results obtained are directly compared with PS/PDI measurements. A defocused implementation of the lateral shearing interferometer in which an image-plane filter allows both phase-shifting and Fourier wavefront recovery. The two wavefront recovery methods can be combined in a dual-domain technique providing suppression of noise added by self-interference of high-frequency components in the test-optic wavefront.

  4. Ultimate waveform reproducibility of extreme-ultraviolet pulses by high-harmonic generation in quartz

    NASA Astrophysics Data System (ADS)

    Garg, M.; Kim, H. Y.; Goulielmakis, E.

    2018-05-01

    Optical waveforms of light reproducible with subcycle precision underlie applications of lasers in ultrafast spectroscopies, quantum control of matter and light-based signal processing. Nonlinear upconversion of optical pulses via high-harmonic generation in gas media extends these capabilities to the extreme ultraviolet (EUV). However, the waveform reproducibility of the generated EUV pulses in gases is inherently sensitive to intensity and phase fluctuations of the driving field. We used photoelectron interferometry to study the effects of intensity and carrier-envelope phase of an intense single-cycle optical pulse on the field waveform of EUV pulses generated in quartz nanofilms, and contrasted the results with those obtained in gas argon. The EUV waveforms generated in quartz were found to be virtually immune to the intensity and phase of the driving field, implying a non-recollisional character of the underlying emission mechanism. Waveform-sensitive photonic applications and precision measurements of fundamental processes in optics will benefit from these findings.

  5. CCD imaging system for the EUV solar telescope

    NASA Astrophysics Data System (ADS)

    Gong, Yan; Song, Qian; Ye, Bing-Xun

    2006-01-01

    In order to develop the detector adapted to the space solar telescope, we have built a CCD camera system capable of working in the extra ultraviolet (EUV) band, which is composed of one phosphor screen, one intensified system using a photocathode/micro-channel plate(MCP)/ phosphor, one optical taper and one chip of front-illuminated (FI) CCD without screen windows. All of them were stuck one by one with optical glue. The working principle of the camera system is presented; moreover we have employed the mesh experiment to calibrate and test the CCD camera system in 15~24nm, the position resolution of about 19 μm is obtained at the wavelength of 17.1nm and 19.5nm.

  6. The Development of a New Model of Solar EUV Irradiance Variability

    NASA Technical Reports Server (NTRS)

    Warren, Harry; Wagner, William J. (Technical Monitor)

    2002-01-01

    The goal of this research project is the development of a new model of solar EUV (Extreme Ultraviolet) irradiance variability. The model is based on combining differential emission measure distributions derived from spatially and spectrally resolved observations of active regions, coronal holes, and the quiet Sun with full-disk solar images. An initial version of this model was developed with earlier funding from NASA. The new version of the model developed with this research grant will incorporate observations from SoHO as well as updated compilations of atomic data. These improvements will make the model calculations much more accurate.

  7. EUVL masks: paving the path for commercialization

    NASA Astrophysics Data System (ADS)

    Mangat, Pawitter J. S.; Hector, Scott D.

    2001-09-01

    Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No

  8. Tuning extreme ultraviolet emission for optimum coupling with multilayer mirrors for future lithography through control of ionic charge states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohashi, Hayato, E-mail: ohashi@cc.utsunomiya-u.ac.jp; Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Suzuki, Yuhei

    2014-01-21

    We report on the identification of the optimum plasma conditions for a laser-produced plasma source for efficient coupling with multilayer mirrors at 6.x nm for beyond extreme ultraviolet lithography. A small shift to lower energies of the peak emission for Nd:YAG laser-produced gadolinium plasmas was observed with increasing laser power density. Charge-defined emission spectra were observed in electron beam ion trap (EBIT) studies and the charge states responsible identified by use of the flexible atomic code (FAC). The EBIT spectra displayed a larger systematic shift of the peak wavelength of intense emission at 6.x nm to longer wavelengths with increasingmore » ionic charge. This combination of spectra enabled the key ion stage to be confirmed as Gd{sup 18+}, over a range of laser power densities, with contributions from Gd{sup 17+} and Gd{sup 19+} responsible for the slight shift to longer wavelengths in the laser-plasma spectra. The FAC calculation also identified the origin of observed out-of-band emission and the charge states responsible.« less

  9. The Extreme Ultraviolet Explorer - Optics fabrication and performance

    NASA Technical Reports Server (NTRS)

    Green, J.; Finley, D.; Bowyer, S.; Malina, R. F.

    1986-01-01

    The fabrication methods, testing and evaluation techniques, and performance results are presented for the mirrors for the Extreme Ultraviolet Explorer (EUVE). The finest mirror produced to date has a measured half energy width of 8 arcsec at optical wavelengths. With a polished nickel surface, the telescope throughput was 35 percent at 44 A and 60 percent at 256 A. The surface roughness is 20 A rms.

  10. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    NASA Astrophysics Data System (ADS)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  11. Modeling of radiative properties of Sn plasmas for extreme-ultraviolet source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sasaki, Akira; Sunahara, Atsushi; Furukawa, Hiroyuki

    Atomic processes in Sn plasmas are investigated for application to extreme-ultraviolet (EUV) light sources used in microlithography. We develop a full collisional radiative (CR) model of Sn plasmas based on calculated atomic data using Hebrew University Lawrence Livermore Atomic Code (HULLAC). Resonance and satellite lines from singly and multiply excited states of Sn ions, which contribute significantly to the EUV emission, are identified and included in the model through a systematic investigation of their effect on the emission spectra. The wavelengths of the 4d-4f+4p-4d transitions of Sn{sup 5+} to Sn{sup 13+} are investigated, because of their importance for determining themore » conversion efficiency of the EUV source, in conjunction with the effect of configuration interaction in the calculation of atomic structure. Calculated emission spectra are compared with those of charge exchange spectroscopy and of laser produced plasma EUV sources. The comparison is also carried out for the opacity of a radiatively heated Sn sample. A reasonable agreement is obtained between calculated and experimental EUV emission spectra observed under the typical condition of EUV sources with the ion density and ionization temperature of the plasma around 10{sup 18} cm{sup -3} and 20 eV, respectively, by applying a wavelength correction to the resonance and satellite lines. Finally, the spectral emissivity and opacity of Sn plasmas are calculated as a function of electron temperature and ion density. The results are useful for radiation hydrodynamics simulations for the optimization of EUV sources.« less

  12. Unraveling the role of secondary electrons upon their interaction with photoresist during EUV exposure

    NASA Astrophysics Data System (ADS)

    Pollentier, Ivan; Vesters, Yannick; Jiang, Jing; Vanelderen, Pieter; de Simone, Danilo

    2017-10-01

    The interaction of 91.6eV EUV photons with photoresist is very different to that of optical lithography at DUV wavelength. The latter is understood quite well and it is known that photons interact with the resist in a molecular way through the photoacid generator (PAG) of the chemically amplified resist (CAR). In EUV however, the high energy photons interact with the matter on atomic scale, resulting in the generation of secondary electrons. It is believed that these secondary electrons in their turn are responsible in chemical modification and lead to switching reactions that enable resist local dissolution. However, details of the interaction are still unclear, e.g. which reaction an electron with a given energy can initiate. In this work we have introduced a method to measure the chemical interaction of the secondary electrons with the EUV resist. The method is based on electron gun exposures of low energy electrons (range 1eV to 80eV) in the photoresist. The chemical interaction is then measured by Residual Gas Analysis (RGA), which can analyze out of the outgassing which and how much reaction products are generated. In this way a `chemical yield' can be quantified as function of electron energy. This method has been successfully applied to understand the interaction of secondary electrons on the traditional CAR materials. The understanding was facilitated by testing different compositions of an advanced EUV CAR, where resp. polymer only, polymer+PAG, and polymer+PAG+quencher are tested with the electron gun. It was found that low energy electrons down to 3-4eV can activate PAG dissociation, which can lead to polymer deprotection. However it was observed too that energy electrons of 12eV and higher can do direct deprotection even in absence of the PAG. In addition, testing suggests that electrons can generate also other chemical changes on the polymer chain that could lead to cross-linking.

  13. The extreme ultraviolet spectra of low-redshift radio-loud quasars

    NASA Astrophysics Data System (ADS)

    Punsly, Brian; Reynolds, Cormac; Marziani, Paola; O'Dea, Christopher P.

    2016-07-01

    This paper reports on the extreme ultraviolet (EUV) spectrum of three low-redshift (z ˜ 0.6) radio-loud quasars, 3C 95, 3C 57 and PKS 0405-123. The spectra were obtained with the Cosmic Origins Spectrograph of the Hubble Space Telescope. The bolometric thermal emission, Lbol, associated with the accretion flow is a large fraction of the Eddington limit for all of these sources. We estimate the long-term time-averaged jet power, overline{Q}, for the three sources. overline{Q}/L_{bol}, is shown to lie along the correlation of overline{Q}/L_{bol}, and αEUV found in previous studies of the EUV continuum of intermediate and high-redshift quasars, where the EUV continuum flux density between 1100 and 700 Å is defined by F_{ν } ˜ ν ^{-α _{EUV}}. The high Eddington ratios of the three quasars extend the analysis into a wider parameter space. Selecting quasars with high Eddington ratios has accentuated the statistical significance of the partial correlation analysis of the data. Namely, the correlation of overline{Q}/L_{bol} and αEUV is fundamental, and the correlation of overline{Q} and αEUV is spurious at a very high statistical significance level (99.8 per cent). This supports the regulating role of ram pressure of the accretion flow in magnetically arrested accretion models of jet production. In the process of this study, we use multifrequency and multiresolution Very Large Array radio observations to determine that one of the bipolar jets in 3C 57 is likely frustrated by galactic gas that keeps the jet from propagating outside the host galaxy.

  14. The solar flare extreme ultraviolet to hard X-ray ratio

    NASA Technical Reports Server (NTRS)

    Mcclymont, A. N.; Canfield, R. C.

    1986-01-01

    Simultaneous measurements of the peak 10-1030 A extreme ultraviolet (EUV) flux enhancement and more than 10 keV hard X-ray (HXR) peak flux of many solar flare bursts, ranging over about four orders of magnitude in HXR intensity, are studied. A real departure from linearity is found in the relationship between the peak EUV and HXR fluxes in impulsive flare bursts. This relationship is well described by a given power law. Comparison of the predictions of the impulsive nonthermal thick-target electron beam model with observations shows that the model satisfactorily predicts the observed time differences between the HXR and EUV peaks and explains the data very well under given specific assumptions. It is concluded that the high-energy fluxes implied by the invariant area thick-target model cannot be completely ruled out, while the invariant area model with smaller low cutoff requires impossibly large beam densities. A later alternative thick-target model is suggested.

  15. Extreme ultraviolet interferometry of warm dense matter in laser plasmas.

    PubMed

    Gartside, L M R; Tallents, G J; Rossall, A K; Wagenaars, E; Whittaker, D S; Kozlová, M; Nejdl, J; Sawicka, M; Polan, J; Kalal, M; Rus, B

    2010-11-15

    We demonstrate that interferometric probing with extreme ultraviolet (EUV) laser light enables determination of the degree of ionization of the "warm dense matter" produced between the critical and ablation surfaces of laser plasmas. Interferometry has been utilized to measure both transmission and phase information for an EUV laser beam at the photon energy of 58.5 eV, probing longitudinally through laser-irradiated plastic (parylene-N) targets (thickness 350 nm) irradiated by a 300 ps duration pulse of wavelength 438 nm and peak irradiance 10(12) W cm(-2). The transmission of the EUV probe beam provides a measure of the rate of target ablation, as ablated plasma becomes close to transparent when the photon energy is less than the ionization energy of the predominant ion species. We show that refractive indices η below the solid parylene N (η(solid) = 0.946) and expected plasma values are produced in the warm dense plasma created by laser irradiation due to bound-free absorption in C(+).

  16. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  17. Extreme Ultraviolet Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO): Overview of Science Objectives, Instrument Design, Data Products, and Model Developments

    NASA Technical Reports Server (NTRS)

    Woods, T. N.; Eparvier, F. G.; Hock, R.; Jones, A. R.; Woodraska, D.; Judge, D.; Didkovsky, L.; Lean, J.; Mariska, J.; Warren, H.; hide

    2010-01-01

    The highly variable solar extreme ultraviolet (EUV) radiation is the major energy input to the Earth's upper atmosphere, strongly impacting the geospace environment, affecting satellite operations, communications, and navigation. The Extreme ultraviolet Variability Experiment (EVE) onboard the NASA Solar Dynamics Observatory (SDO) will measure the solar EUV irradiance from 0.1 to 105 nm with unprecedented spectral resolution (0.1 nm), temporal cadence (ten seconds), and accuracy (20%). EVE includes several irradiance instruments: The Multiple EUV Grating Spectrographs (MEGS)-A is a grazingincidence spectrograph that measures the solar EUV irradiance in the 5 to 37 nm range with 0.1-nm resolution, and the MEGS-B is a normal-incidence, dual-pass spectrograph that measures the solar EUV irradiance in the 35 to 105 nm range with 0.1-nm resolution. To provide MEGS in-flight calibration, the EUV SpectroPhotometer (ESP) measures the solar EUV irradiance in broadbands between 0.1 and 39 nm, and a MEGS-Photometer measures the Sun s bright hydrogen emission at 121.6 nm. The EVE data products include a near real-time space-weather product (Level 0C), which provides the solar EUV irradiance in specific bands and also spectra in 0.1-nm intervals with a cadence of one minute and with a time delay of less than 15 minutes. The EVE higher-level products are Level 2 with the solar EUV irradiance at higher time cadence (0.25 seconds for photometers and ten seconds for spectrographs) and Level 3 with averages of the solar irradiance over a day and over each one-hour period. The EVE team also plans to advance existing models of solar EUV irradiance and to operationally use the EVE measurements in models of Earth s ionosphere and thermosphere. Improved understanding of the evolution of solar flares and extending the various models to incorporate solar flare events are high priorities for the EVE team.

  18. An Extreme-ultraviolet Wave Generating Upward Secondary Waves in a Streamer-like Solar Structure

    NASA Astrophysics Data System (ADS)

    Zheng, Ruisheng; Chen, Yao; Feng, Shiwei; Wang, Bing; Song, Hongqiang

    2018-05-01

    Extreme-ultraviolet (EUV) waves, spectacular horizontally propagating disturbances in the low solar corona, always trigger horizontal secondary waves (SWs) when they encounter the ambient coronal structure. We present the first example of upward SWs in a streamer-like structure after the passing of an EUV wave. This event occurred on 2017 June 1. The EUV wave happened during a typical solar eruption including a filament eruption, a coronal mass ejection (CME), and a C6.6 flare. The EUV wave was associated with quasi-periodic fast propagating (QFP) wave trains and a type II radio burst that represented the existence of a coronal shock. The EUV wave had a fast initial velocity of ∼1000 km s‑1, comparable to high speeds of the shock and the QFP wave trains. Intriguingly, upward SWs rose slowly (∼80 km s‑1) in the streamer-like structure after the sweeping of the EUV wave. The upward SWs seemed to originate from limb brightenings that were caused by the EUV wave. All of the results show that the EUV wave is a fast-mode magnetohydrodynamic (MHD) shock wave, likely triggered by the flare impulses. We suggest that part of the EUV wave was probably trapped in the closed magnetic fields of the streamer-like structure, and upward SWs possibly resulted from the release of slow-mode trapped waves. It is believed that the interplay of the strong compression of the coronal shock and the configuration of the streamer-like structure is crucial for the formation of upward SWs.

  19. High-harmonic generation by field enhanced femtosecond pulses in metal-sapphire nanostructure

    PubMed Central

    Han, Seunghwoi; Kim, Hyunwoong; Kim, Yong Woo; Kim, Young-Jin; Kim, Seungchul; Park, In-Yong; Kim, Seung-Woo

    2016-01-01

    Plasmonic high-harmonic generation (HHG) drew attention as a means of producing coherent extreme ultraviolet (EUV) radiation by taking advantage of field enhancement occurring in metallic nanostructures. Here a metal-sapphire nanostructure is devised to provide a solid tip as the HHG emitter, replacing commonly used gaseous atoms. The fabricated solid tip is made of monocrystalline sapphire surrounded by a gold thin-film layer, and intended to produce EUV harmonics by the inter- and intra-band oscillations of electrons driven by the incident laser. The metal-sapphire nanostructure enhances the incident laser field by means of surface plasmon polaritons, triggering HHG directly from moderate femtosecond pulses of ∼0.1 TW cm−2 intensities. The measured EUV spectra exhibit odd-order harmonics up to ∼60 nm wavelengths without the plasma atomic lines typically seen when using gaseous atoms as the HHG emitter. This experimental outcome confirms that the plasmonic HHG approach is a promising way to realize coherent EUV sources for nano-scale near-field applications in spectroscopy, microscopy, lithography and atto-second physics. PMID:27721374

  20. A double-stream Xe:He jet plasma emission in the vicinity of 6.7 nm

    NASA Astrophysics Data System (ADS)

    Chkhalo, N. I.; Garakhin, S. A.; Golubev, S. V.; Lopatin, A. Ya.; Nechay, A. N.; Pestov, A. E.; Salashchenko, N. N.; Toropov, M. N.; Tsybin, N. N.; Vodopyanov, A. V.; Yulin, S.

    2018-05-01

    We present the results of investigations of extreme ultraviolet (EUV) light emission in the range from 5 to 10 nm. The light source was a pulsed "double-stream" Xe:He gas jet target irradiated by a laser beam with a power density of ˜1011 W/cm2. The radiation spectra were measured with a Czerny-Turner monochromator with a plane diffraction grating. The conversion efficiency of the laser energy into EUV radiation caused by Xe+14…+16 ion emission in the range of 6-8 nm was measured using a calibrated power meter. The conversion efficiency of the laser radiation into EUV in the vicinity of 6.7 nm was (2.17 ± 0.13)% in a 1 nm spectral band. In the spectral band of the real optical system (0.7% for La/B multilayer mirrors) emitted into the half-space, it was (0.1 ± 0.006)%. The results of this study provide an impetus for further research on laser plasma sources for maskless EUV lithography at a wavelength of 6.7 nm.

  1. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  2. EUV Solar Instrument Development at the Marshall Space Flight Center

    NASA Astrophysics Data System (ADS)

    Kobayashi, K.; Cirtain, J. W.; Davis, J. M.; West, E.; Golub, L.; Korreck, K. E.; Tsuneta, S.; Bando, T.

    2009-12-01

    The three sounding rocket instrument programs currently underway at the NASA Marshall Space Flight Center represent major advances in solar observations, made possible by improvements in EUV optics and detector technology. The Solar Ultraviolet Magnetograph Instrument (SUMI) is an EUV spectropolarimeter designed to measure the Zeeman splitting of two chromospheric EUV lines, the 280 nm MgII and 155 nm CIV lines. SUMI directly observes the magnetic field in the low-beta region where most energetic phenomena are though to originate. In conjunction with visible-light magnetographs, this observation allows us to track the evolution of the magnetic field as it evolves from the photosphere to the upper chromosphere. SUMI incorporates a normal incidence Cassegrain telescope, a MgF2 double-Wollaston polarizing beam splitter and two TVLS (toroidal varied line space) gratings, and is capable of observing two orthogonal polarizations in two wavelength bands simultaneously. SUMI has been fully assembled and tested, and currently scheduled for launch in summer of 2010. The High-resolution Coronal Imager is a normal-incidence EUV imaging telescope designed to achieve 0.2 arcsecond resolution, with a pixel size of 0.1 arcsecond. This is a factor of 25 improvement in aerial resolution over the Transition Region And Coronal Explorer (TRACE). Images obtained by TRACE indicate presence of unresolved structures; higher resolution images will reveal the scale and topology of structures that make up the corona. The telescope mirrors are currently being fabricated, and the instrument has been funded for flight. In addition, a Lyman alpha spectropolarimeter is under development in collaboration with the National Astronomical Observatory of Japan. This aims to detect the linear polarization in the chromosphere caused by the Hanle effect. Horizontal magnetic fields in the chromosphere are expected to be detectable as polarization near disk center, and off-limb observations will reveal the

  3. Active galaxies observed during the Extreme Ultraviolet Explorer all-sky survey

    NASA Technical Reports Server (NTRS)

    Marshall, H. L.; Fruscione, A.; Carone, T. E.

    1995-01-01

    We present observations of active galactic nuclei (AGNs) obtained with the Extreme Ultraviolet Explorer (EUVE) during the all-sky survey. A total of 13 sources were detected at a significance of 2.5 sigma or better: seven Seyfert galaxies, five BL Lac objects, and one quasar. The fraction of BL Lac objects is higher in our sample than in hard X-ray surveys but is consistent with the soft X-ray Einstein Slew Survey, indicating that the main reason for the large number of BL Lac objects in the extreme ulktraviolet (EUV) and soft X-ray bands is their steeper X-ray spectra. We show that the number of AGNs observed in both the EUVE and ROSAT Wide Field Camera surveys can readily be explained by modelling the EUV spectra with a simple power law in the case of BL Lac objects and with an additional EUV excess in the case of Seyferts and quasars. Allowing for cold matter absorption in Seyfert galaxy hosts drive up the inferred average continuum slope to 2.0 +/- 0.5 (at 90% confidence), compared to a slope of 1.0 usually found from soft X-ray data. If Seyfert galaxies without EUV excesses form a significant fraction of the population, then the average spectrum of those with bumps should be even steeper. We place a conservative limit on neutral gas in BL Lac objects: N(sub H) less than 10(exp 20)/sq cm.

  4. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, M. C. E.; Lemaire, P.; Marsch, E.; Poland, A. I.

    1988-01-01

    The SUMER (solar ultraviolet measurements of emitted radiation) experiment is described. It will study flows, turbulent motions, waves, temperatures and densities of the plasma in the upper atmosphere of the Sun. Structures and events associated with solar magnetic activity will be observed on various spatial and temporal scales. This will contribute to the understanding of coronal heating processes and the solar wind expansion. The instrument will take images of the Sun in EUV (extreme ultra violet) light with high resolution in space, wavelength and time. The spatial resolution and spectral resolving power of the instrument are described. Spectral shifts can be determined with subpixel accuracy. The wavelength range extends from 500 to 1600 angstroms. The integration time can be as short as one second. Line profiles, shifts and broadenings are studied. Ratios of temperature and density sensitive EUV emission lines are established.

  5. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    NASA Astrophysics Data System (ADS)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  6. The patterning center of excellence (CoE): an evolving lithographic enablement model

    NASA Astrophysics Data System (ADS)

    Montgomery, Warren; Chun, Jun Sung; Liehr, Michael; Tittnich, Michael

    2015-03-01

    As EUV lithography moves toward high-volume manufacturing (HVM), a key need for the lithography materials makers is access to EUV photons and imaging. The SEMATECH Resist Materials Development Center (RMDC) provided a solution path by enabling the Resist and Materials companies to work together (using SUNY Polytechnic Institute's Colleges of Nanoscale Science and Engineering (SUNY Poly CNSE) -based exposure systems), in a consortium fashion, in order to address the need for EUV photons. Thousands of wafers have been processed by the RMDC (leveraging the SUNY Poly CNSE/SEMATECH MET, SUNY Poly CNSE Alpha Demo Tool (ADT) and the SEMATECH Lawrence Berkeley MET) allowing many of the questions associated with EUV materials development to be answered. In this regard the activities associated with the RMDC are continuing. As the major Integrated Device Manufacturers (IDMs) have continued to purchase EUV scanners, Materials companies must now provide scanner based test data that characterizes the lithography materials they are producing. SUNY Poly CNSE and SEMATECH have partnered to evolve the RMDC into "The Patterning Center of Excellence (CoE)". The new CoE leverages the capability of the SUNY Poly CNSE-based full field ASML 3300 EUV scanner and combines that capability with EUV Microexposure (MET) systems resident in the SEMATECH RMDC to create an integrated lithography model which will allow materials companies to advance materials development in ways not previously possible.

  7. Ionospheric Change and Solar EUV Irradiance

    NASA Astrophysics Data System (ADS)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  8. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory.

    PubMed

    Soufli, Regina; Baker, Sherry L; Windt, David L; Gullikson, Eric M; Robinson, Jeff C; Podgorski, William A; Golub, Leon

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV) wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement with EUV reflectance measurements of the mirrors after multilayer coating.

  9. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, Regina; Baker, Sherry L.; Windt, David L.

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV)wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement withmore » EUV reflectance measurements of the mirrors after multilayer coating.« less

  10. Amorphous silicon carbide coatings for extreme ultraviolet optics

    NASA Technical Reports Server (NTRS)

    Kortright, J. B.; Windt, David L.

    1988-01-01

    Amorphous silicon carbide films formed by sputtering techniques are shown to have high reflectance in the extreme ultraviolet spectral region. X-ray scattering verifies that the atomic arrangements in these films are amorphous, while Auger electron spectroscopy and Rutherford backscattering spectroscopy show that the films have composition close to stoichiometric SiC, although slightly C-rich, with low impurity levels. Reflectance vs incidence angle measurements from 24 to 1216 A were used to derive optical constants of this material, which are presented here. Additionally, the measured extreme ultraviolet efficiency of a diffraction grating overcoated with sputtered amorphous silicon carbide is presented, demonstrating the feasibility of using these films as coatings for EUV optics.

  11. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers

    NASA Astrophysics Data System (ADS)

    Larivière, Stéphane; Wilson, Christopher J.; Kutrzeba Kotowska, Bogumila; Versluijs, Janko; Decoster, Stefan; Mao, Ming; van der Veen, Marleen H.; Jourdan, Nicolas; El-Mekki, Zaid; Heylen, Nancy; Kesters, Els; Verdonck, Patrick; Béral, Christophe; Van den Heuvel, Dieter; De Bisschop, Peter; Bekaert, Joost; Blanco, Victor; Ciofi, Ivan; Wan, Danny; Briggs, Basoene; Mallik, Arindam; Hendrickx, Eric; Kim, Ryoung-han; McIntyre, Greg; Ronse, Kurt; Bömmels, Jürgen; Tőkei, Zsolt; Mocuta, Dan

    2018-03-01

    The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore's law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations. Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers. In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.

  12. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    NASA Astrophysics Data System (ADS)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  13. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    NASA Astrophysics Data System (ADS)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  14. Solar EUV irradiance for space weather applications

    NASA Astrophysics Data System (ADS)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  15. Method for generating extreme ultraviolet with mather-type plasma accelerators for use in Extreme Ultraviolet Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hassanein, Ahmed; Konkashbaev, Isak

    A device and method for generating extremely short-wave ultraviolet electromagnetic wave uses two intersecting plasma beams generated by two plasma accelerators. The intersection of the two plasma beams emits electromagnetic radiation and in particular radiation in the extreme ultraviolet wavelength. In the preferred orientation two axially aligned counter streaming plasmas collide to produce an intense source of electromagnetic radiation at the 13.5 nm wavelength. The Mather type plasma accelerators can utilize tin, or lithium covered electrodes. Tin, lithium or xenon can be used as the photon emitting gas source.

  16. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  17. The extreme ultraviolet spectrograph: A radial groove grating, sounding rocket-borne, astronomical instrument

    NASA Technical Reports Server (NTRS)

    Wilkinson, Erik; Green, James C.; Cash, Webster

    1993-01-01

    The design, calibration, and sounding rocket flight performance of a novel spectrograph suitable for moderate-resolution EUV spectroscopy are presented. The sounding rocket-borne instrument uses a radial groove grating to maintain a high system efficiency while controlling the aberrations induced when doing spectroscopy in a converging beam. The instrument has a resolution of approximately 2 A across the 200-330 A bandpass with an average effective area of 2 sq cm. The instrument, called the Extreme Ultraviolet Spectrograph, acquired the first EUV spectra in this wavelength region of the hot white dwarf G191-B2B and the late-type star Capella.

  18. EUV-induced oxidation of carbon on TiO2.

    PubMed

    Faradzhev, Nadir S; Hill, Shannon B

    2016-10-01

    Previously we reported estimates of the maximum etch rates of C on TiO 2 by oxidizers including NO, O 3 and H 2 O 2 when irradiated by a spatially-non-uniform beam of extreme ultraviolet (EUV) radiation at 13.5 nm (Faradzhev et al., 2013). Here we extend that work by presenting temporally and spatially resolved measurements of the C etching by these oxidizers as a function of EUV intensity in the range (0.3 to 3) mW/mm 2 [(0.2 to 2) × 10 16 photons s -1 cm -2 ]. We find that the rates for NO scale linearly with intensity and are smaller than those for O 3 , which exhibit a weak, sub-linear intensity dependence in this range. We demonstrate that these behaviors are consistent with adsorption of the oxidizing precursor on the C surface followed by a photon-stimulated reaction resulting in volatile C-containing products. The kinetics of photon-induced C etching by hydrogen peroxide, however, appear to be more complex. The spatially resolved measurements reveal that C removal by H 2 O 2 begins at the edges of the C spot, where the light intensity is the lowest, and proceeds toward the center of the spot. This localization of the reaction may occur because hydroxyl radicals are produced efficiently on the catalytically active TiO 2 surface.

  19. Coordinated XTE/EUVE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, ASCA, and XTE observed the eclipsing binary Algol (Beta Per) from 1-7 Feb. 96. The coordinated observation covered approximately 2 binary orbits of the system, with a net exposure of approximately 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointing), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the Fe abundance in the Algol system.

  20. Multichannel silicon WDM ring filters fabricated with DUV lithography

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  1. 3D Microfabrication Using Emulsion Mask Grayscale Photolithography Technique

    NASA Astrophysics Data System (ADS)

    Lee, Tze Pin; Mohamed, Khairudin

    2016-02-01

    Recently, the rapid development of technology such as biochips, microfluidic, micro-optical devices and micro-electromechanical-systems (MEMS) demands the capability to create complex design of three-dimensional (3D) microstructures. In order to create 3D microstructures, the traditional photolithography process often requires multiple photomasks to form 3D pattern from several stacked photoresist layers. This fabrication method is extremely time consuming, low throughput, costly and complicated to conduct for high volume manufacturing scale. On the other hand, next generation lithography such as electron beam lithography (EBL), focused ion beam lithography (FIB) and extreme ultraviolet lithography (EUV) are however too costly and the machines require expertise to setup. Therefore, the purpose of this study is to develop a simplified method in producing 3D microstructures using single grayscale emulsion mask technique. By using this grayscale fabrication method, microstructures of thickness as high as 500μm and as low as 20μm are obtained in a single photolithography exposure. Finally, the fabrication of 3D microfluidic channel has been demonstrated by using this grayscale photolithographic technique.

  2. Note: Enhancement of the extreme ultraviolet emission from a potassium plasma by dual laser irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Yamaguchi, Mami; Otsuka, Takamitsu

    2014-09-15

    Emission spectra from multiply charged potassium ions ranging from K{sup 3+} to K{sup 5+} have been obtained in the extreme ultraviolet (EUV) spectral region. A strong emission feature peaking around 38 nm, corresponding to a photon energy of 32.6 eV, is the dominant spectral feature at time-averaged electron temperatures in the range of 8−12 eV. The variation of this emission with laser intensity and the effects of pre-pulses on the relative conversion efficiency (CE) have been explored experimentally and indicate that an enhancement of about 30% in EUV CE is readily attainable.

  3. Status of EUVL mask development in Europe (Invited Paper)

    NASA Astrophysics Data System (ADS)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  4. Materials Properties and Solvated Electron Dynamics of Isolated Nanoparticles and Nanodroplets Probed with Ultrafast Extreme Ultraviolet Beams.

    PubMed

    Ellis, Jennifer L; Hickstein, Daniel D; Xiong, Wei; Dollar, Franklin; Palm, Brett B; Keister, K Ellen; Dorney, Kevin M; Ding, Chengyuan; Fan, Tingting; Wilker, Molly B; Schnitzenbaumer, Kyle J; Dukovic, Gordana; Jimenez, Jose L; Kapteyn, Henry C; Murnane, Margaret M

    2016-02-18

    We present ultrafast photoemission measurements of isolated nanoparticles in vacuum using extreme ultraviolet (EUV) light produced through high harmonic generation. Surface-selective static EUV photoemission measurements were performed on nanoparticles with a wide array of compositions, ranging from ionic crystals to nanodroplets of organic material. We find that the total photoelectron yield varies greatly with nanoparticle composition and provides insight into material properties such as the electron mean free path and effective mass. Additionally, we conduct time-resolved photoelectron yield measurements of isolated oleylamine nanodroplets, observing that EUV photons can create solvated electrons in liquid nanodroplets. Using photoemission from a time-delayed 790 nm pulse, we observe that a solvated electron is produced in an excited state and subsequently relaxes to its ground state with a lifetime of 151 ± 31 fs. This work demonstrates that femotosecond EUV photoemission is a versatile surface-sensitive probe of the properties and ultrafast dynamics of isolated nanoparticles.

  5. Diagnosis of energy transport in iron buried layer targets using an extreme ultraviolet laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shahzad, M.; Culfa, O.; Rossall, A. K.

    2015-02-15

    We demonstrate the use of extreme ultra-violet (EUV) laboratory lasers in probing energy transport in laser irradiated solid targets. EUV transmission through targets containing a thin layer of iron (50 nm) encased in plastic (CH) after irradiation by a short pulse (35 fs) laser focussed to irradiances 3 × 10{sup 16} Wcm{sup −2} is measured. Heating of the iron layer gives rise to a rapid decrease in EUV opacity and an increase in the transmission of the 13.9 nm laser radiation as the iron ionizes to Fe{sup 5+} and above where the ion ionisation energy is greater than the EUV probe photon energy (89 eV).more » A one dimensional hydrodynamic fluid code HYADES has been used to simulate the temporal variation in EUV transmission (wavelength 13.9 nm) using IMP opacity values for the iron layer and the simulated transmissions are compared to measured transmission values. When a deliberate pre-pulse is used to preform an expanding plastic plasma, it is found that radiation is important in the heating of the iron layer while for pre-pulse free irradiation, radiation transport is not significant.« less

  6. State-of-the-art Nanofabrication in Catalysis.

    PubMed

    Karim, Waiz; Tschupp, Simon A; Herranz, Juan; Schmidt, Thomas J; Ekinci, Yasin; van Bokhovenac, Jeroen A

    2017-04-26

    We present recent developments in top-down nanofabrication that have found application in catalysis research. To unravel the complexity of catalytic systems, the design and use of models with control of size, morphology, shape and inter-particle distances is a necessity. The study of well-defined and ordered nanoparticles on a support contributes to the understanding of complex phenomena that govern reactions in heterogeneous and electro-catalysis. We review the strengths and limitations of different nanolithography methods such as electron beam lithography (EBL), photolithography, extreme ultraviolet (EUV) lithography and colloidal lithography for the creation of such highly tunable catalytic model systems and their applications in catalysis. Innovative strategies have enabled particle sizes reaching dimensions below 10 nm. It is now possible to create pairs of particles with distance controlled with an extremely high precision in the order of one nanometer. We discuss our approach to study these model systems at the single-particle level using X-ray absorption spectroscopy and show new ways to fabricate arrays of single nanoparticles or nanoparticles in pairs over a large area using EBL and EUV-achromatic Talbot lithography. These advancements have provided new insights into the active sites in metal catalysts and enhanced the understanding of the role of inter-particle interactions and catalyst supports, such as in the phenomenon of hydrogen spillover. We present a perspective on future directions for employing top-down nanofabrication in heterogeneous and electrocatalysis. The rapid development in nanofabrication and characterization methods will continue to have an impact on understanding of complex catalytic processes.

  7. Undercover EUV Solar Jets Observed by the Interface Region Imaging Spectrograph

    NASA Astrophysics Data System (ADS)

    Chen, N.-H.; Innes, D. E.

    2016-12-01

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si IV spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg II line profiles produced by the jets spires: reversed and non-reversed. Mg II lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (108 erg cm-2 s-1) toward the corona and the downward component is less than 3%.

  8. Generation of coherent magnons in NiO stimulated by EUV pulses from a seeded free-electron laser

    NASA Astrophysics Data System (ADS)

    Simoncig, A.; Mincigrucci, R.; Principi, E.; Bencivenga, F.; Calvi, A.; Foglia, L.; Kurdi, G.; Matruglio, A.; Dal Zilio, S.; Masciotti, V.; Lazzarino, M.; Masciovecchio, C.

    2017-12-01

    The full comprehension of magnetic phenomena at the femtosecond (fs) time scale is of high demand for current material science and technology. Here we report the observation of coherent collective modes in the antiferromagnetic insulator nickel oxide (NiO) identified by a frequency of 0.86 THz, which matches the expected out-of-plane single-mode magnon resonance. Such collective excitations are inelastically stimulated by extreme ultraviolet (EUV) pulses delivered by a seeded free-electron laser (FEL) and subsequently revealed probing the transient optical activity of NiO looking at the Faraday effect. Moreover, the unique capability of the employed FEL source to deliver circularly polarized pulses allows us to demonstrate optomagnetic control of such collective modes at EUV photon energies. These results may set a starting point for future investigations of magnetic materials at time scales comparable or faster than those typical of exchange interactions.

  9. Extreme Ultraviolet Emission Spectrum of CO_2 Induced by Electron Impact at 200 eV

    NASA Technical Reports Server (NTRS)

    Kanik, I.; Ajello, J. M.; James, G. K.

    1993-01-01

    We present the extreme ultraviolet (EUV) emission spectrum of CO_2 induced by electronimpact at 200 eV. There are 36 spectral features which are identified with a resolution of 0.5 nmover the wavelength range of 40 to 125 nm. Absolute emission cross sections were obtained for eachof these features. The EUV emission spectrum induced by electron impact consist of atomicmultiplets of CI,II and OI,II,III as well as CO and CO^+ molecular band systems produced bydissociative excitation. The CI (119.4 nm) multiplet is the strongest feature of CI with a peak crosssection of 3.61 x 10^(-19) cm^2 at 200 eV. The strongest feature of OI in the EUV spectrum is theOI (99.0 nm) multiplet with a peak cross section of 3.59 x 10^(-19) cm^2 at 200 eV.

  10. Method for plasma formation for extreme ultraviolet lithography-theta pinch

    DOEpatents

    Hassanein, Ahmed [Naperville, IL; Konkashbaev, Isak [Bolingbrook, IL; Rice, Bryan [Hillsboro, OR

    2007-02-20

    A device and method for generating extremely short-wave ultraviolet electromagnetic wave, utilizing a theta pinch plasma generator to produce electromagnetic radiation in the range of 10 to 20 nm. The device comprises an axially aligned open-ended pinch chamber defining a plasma zone adapted to contain a plasma generating gas within the plasma zone; a means for generating a magnetic field radially outward of the open-ended pinch chamber to produce a discharge plasma from the plasma generating gas, thereby producing a electromagnetic wave in the extreme ultraviolet range; a collecting means in optical communication with the pinch chamber to collect the electromagnetic radiation; and focusing means in optical communication with the collecting means to concentrate the electromagnetic radiation.

  11. Line analysis of EUV Spectra from Molybdenum and Tungsten Injected with Impurity Pellets in LHD

    NASA Astrophysics Data System (ADS)

    Chowdhuri, Malay Bikas; Morita, Shigeru; Goto, Motoshi; Nishimura, Hiroaki; Nagai, Keiji; Fujioka, Shinsuke

    Spectroscopic data on high-Z materials for impurity diagnostics are important due to its possible use as a plasma facing component in the next generation fusion device. For this purpose molybdenum and tungsten are injected by an impurity pellet injector into the large helical device (LHD) plasmas. Emissions from such highly ionized elements mostly fall in extreme ultraviolet (EUV) and soft X-ray ranges. The EUV spectra in a range of 20-500 Å are recorded using a flat-field EUV spectrometer. The observed emissions are identified with the help of its temporal evolution and detailed analysis is done with electron temperature profiles. At high central electron temperature (˜2.2 keV) molybdenum appears as an Al-, Mg- and Na-like ionization stages. Typical examples of identified transitions are Mo XXXI 190.46 Å (3 s2 1S-3s3p 3P) and Mo XXXII 176.63 Å (3s 2S-3p 2P). For tungsten, on the other hand, three well-separated bands appear in wavelength range of 24-80 Å. The transitions around 33 Å have been tentatively identified with the help of calculated values. Most of the isolated lines on the top of pseudo-continuum bands around 50 and 60 Å are identified, and the wavelengths are compared with previous experimental studies and also with calculated values.

  12. Lifetime estimation of extreme-ultraviolet pellicle at 500 W source power by thermal stress analysis

    NASA Astrophysics Data System (ADS)

    Park, Eun-Sang; Ban, Chung-Hyun; Park, Jae-Hun; Oh, Hye-Keun

    2017-10-01

    The analysis of the thermal stress and the extreme-ultraviolet (EUV) pellicle is important since the pellicle could be easily damaged since the thickness of the pellicle is 50 nm thin due to 90% required EUV transmission. One of the solution is using a high emissivity metallic material on the both sides of the pellicle and it can lower the thermal stress. However, using a metallic coating on pellicle core which is usually consist of silicon group can decrease the EUV transmission compared to using a single core layer pellicle only. Therefore, we optimized thermal and optical properties of the pellicle and elect three types of the pellicle. In this paper we simulated our optimized pellicles with 500W source power. The result shows that the difference of the thermal stress is small for each case. Therefore, our result also shows that using a high emissivity coating is necessary since the cooling of the pellicle strongly depends on emissivity and it can lower the stress effectively even at high EUV source power.

  13. Design, fabrication and performance of two grazing incidence telescopes for celestial extreme ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Lampton, M.; Cash, W.; Malina, R. F.; Bowyer, S.

    1977-01-01

    The design and performance of grazing incidence telescopes for celestial extreme ultraviolet (EUV) astronomy are described. The telescopes basically consist of a star tracker, collimator, grazing incidence mirror, vacuum box lid, vacuum housing, filters, a ranicon detector, an electronics box, and an aspect camera. For the survey mirror a Wolter-Schwarzschild type II configuration was selected. Diamond-turning was used for mirror fabrication, a technique which machines surfaces to the order of 10 microns over the required dimensions. The design of the EUV spectrometer is discussed with particular reference to the optics for a primarily spectroscopic application and the fabrication of the f/10 optics.

  14. Extreme ultraviolet patterned mask inspection performance of advanced projection electron microscope system for 11nm half-pitch generation

    NASA Astrophysics Data System (ADS)

    Hirano, Ryoichi; Iida, Susumu; Amano, Tsuyoshi; Watanabe, Hidehiro; Hatakeyama, Masahiro; Murakami, Takeshi; Suematsu, Kenichi; Terao, Kenji

    2016-03-01

    Novel projection electron microscope optics have been developed and integrated into a new inspection system named EBEYE-V30 ("Model EBEYE" is an EBARA's model code) , and the resulting system shows promise for application to half-pitch (hp) 16-nm node extreme ultraviolet lithography (EUVL) patterned mask inspection. To improve the system's inspection throughput for 11-nm hp generation defect detection, a new electron-sensitive area image sensor with a high-speed data processing unit, a bright and stable electron source, and an image capture area deflector that operates simultaneously with the mask scanning motion have been developed. A learning system has been used for the mask inspection tool to meet the requirements of hp 11-nm node EUV patterned mask inspection. Defects are identified by the projection electron microscope system using the "defectivity" from the characteristics of the acquired image. The learning system has been developed to reduce the labor and costs associated with adjustment of the detection capability to cope with newly-defined mask defects. We describe the integration of the developed elements into the inspection tool and the verification of the designed specification. We have also verified the effectiveness of the learning system, which shows enhanced detection capability for the hp 11-nm node.

  15. Extreme Ultraviolet Variability Experiment (EVE) Multiple EUV Grating Spectrographs (MEGS): Radiometric Calibrations and Results

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Crotser, D.; Eparvier, F. G.; Woodraska, D. L.; Chamberlin, P. C.; Woods, E. C.

    2010-01-01

    The NASA Solar Dynamics Observatory (SDO), scheduled for launch in early 2010, incorporates a suite of instruments including the Extreme Ultraviolet Variability Experiment (EVE). EVE has multiple instruments including the Multiple Extreme ultraviolet Grating Spectrographs (MEGS) A, B, and P instruments, the Solar Aspect Monitor (SAM), and the Extreme ultraviolet SpectroPhotometer (ESP). The radiometric calibration of EVE, necessary to convert the instrument counts to physical units, was performed at the National Institute of Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF III) located in Gaithersburg, Maryland. This paper presents the results and derived accuracy of this radiometric calibration for the MEGS A, B, P, and SAM instruments, while the calibration of the ESP instrument is addressed by Didkovsky et al. . In addition, solar measurements that were taken on 14 April 2008, during the NASA 36.240 sounding-rocket flight, are shown for the prototype EVE instruments.

  16. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thompson, B. J.; Young, C. A., E-mail: barbara.j.thompson@nasa.gov

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using themore » following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.« less

  17. Persistence Mapping Using EUV Solar Imager Data

    NASA Technical Reports Server (NTRS)

    Thompson, B. J.; Young, C. A.

    2016-01-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call "Persistence Mapping," to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or "time-lapse" imaging uses the full sample (of size N ), Persistence Mapping rejects (N - 1)/N of the data set and identifies the most relevant 1/N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  18. EUV laser produced and induced plasmas for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  19. Million Degree Plasmas in Extreme Ultraviolet (EUV) Astrophysics. White Paper in Response to Astro2010 Science Call

    DTIC Science & Technology

    2010-01-01

    photometry , timing measurements of suitable cadence, and advanced theory are the keys to understanding the physics of million degree plasmas in...Disentangling these components requires time - and phase- resolved spectroscopic observations of a sample that spans a range of mass accretion rates...many narrow lines, or a continuum with strong, broad absorption features. Key Objective: Obtaining time - and phase- resolved high-resolution EUV

  20. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    NASA Astrophysics Data System (ADS)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  1. Overlying extreme-ultraviolet arcades preventing eruption of a filament observed by AIA/SDO

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Huadong; Ma, Suli; Zhang, Jun, E-mail: hdchen@upc.edu.cn

    2013-11-20

    Using the multi-wavelength data from the Atmospheric Imaging Assembly/Solar Dynamic Observatory (AIA/SDO) and the Sun Earth Connection Coronal and Heliospheric Investigation/Solar Terrestrial Relations Observatory (SECCHI/STEREO), we report a failed filament eruption in NOAA AR 11339 on 2011 November 3. The eruption was associated with an X1.9 flare, but without any coronal mass ejection (CME), coronal dimming, or extreme ultraviolet (EUV) waves. Some magnetic arcades above the filament were observed distinctly in EUV channels, especially in the AIA 94 Å and 131 Å wavebands, before and during the filament eruption process. Our results show that the overlying arcades expanded along withmore » the ascent of the filament at first until they reached a projected height of about 49 Mm above the Sun's surface, where they stopped. The following filament material was observed to be confined by the stopped EUV arcades and not to escape from the Sun. After the flare, a new filament formed at the low corona where part of the former filament remained before its eruption. These results support that the overlying arcades play an important role in preventing the filament from successfully erupting outward. We also discuss in this paper the EUV emission of the overlying arcades during the flare. It is rare for a failed filament eruption to be associated with an X1.9 class flare, but not with a CME or EUV waves. Therefore, this study also provides valuable insight into the triggering mechanism of the initiation of CMEs and EUV waves.« less

  2. Expected scientific performance of the three spectrometers on the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Vallerga, J. V.; Jelinsky, P.; Vedder, P. W.; Malina, R. F.

    1990-01-01

    The expected in-orbit performance of the three spectrometers included on the Extreme Ultraviolet Explorer astronomical satellite is presented. Recent calibrations of the gratings, mirrors and detectors using monochromatic and continuum EUV light sources allow the calculation of the spectral resolution and throughput of the instrument. An effective area range of 0.2 to 2.8 sq cm is achieved over the wavelength range 70-600 A with a peak spectral resolution (FWHM) of 360 assuming a spacecraft pointing knowledge of 10 arc seconds (FWHM). For a 40,000 sec observation, the average 3 sigma sensitivity to a monochromatic line source is 0.003 photons/sq cm s. Simulated observations of known classes of EUV sources, such as hot white dwarfs, and cataclysmic variables are also presented.

  3. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    NASA Astrophysics Data System (ADS)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  4. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    NASA Astrophysics Data System (ADS)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  5. Extreme ultraviolet observations of HZ 43 and the local H/He ratio with the Hopkins Ultraviolet Telescope

    NASA Technical Reports Server (NTRS)

    Kimble, Randy A.; Davidsen, Arthur F.; Long, Knox S.; Feldman, Paul D.

    1993-01-01

    We present a spectrum of the hot DA white dwarf HZ 43 in the EUV, near the 504-A ionization edge of neutral helium, obtained with the Hopkins Ultraviolet Telescope (HUT) during the 1990 December Astro-1 mission. The interstellar column densities derived from this spectrum rule out the anomalous interstellar absorption model proposed by Heise et al.(1991), which required a greater column density of neutral helium than neutral hydrogen toward HZ 43 in order to explain the low EUV flux from HZ 43 reported by EXOSAT. Instead, we find the interstellar neutral H/He ratio toward HZ 43 to be consistent with the canonical cosmic abundance ratio of 10 or with the 11.6 +/- 1.0 ratio measured by HUT along the line of sight toward another DA white dwarf, G191-B2B. The HUT observations suggest that either there is a substantial calibration error in the EXOSAT spectroscopy of HZ 43, or otherwise undetected metals in the nominally pure hydrogen HZ 43 atmosphere suppress its flux between 150 and 300 A, or both.

  6. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    NASA Astrophysics Data System (ADS)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  7. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  8. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, N.-H.; Innes, D. E.

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT tomore » 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.« less

  9. The Origin of the EUV Late Phase: A Case Study of the C8.8 Flare on 2010 May 5

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Klimchuk, J. A.; Eparvier, F. G.; Jones, A. R.

    2012-01-01

    Since the launch of NASA's Solar Dynamics Observatory on 2010 February 11, the Extreme ultraviolet Variability Experiment (EVE) has observed numerous flares. One interesting feature observed by EVE is that a subset of flares exhibit an additional enhancement of the 2-3 million K emission several hours after the flares soft X-ray emission. From the Atmospheric Imaging Assembly (AIA) images, we observe that this secondary emission, dubbed the EUV late phase, occurs in the same active region as the flare but not in the same coronal loops. Here, we examine the C8.8 flare that occurred on 2010 May 5 as a case study of EUV late phase flares. In addition to presenting detailed observations from both AIA and EVE, we develop a physical model of this flare and test it using the Enthalpy Based Thermal Evolution of Loops (EBTEL) model.

  10. Extreme Ultraviolet Explorer observations of the magnetic cataclysmic variable RE 1938-461

    NASA Technical Reports Server (NTRS)

    Warren, John K.; Vallerga, John V.; Mauche, Christopher W.; Mukai, Koji; Siegmund, Oswald H. W.

    1993-01-01

    The magnetic cataclysmic variable RE 1938-461 was observed by the Extreme Ultraviolet Explorer (EUVE) Deep Survey instrument on 1992 July 8-9 during in-orbit calibration. It was detected in the Lexan/ boron (65-190 A) band, with a quiescent count rate of 0.0062 +/- 0.0017/s, and was not detected in the aluminum/carbon (160-360 A) band. The Lexan/boron count rate is lower than the corresponding ROSAT wide-field camera Lexan/boron count rate. This is consistent with the fact that the source was in a low state during an optical observation performed just after the EUVE observation, whereas it was in an optical high state during the ROSAT observation. The quiescent count rates are consistent with a virtual cessation of accretion. Two transient events lasting about 1 hr occurred during the Lexan/boron pointing, the second at a count rate of 0.050 +/- 0.006/s. This appears to be the first detection of an EUV transient during the low state of a magnetic cataclysmic variable. We propose two possible explanations for the transient events.

  11. Dynamical structure of extreme ultraviolet macrospicules

    NASA Technical Reports Server (NTRS)

    Karovska, Margarita; Habbal, Shadia Rifai

    1994-01-01

    We describe the substructures forming the macrospicules and their temporal evolution, as revealed by the application of an image enhancement algorithm to extreme ultraviolet (EUV) observations of macrospicules. The enhanced images uncover, for the first time, the substructures forming the column-like structures within the macrospicules and the low-lying arches at their base. The spatial and temporal evolution of macrospicules clearly show continuous interaction between these substructures with occasional ejection of plasma following a ballistic trajectory. We comment on the importance of these results for planning near future space observations of macrospicules with better temporal and spatial resolution.

  12. High numerical aperture ring field projection system for extreme ultraviolet lithography

    DOEpatents

    Hudyma, Russell

    2001-01-01

    An all-reflective optical system for a projection photolithography camera has a source of EUV radiation, a wafer and a mask to be imaged on the wafer. The optical system includes a first concave mirror, a second mirror, a third convex mirror, a fourth concave mirror, a fifth convex mirror and a sixth concave mirror. The system is configured such that five of the six mirrors receives a chief ray at an incidence angle of less than substantially 12.degree., and each of the six mirrors receives a chief ray at an incidence angle of less than substantially 15.degree.. Four of the six reflecting surfaces have an aspheric departure of less than substantially 7 .mu.m. Five of the six reflecting surfaces have an aspheric departure of less than substantially 14 .mu.m. Each of the six reflecting surfaces has an aspheric departure of less than 16.0 .mu.m.

  13. High numerical aperture ring field projection system for extreme ultraviolet lithography

    DOEpatents

    Hudyma, Russell

    2000-01-01

    An all-refelctive optical system for a projection photolithography camera has a source of EUV radiation, a wafer and a mask to be imaged on the wafer. The optical system includes a first concave mirror, a second mirror, a third convex mirror, a fourth concave mirror, a fifth convex mirror and a sixth concave mirror. The system is configured such that five of the six mirrors receives a chief ray at an incidence angle less than substantially 12.degree., and each of the six mirrors receives a chief ray at an incidence angle of less than substantially 15.degree.. Four of the six reflecting surfaces have an aspheric departure of less than substantially 7 .mu.m. Five of the six reflecting surfaces have an aspheric departure of less than substantially 14 .mu.m. Each of the six refelecting surfaces has an aspheric departure of less than 16.0 .mu.m.

  14. High numerical aperture ring field projection system for extreme ultraviolet lithography

    DOEpatents

    Hudyma, Russell; Shafer, David R.

    2001-01-01

    An all-reflective optical system for a projection photolithography camera has a source of EUV radiation, a wafer and a mask to be imaged on the wafer. The optical system includes a first convex mirror, a second mirror, a third convex mirror, a fourth concave mirror, a fifth convex mirror and a sixth concave mirror. The system is configured such that five of the six mirrors receive a chief ray at an incidence angle of less than substantially 9.degree., and each of the six mirrors receives a chief ray at an incidence angle of less than substantially 14.degree.. Four of the six reflecting surfaces have an aspheric departure of less than substantially 12 .mu.m. Five of the six reflecting surfaces have an aspheric departure of less than substantially 12 .mu.m. Each of the six reflecting surfaces has an aspheric departure of less than substantially 16 .mu.m.

  15. High numerical aperture ring field projection system for extreme ultraviolet lithography

    DOEpatents

    Hudyma, Russell; Shafer, David

    2001-01-01

    An all-reflective optical system for a projection photolithography camera has a source of EUV radiation, a wafer and a mask to be imaged on the wafer. The optical system includes a first convex mirror, a second mirror, a third convex mirror, a fourth concave mirror, a fifth convex mirror and a sixth concave mirror. The system is configured such that five of the six mirrors receives a chief ray at an incidence angle of less than substantially 9.degree., and each of the six mirrors receives a chief ray at an incidence angle of less than substantially 14.degree.. Four of the six reflecting surfaces have an aspheric departure of less than substantially 12 .mu.m. Five of the six reflecting surfaces have an aspheric departure of less than substantially 12 .mu.m. Each of the six reflecting surfaces has an aspheric departure of less than substantially 16 .mu.m.

  16. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    NASA Technical Reports Server (NTRS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  17. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    NASA Astrophysics Data System (ADS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  18. EUV Spectroscopy of High-redshift X-ray Objects

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.

    2010-03-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGNs for example, will have their maxima redshifted into the EUV waveband ( 90-912 Å/0.1-0.01 keV). Consequently, a wealth of spectral diagnostics, provided by, for example, the Fe L-shell complex ( 60-6 Å/0.2-2.0 keV) and the O VII/VIII lines ( 20 Å/0.5 keV), will be lost to X-ray instruments operating at traditional ( 0.5-10 keV) and higher X-ray energies. There are precedents in other wavebands. For example, HST evolutionary studies will become largely the province of JWST. Despite the successes of EUVE, the ROSAT WFC, and the Chandra LETG, the EUV continues to be unappreciated and under-utilized, partly because of a preconception that absorption by neutral galactic Hydrogen in the ISM prevents any useful extragalactic measurements at all EUV wavelengths and, until recently, by a lack of a suitable enabling technology. Thus, if future planned X-ray missions (e.g., IXO, Gen-X) are optimized again for traditional X-ray energies, their performance (effective area, resolving power) will be cut off at ultrasoft X-ray energies or at best be radically reduced in the EUV. This opens up a critical gap in performance located right at short EUV wavelengths, where the critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nano-laminate fabrication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on

  19. CME Expansion as the Driver of Metric Type II Shock Emission as Revealed by Self-consistent Analysis of High-Cadence EUV Images and Radio Spectrograms

    NASA Astrophysics Data System (ADS)

    Kouloumvakos, A.; Patsourakos, S.; Hillaris, A.; Vourlidas, A.; Preka-Papadema, P.; Moussas, X.; Caroubalos, C.; Tsitsipis, P.; Kontogeorgos, A.

    2014-06-01

    On 13 June 2010, an eruptive event occurred near the solar limb. It included a small filament eruption and the onset of a relatively narrow coronal mass ejection (CME) surrounded by an extreme ultraviolet (EUV) wave front recorded by the Solar Dynamics Observatory's (SDO) Atmospheric Imaging Assembly (AIA) at high cadence. The ejection was accompanied by a GOES M1.0 soft X-ray flare and a Type-II radio burst; high-resolution dynamic spectra of the latter were obtained by the Appareil de Routine pour le Traitement et l'Enregistrement Magnetique de l'Information Spectral (ARTEMIS IV) radio spectrograph. The combined observations enabled a study of the evolution of the ejecta and the EUV wave front and its relationship with the coronal shock manifesting itself as metric Type-II burst. By introducing a novel technique, which deduces a proxy of the EUV compression ratio from AIA imaging data and compares it with the compression ratio deduced from the band-split of the Type-II metric radio burst, we are able to infer the potential source locations of the radio emission of the shock on that AIA images. Our results indicate that the expansion of the CME ejecta is the source for both EUV and radio shock emissions. Early in the CME expansion phase, the Type-II burst seems to originate in the sheath region between the EUV bubble and the EUV shock front in both radial and lateral directions. This suggests that both the nose and the flanks of the expanding bubble could have driven the shock.

  20. First environmental data from the EUV engineering test stand

    NASA Astrophysics Data System (ADS)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  1. It's Time For A New EUV Mission

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wood, K. S.; Barstow, M. A.; Cruddace, R. G.

    2010-01-01

    The J-PEX high-resolution EUV spectrometer has made a breakthrough in capability with an effective area of 7 cm2 (220-245 Å) and resolving power of 4000, which exceed EUVE by factors of 7 and 20 respectively, and cover a range beyond the 170-Å cutoff of the Chandra LETG. The EUV includes critical spectral features containing diagnostic information often not available at other wavelengths (e.g., He II Ly series), and the bulk of radiation from million degree plasmas is emitted in the EUV. Such plasmas are ubiquitous, and examples include the atmospheres of white dwarfs; accretion phenomena in young stars, CVs and AGN; stellar coronae; and the ISM of our own galaxy and of others. However, sensitive EUV spectroscopy of high resolving power is required to resolve source spectral lines and edges unambiguously, to identify features produced by the intervening ISM, and to measure line profiles and Doppler shifts. This allows exploitation of the full range of plasma diagnostic techniques developed in laboratory and solar physics. J-PEX has flown twice on NASA sounding rockets. In 2001 we observed the isolated white dwarf G191-B2B and detected both ISM and photospheric lines. In 2008 we successfully observed the binary white dwarf Feige 24, but observation time is severely limited with sounding rockets. NASA has approved no new EUV mission, but it is time for one. Here we describe the scientific case for high-resolution EUV spectroscopy, summarize the technology that makes such measurements practical, and present a concept for a 3-month orbital mission, in which J-PEX is modified for a low-cost orbital mission to acquire sensitive high-resolution spectra for 30 white dwarfs, making an important contribution to the study of white dwarf evolution and hence the chemical balance of the Galaxy, and to the understanding of structure in the LISM.

  2. Coordinated ASCA/EUVE/XTE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, Advanced Satellite for Cosmology and Astrophysics (ASCA), and X-ray Timing Explorer (XTE) observed the eclipsing binary Algol (Beta Per) from 1-7 Feb 1996. The coordinated observation covered approx. 2 binary orbits of the system, with a net exposure of approx. 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointings), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the abundance in the Algol system.

  3. Three new extreme ultraviolet spectrometers on NSTX-U for impurity monitoring

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weller, M. E., E-mail: weller4@llnl.gov; Beiersdorfer, P.; Soukhanovskii, V. A.

    2016-11-15

    Three extreme ultraviolet (EUV) spectrometers have been mounted on the National Spherical Torus Experiment–Upgrade (NSTX-U). All three are flat-field grazing-incidence spectrometers and are dubbed X-ray and Extreme Ultraviolet Spectrometer (XEUS, 8–70 Å), Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS, 190–440 Å), and Metal Monitor and Lithium Spectrometer Assembly (MonaLisa, 50–220 Å). XEUS and LoWEUS were previously implemented on NSTX to monitor impurities from low- to high-Z sources and to study impurity transport while MonaLisa is new and provides the system increased spectral coverage. The spectrometers will also be a critical diagnostic on the planned laser blow-off system for NSTX-U, which will bemore » used for impurity edge and core ion transport studies, edge-transport code development, and benchmarking atomic physics codes.« less

  4. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  5. High sensitivity microchannel plate detectors for space extreme ultraviolet missions.

    PubMed

    Yoshioka, K; Homma, T; Murakami, G; Yoshikawa, I

    2012-08-01

    Microchannel plate (MCP) detectors have been widely used as two-dimensional photon counting devices on numerous space EUV (extreme ultraviolet) missions. Although there are other choices for EUV photon detectors, the characteristic features of MCP detectors such as their light weight, low dark current, and high spatial resolution make them more desirable for space applications than any other detector. In addition, it is known that the photocathode can be tailored to increase the quantum detection efficiency (QDE) especially for longer UV wavelengths (100-150 nm). There are many types of photocathode materials available, typically alkali halides. In this study, we report on the EUV (50-150 nm) QDE evaluations for MCPs that were coated with Au, MgF(2), CsI, and KBr. We confirmed that CsI and KBr show 2-100 times higher QDEs than the bare photocathode MCPs, while Au and MgF(2) show reduced QDEs. In addition, the optimal geometrical parameters for the CsI deposition were also studied experimentally. The best CsI thickness was found to be 150 nm, and it should be deposited on the inner wall of the channels only where the EUV photons initially impinge. We will also discuss the techniques and procedures for reducing the degradation of the photocathode while it is being prepared on the ground before being deployed in space, as adopted by JAXA's EXCEED mission which will be launched in 2013.

  6. Lessons learned from the introduction of autonomous monitoring to the EUVE science operations center

    NASA Technical Reports Server (NTRS)

    Lewis, M.; Girouard, F.; Kronberg, F.; Ringrose, P.; Abedini, A.; Biroscak, D.; Morgan, T.; Malina, R. F.

    1995-01-01

    The University of California at Berkeley's (UCB) Center for Extreme Ultraviolet Astrophysics (CEA), in conjunction with NASA's Ames Research Center (ARC), has implemented an autonomous monitoring system in the Extreme Ultraviolet Explorer (EUVE) science operations center (ESOC). The implementation was driven by a need to reduce operations costs and has allowed the ESOC to move from continuous, three-shift, human-tended monitoring of the science payload to a one-shift operation in which the off shifts are monitored by an autonomous anomaly detection system. This system includes Eworks, an artificial intelligence (AI) payload telemetry monitoring package based on RTworks, and Epage, an automatic paging system to notify ESOC personnel of detected anomalies. In this age of shrinking NASA budgets, the lessons learned on the EUVE project are useful to other NASA missions looking for ways to reduce their operations budgets. The process of knowledge capture, from the payload controllers for implementation in an expert system, is directly applicable to any mission considering a transition to autonomous monitoring in their control center. The collaboration with ARC demonstrates how a project with limited programming resources can expand the breadth of its goals without incurring the high cost of hiring additional, dedicated programmers. This dispersal of expertise across NASA centers allows future missions to easily access experts for collaborative efforts of their own. Even the criterion used to choose an expert system has widespread impacts on the implementation, including the completion time and the final cost. In this paper we discuss, from inception to completion, the areas where our experiences in moving from three shifts to one shift may offer insights for other NASA missions.

  7. Practical tolerancing and performance implications for XUV projection lithography reduction systems (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Viswanathan, Vriddhachalam K.

    1992-07-01

    Practical considerations that will strongly affect the imaging capabilities of reflecting systems for extreme-ultraviolet (XUV) projection lithography include manufacturing tolerances and thermal distortion of the mirror surfaces due to absorption of a fraction of the incident radiation beam. We have analyzed the potential magnitudes of these effects for two types of reflective projection optical designs. We find that concentric, symmetric two-mirror systems are less sensitive to manufacturing errors and thermal distortion than off-axis, four-mirror systems.

  8. Characterization of gas targets for laser produced extreme ultraviolet plasmas with a Hartmann-Shack sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peth, Christian; Kranzusch, Sebastian; Mann, Klaus

    2004-10-01

    A table top extreme ultraviolet (EUV)-source was developed at Laser-Laboratorium Goettingen for the characterization of optical components and sensoric devices in the wavelength region from 11 to 13 nm. EUV radiation is generated by focusing the beam of a Q-switched Nd:YAG laser into a pulsed xenon gas jet. Since a directed gas jet with a high number density is needed for an optimal performance of the source, conical nozzles with different cone angles were drilled with an excimer laser to produce a supersonic gas jet. The influence of the nozzle geometry on the gas jet was characterized with a Hartmann-Shackmore » wave front sensor. The deformation of a planar wave front after passing the gas jet was analyzed with this sensor, allowing a reconstruction of the gas density distribution. Thus, the gas jet was optimized resulting in an increase of EUV emission by a factor of two and a decrease of the plasma size at the same time.« less

  9. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  10. A chain of winking (oscillating) filaments triggered by an invisible extreme-ultraviolet wave

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, Yuandeng; Tian, Zhanjun; Zhao, Ruijuan

    2014-05-10

    Winking (oscillating) filaments have been observed for many years. However, observations of successive winking filaments in one event have not yet been reported. In this paper, we present the observations of a chain of winking filaments and a subsequent jet that are observed right after the X2.1 flare in AR11283. The event also produced an extreme-ultraviolet (EUV) wave that has two components: an upward dome-like wave (850 km s{sup –1}) and a lateral surface wave (554 km s{sup –1}) that was very weak (or invisible) in imaging observations. By analyzing the temporal and spatial relationships between the oscillating filaments andmore » the EUV waves, we propose that all the winking filaments and the jet were triggered by the weak (or invisible) lateral surface EUV wave. The oscillation of the filaments last for two or three cycles, and their periods, Doppler velocity amplitudes, and damping times are 11-22 minutes, 6-14 km s{sup –1}, and 25-60 minutes, respectively. We further estimate the radial component magnetic field and the maximum kinetic energy of the filaments, and they are 5-10 G and ∼10{sup 19} J, respectively. The estimated maximum kinetic energy is comparable to the minimum energy of ordinary EUV waves, suggesting that EUV waves can efficiently launch filament oscillations on their path. Based on our analysis results, we conclude that the EUV wave is a good agent for triggering and connecting successive but separated solar activities in the solar atmosphere, and it is also important for producing solar sympathetic eruptions.« less

  11. Evaluation of photomask flatness compensation for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Ballman, Katherine; Lee, Christopher; Zimmerman, John; Dunn, Thomas; Bean, Alexander

    2016-10-01

    As the semiconductor industry continues to strive towards high volume manufacturing for EUV, flatness specifications for photomasks have decreased to below 10nm for 2018 production, however the current champion masks being produced report P-V flatness values of roughly 50nm. Write compensation presents the promising opportunity to mitigate pattern placement errors through the use of geometrically adjusted target patterns which counteract the reticle's flatness induced distortions and address the differences in chucking mechanisms between e-beam write and electrostatic clamping during scan. Compensation relies on high accuracy flatness data which provides the critical topographical components of the reticle to the write tool. Any errors included in the flatness data file are translated to the pattern during the write process, which has now driven flatness measurement tools to target a 6σ reproducibility <1nm. Using data collected from a 2011 Sematech study on the Alpha Demo Tool, the proposed methodology for write compensation is validated against printed wafer results. Topographic features which lack compensation capability must then be held to stringent specifications in order to limit their contributions to the final image placement error (IPE) at wafer. By understanding the capabilities and limitations of write compensation, it is then possible to shift flatness requirements towards the "non-correctable" portion of the reticle's profile, potentially relieving polishers from having to adhere to the current single digit flatness specifications.

  12. Comparative lifetesting results for microchannel plates in windowless EUV photon detectors

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Coburn, K. R.

    1984-01-01

    Microchannel plates (MCPs) from seven manufacturers were subjected to a series of tests to determine their suitability for the Extreme Ultraviolet Explorer satellite. Comparative data are presented for sixteen MCP tandem pairs with channel length to diameter ratios (l/d) ranging from 40:1 to 60:1 and for two saturable (curved channel) MCPs with l/d's of 80:1. Results for MCPs with funnelled channel throats are also discussed. Properties of the MCPs which were monitored include: background count rate, output charge pulse height distribution (PHD), modal gain, PHD full width half maximum (FWHM), and extreme ultraviolet (EUV) photon quantum efficiency. Five detectors were chosen for further lifetime testing consisting of a mild bake to 100 C, and charge extraction to 0.01 coulombs, repeated high voltage cycling and reexposure to one atmosphere conditions. The results of these tests and their implications for the flight detectors are discussed. Erratic events in the detector background were recorded, probably due to field emission from high voltage surfaces or the absorption of water vapor into the electrode following exposure to air. The steps taken to control the detector background are discussed.

  13. Probing the Production of Extreme-ultraviolet Late-phase Solar Flares Using the Model Enthalpy-based Thermal Evolution of Loops

    NASA Astrophysics Data System (ADS)

    Dai, Yu; Ding, Mingde

    2018-04-01

    Recent observations in extreme-ultraviolet (EUV) wavelengths reveal an EUV late phase in some solar flares that is characterized by a second peak in warm coronal emissions (∼3 MK) several tens of minutes to a few hours after the soft X-ray (SXR) peak. Using the model enthalpy-based thermal evolution of loops (EBTEL), we numerically probe the production of EUV late-phase solar flares. Starting from two main mechanisms of producing the EUV late phase, i.e., long-lasting cooling and secondary heating, we carry out two groups of numerical experiments to study the effects of these two processes on the emission characteristics in late-phase loops. In either of the two processes an EUV late-phase solar flare that conforms to the observational criteria can be numerically synthesized. However, the underlying hydrodynamic and thermodynamic evolutions in late-phase loops are different between the two synthetic flare cases. The late-phase peak due to a long-lasting cooling process always occurs during the radiative cooling phase, while that powered by a secondary heating is more likely to take place in the conductive cooling phase. We then propose a new method for diagnosing the two mechanisms based on the shape of EUV late-phase light curves. Moreover, from the partition of energy input, we discuss why most solar flares are not EUV late flares. Finally, by addressing some other factors that may potentially affect the loop emissions, we also discuss why the EUV late phase is mainly observed in warm coronal emissions.

  14. Comprehensive analysis of line-edge and line-width roughness for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bonam, Ravi; Liu, Chi-Chun; Breton, Mary; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Muthinti, Raja; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Pattern transfer fidelity is always a major challenge for any lithography process and needs continuous improvement. Lithographic processes in semiconductor industry are primarily driven by optical imaging on photosensitive polymeric material (resists). Quality of pattern transfer can be assessed by quantifying multiple parameters such as, feature size uniformity (CD), placement, roughness, sidewall angles etc. Roughness in features primarily corresponds to variation of line edge or line width and has gained considerable significance, particularly due to shrinking feature sizes and variations of features in the same order. This has caused downstream processes (Etch (RIE), Chemical Mechanical Polish (CMP) etc.) to reconsider respective tolerance levels. A very important aspect of this work is relevance of roughness metrology from pattern formation at resist to subsequent processes, particularly electrical validity. A major drawback of current LER/LWR metric (sigma) is its lack of relevance across multiple downstream processes which effects material selection at various unit processes. In this work we present a comprehensive assessment of Line Edge and Line Width Roughness at multiple lithographic transfer processes. To simulate effect of roughness a pattern was designed with periodic jogs on the edges of lines with varying amplitudes and frequencies. There are numerous methodologies proposed to analyze roughness and in this work we apply them to programmed roughness structures to assess each technique's sensitivity. This work also aims to identify a relevant methodology to quantify roughness with relevance across downstream processes.

  15. SOLAR-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2001-01-01

    This Monthly Progress Report covers the reporting period through June 2001, Phase C/D, Detailed Design and Development Through Launch Plus Thirty Days, for selected components and subsystems of the Extreme ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  16. Solar-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2002-01-01

    This Monthly Progress Report covers the reporting period August 2002 of the Detailed Design and Development through Launch plus Thirty Days, Phase C/D, for selected components and subsystems of the Extreme ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  17. SOLAR-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2001-01-01

    This Monthly Progress Report covers the reporting period July 2001 of the Detailed Design and Development through Launch plus Thirty Days, Phase C/D, for selected components and subsystems of the Extreme Ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  18. Large-scale freestanding nanometer-thick graphite pellicles for mass production of nanodevices beyond 10 nm.

    PubMed

    Kim, Seul-Gi; Shin, Dong-Wook; Kim, Taesung; Kim, Sooyoung; Lee, Jung Hun; Lee, Chang Gu; Yang, Cheol-Woong; Lee, Sungjoo; Cho, Sang Jin; Jeon, Hwan Chul; Kim, Mun Ja; Kim, Byung-Gook; Yoo, Ji-Beom

    2015-09-21

    Extreme ultraviolet lithography (EUVL) has received much attention in the semiconductor industry as a promising candidate to extend dimensional scaling beyond 10 nm. We present a new pellicle material, nanometer-thick graphite film (NGF), which shows an extreme ultraviolet (EUV) transmission of 92% at a thickness of 18 nm. The maximum temperature induced by laser irradiation (λ = 800 nm) of 9.9 W cm(-2) was 267 °C, due to the high thermal conductivity of the NGF. The freestanding NGF was found to be chemically stable during annealing at 500 °C in a hydrogen environment. A 50 × 50 mm large area freestanding NGF was fabricated using the wet and dry transfer (WaDT) method. The NGF can be used as an EUVL pellicle for the mass production of nanodevices beyond 10 nm.

  19. Global Ultraviolet Imager (GUVI) investigation

    NASA Technical Reports Server (NTRS)

    Christensen, Andrew B.

    1995-01-01

    This report covers the activities performed under NAS5-32572. The results of those activities are included in this Final Report. TIMED Science Objectives: (1) To determine the temperature, density, and wind structure of the MLTI (mixed layer thermal inertia), including the seasonal and latitudinal variations; and (2) To determine the relative importance of the various radiative, chemical, electrodynamical, and dynamical sources and sinks of energy for the thermal structure of the MLTI. GUVI Science Goals: (1) Determine the spatial and temporal variations of temperature and constituent densities in the lower thermosphere; and (2) Determine the importance of auroral energy sources and solar EUV (extreme ultraviolet) to the energy balance of the region.

  20. Surface modification of polymers for biocompatibility via exposure to extreme ultraviolet radiation.

    PubMed

    Inam Ul Ahad; Bartnik, Andrzej; Fiedorowicz, Henryk; Kostecki, Jerzy; Korczyc, Barbara; Ciach, Tomasz; Brabazon, Dermot

    2014-09-01

    Polymeric biomaterials are being widely used for the treatment of various traumata, diseases and defects in human beings due to ease in their synthesis. As biomaterials have direct interaction with the extracellular environment in the biological world, biocompatibility is a topic of great significance. The introduction or enhancement of biocompatibility in certain polymers is still a challenge to overcome. Polymer biocompatibility can be controlled by surface modification. Various physical and chemical methods (e.g., chemical and plasma treatment, ion implantation, and ultraviolet irradiation etc.) are in use or being developed for the modification of polymer surfaces. However an important limitation in their employment is the alteration of bulk material. Different surface and bulk properties of biomaterials are often desirable for biomedical applications. Because extreme ultraviolet (EUV) radiation penetration is quite limited even in low density mediums, it could be possible to use it for surface modification without influencing the bulk material. This article reviews the degree of biocompatibility of different polymeric biomaterials being currently employed in various biomedical applications, the surface properties required to be modified for biocompatibility control, plasma and laser ablation based surface modification techniques, and research studies indicating possible use of EUV for enhancing biocompatibility. © 2013 Wiley Periodicals, Inc.

  1. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Naulleau, Patrick; Mochi, Iacopo; Goldberg, Kenneth A.

    Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5 nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modelingmore » software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes rou tinely used in the synchrotron community.« less

  2. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Naulleau, Patrick P.; Mochi, Iacopo; Goldberg, Kenneth A.

    Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5 nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modelingmore » software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes routinely used in the synchrotron community.« less

  3. SiC-based Photo-detectors for UV, VUV, EUV and Soft X-ray Detection

    NASA Technical Reports Server (NTRS)

    Yan, Feng

    2006-01-01

    A viewgraph presentation describing an ideal Silicon Carbide detector for ultraviolet, vacuum ultraviolet, extreme ultraviolet and soft x-ray detection is shown. The topics include: 1) An ideal photo-detector; 2) Dark current density of SiC photodiodes at room temperature; 3) Dark current in SiC detectors; 4) Resistive and capacitive feedback trans-impedance amplifier; 5) Avalanche gain; 6) Excess noise; 7) SNR in single photon counting mode; 8) Structure of SiC single photon counting APD and testing structure; 9) Single photon counting waveform and testing circuit; 10) Amplitude of SiC single photon counter; 11) Dark count of SiC APD photon counters; 12) Temperature-dependence of dark count rate; 13) Reduce the dark count rate by reducing the breakdown electric field; 14) Spectrum range for SiC detectors; 15) QE curves of Pt/4H-SiC photodiodes; 16) QE curve of SiC; 17) QE curves of SiC photodiode vs. penetration depth; 18) Visible rejection of SiC photodiodes; 19) Advantages of SiC photodiodes; 20) Competitors of SiC detectors; 21) Extraterrestrial solar spectra; 22) Visible-blind EUV detection; 23) Terrestrial solar spectra; and 24) Less than 1KeV soft x-ray detection.

  4. Extreme ultraviolet spectra of Venusian airglow observed by EXCEED

    NASA Astrophysics Data System (ADS)

    Nara, Yusuke; Yoshikawa, Ichiro; Yoshioka, Kazuo; Murakami, Go; Kimura, Tomoki; Yamazaki, Atsushi; Tsuchiya, Fuminori; Kuwabara, Masaki; Iwagami, Naomoto

    2018-06-01

    Extreme ultraviolet (EUV) spectra of Venus in the wavelength range 520 - 1480 Å with 3 - 4 Å resolutions were obtained in March 2014 by an EUV imaging spectrometer EXCEED (Extreme Ultraviolet Spectroscope for Exospheric Dynamics) on the HISAKI spacecraft. Due to its high sensitivity and long exposure time, many new emission lines and bands were identified. Already known emissions such as the O II 834 Å, O I 989 Å, H ILy - β 1026 Å, and the C I 1277 Å lines (Broadfoot et al., 1974; Bertaux et al., 1980; Feldman et al., 2000) are also detected in the EXCEED spectrum. In addition, N2 band systems such as the Lyman-Birge-Hopfield (a 1Πg - X 1Σg+) (2, 0), (2, 1), (3, 1), (3, 2) and (5, 3) bands, the Birge-Hopfield (b1Πu - X 1 Σg+) (1, 3) band, and the Carroll-Yoshino (c 4‧ 1 Σu+ - X 1Σg+) (0, 0) and (0, 1) bands together are identified for the first time in the Venusian airglow. We also identified the CO Hopfield-Birge (B 1Σ+ - X 1Σ+) (1, 0) band in addition to the already known (0, 0) band, and the CO Hopfield-Birge (C 1Σ+ - X 1Σ+) (0, 1), (0, 2) bands in addition to the already known (0, 0) band (Feldman et al., 2000; Gérard et al., 2011).

  5. Contamination control approach for the Extreme Ultraviolet Explorer satellite instrumentation

    NASA Technical Reports Server (NTRS)

    Mrowka, Stan; Jelinsky, Sharon; Jelinsky, Patrick; Malina, Roger F.

    1987-01-01

    The Extreme Ultraviolet Explorer will perform an all-sky survey and spectroscopic observations over the wavelength range 80-900A. Hydrocarbon and particulate contamination will potentially affect the throughput and signal to noise ratio of the signal detected by the instruments. A witness sample program is here used to investigate and monitor the effects of specific contaminants on EUV reflectivity. Witness samples were intentionally contaminated with thin layers of pump oil. An oil layer 150 A thick was applied and found to evaporate over 8 hours. The EUV reflectivity and imaging properties were then measured and found to be acceptable for grazing angles between 5 and 30 deg. In a second test, layers 500 A thick were deposited and then allowed to evaporate in vacuum; once the oil had evaporated to at least 350 A, the final sample reflectivity was degraded less than 10 percent, but the image was degraded severely by scattering. An outline of the contamination control program is also presented.

  6. Imaging characteristics of the Extreme Ultraviolet Explorer microchannel plate detectors

    NASA Technical Reports Server (NTRS)

    Vallerga, J. V.; Kaplan, G. C.; Siegmund, O. H. W.; Lampton, M.; Malina, R. F.

    1989-01-01

    The Extreme Ultraviolet Explorer (EUVE) satellite will conduct an all-sky survey over the wavelength range from 70 A to 760 A using four grazing-incidence telescopes and seven microchannel-plate (MCP) detectors. The imaging photon-counting MCP detectors have active areas of 19.6 cm2. Photon arrival position is determined using a wedge-and-strip anode and associated pulse-encoding electronics. The imaging characteristics of the EUVE flight detectors are presented including image distortion, flat-field response, and spatial differential nonlinearity. Also included is a detailed discussion of image distortions due to the detector mechanical assembly, the wedge-and-strip anode, and the electronics. Model predictions of these distortions are compared to preflight calibration images which show distortions less than 1.3 percent rms of the detector diameter of 50 mm before correction. The plans for correcting these residual detector image distortions to less than 0.1 percent rms are also presented.

  7. Extreme ultraviolet (EUV) and FUV calibration facility for special sensor ultraviolet limb imager (SSULI)

    NASA Astrophysics Data System (ADS)

    Boyer, Craig N.; Osterman, Steven N.; Thonnard, Stefan E.; McCoy, Robert P.; Williams, J. Z.; Parker, S. E.

    1994-09-01

    A facility for calibrating far ultraviolet and extreme ultraviolet instruments has recently been completed at the Naval Research Laboratory. Our vacuum calibration vessel is 2-m in length, 1.67-m in diameter, and can accommodate optical test benches up to 1.2-m wide by 1.5-m in length. A kinematically positioned frame with four axis precision pointing capability of 10 microns for linear translation and .01 degrees for rotation is presently used during vacuum optical calibration of SSULI. The chamber was fabricated from 304 stainless steel and polished internally to reduce surface outgassing. A dust-free environment is maintained at the rear of the vacuum chamber by enclosing the 2-m hinged vacuum access door in an 8 ft. by 8 ft. class 100 clean room. Every effort was made to obtain an oil-free environment within the vacuum vessel. Outgassing products are continually monitored with a 1 - 200 amu residual gas analyzer. An oil-free claw and vane pump evacuates the chamber to 10-2 torr through 4 in. diameter stainless steel roughing lines. High vacuum is achieved and maintained with a magnetically levitated 480 l/s turbo pump and a 3000 l/s He4 cryopump. Either of two vacuum monochrometers, a 1-m f/10.4 or a 0.2-m f/4.5 are coaxially aligned with the optical axis of the chamber and are used to select single UV atomic resonance lines from a windowless capillary or penning discharge UV light source. A calibrated channeltron detector is coaxially mounted with the SSULI detector during calibration. All vacuum valves, the cooling system for the cryopump compressor, and the roughing pump are controlled through optical fibers which are interfaced to a computer through a VME board. Optical fibers were chosen to ensure that complete electrical isolation is maintained between the computer and the vacuum system valves-solenoids and relays.

  8. Results from a new 193nm die-to-database reticle inspection platform

    NASA Astrophysics Data System (ADS)

    Broadbent, William H.; Alles, David S.; Giusti, Michael T.; Kvamme, Damon F.; Shi, Rui-fang; Sousa, Weston L.; Walsh, Robert; Xiong, Yalin

    2010-05-01

    A new 193nm wavelength high resolution reticle defect inspection platform has been developed for both die-to-database and die-to-die inspection modes. In its initial configuration, this innovative platform has been designed to meet the reticle qualification requirements of the IC industry for the 22nm logic and 3xhp memory generations (and shrinks) with planned extensions to the next generation. The 22nm/3xhp IC generation includes advanced 193nm optical lithography using conventional RET, advanced computational lithography, and double patterning. Further, EUV pilot line lithography is beginning. This advanced 193nm inspection platform has world-class performance and the capability to meet these diverse needs in optical and EUV lithography. The architecture of the new 193nm inspection platform is described. Die-to-database inspection results are shown on a variety of reticles from industry sources; these reticles include standard programmed defect test reticles, as well as advanced optical and EUV product and product-like reticles. Results show high sensitivity and low false and nuisance detections on complex optical reticle designs and small feature size EUV reticles. A direct comparison with the existing industry standard 257nm wavelength inspection system shows measurable sensitivity improvement for small feature sizes

  9. Temporal variations of solar EUV, UV, and 10,830-A radiations

    NASA Technical Reports Server (NTRS)

    Donnelly, R. F.; Hinteregger, H. E.; Heath, D. F.

    1986-01-01

    The temporal characteristics of the full-disk chromospheric EUV fluxes agree well with those of the ground-based measurements of the chromospheric He I absorption line at 10,830 A and differ systematically from those of the coronal EUV and 10.7-cm flux. The ratio of the flux increase during the rise of solar cycle 21 to that during solar rotation variations is uniformly high for the chromospheric EUV and corroborating 10,830-A fluxes, highest for the transition region and 'cool' coronal EUV fluxes (T less than 2 x 10 to the 6th K), and lowest for the 'hot' coronal EUV and 10.7-cm flux. The rise and decay rates of episodes of major activity progress from those for the hot coronal EUV lines and the 10.7-cm flux to slower values for the chromospheric H Lyman alpha line, 10,830-A line, and photospheric 2050-A UV flux. It is suggested that active region remnants contribute significantly to the solar cycle increase and during the decay of episodes of major activity. The ratio of power in 13-day periodicity to that for 27 days in high (1/3) for the photospheric UV flux, medium (1/6) for the chromospheric EUV and 10,830-A fluxes, and small to negligible for the hot coronal EUV fluxes. These ratios are used to estimate the dependence of active region emission on the solar central meridian distance for chromospheric and coronal EUV flux.

  10. On the Failure of Standard Emission Measure Analysis for Solar Extreme-Ultraviolet and Ultraviolet Irradiance Spectra

    NASA Astrophysics Data System (ADS)

    Judge, P. G.; Woods, T. N.; Brekke, P.; Rottman, G. J.

    1995-12-01

    We perform emission measure analysis of new and accurate UV ( lambda > 1200 A) and extreme-ultraviolet (EUV) ( lambda <= 1200 A) irradiance ("Sun-as-a-star") emission-line spectra of the Sun. Our data consist of (1) daily averaged UV irradiances from the SOLSTICE on the UARS spacecraft and (2) EUV irradiances obtained on the same date from a \\frac {1}{4} m spectrograph flown on a sounding rocket. Both instruments have a spectral resolution of roughly 1 A. The absolute uncertainties in these data are at most +/-15% (+/-2 sigma ), one of the highest photometric accuracies yet achieved. We find large, highly significant and systematic discrepancies in the emission measure analysis of transition region lines which can only be accounted for by a breakdown of one or more standard assumptions. All strong lines above 1000 A, which are from the Li and Na isoelectronic sequences, are too strong by factors of between 2.5 and 7 compared with their counterparts in the EUV region. Previous studies were tantalizingly close to finding these discrepancies, but those data lacked the wavelength coverage and relative photometric precision necessary for definitive conclusions. We argue that either dynamical effects, inaccurate treatments of atomic processes, and/or Lyman continuum absorption are the culprits. However, we favor the former explanation. In any event, this study should have implications for models of the solar transition region, for observing programs with the CDS and SUMER instruments on SOHO, and for analysis of UV spectra for stars across the cool half of the H-R diagram. Finally, the discrepancy is not seen for the "coronal" Li-like ions.

  11. EUV mirror based absolute incident flux detector

    DOEpatents

    Berger, Kurt W.

    2004-03-23

    A device for the in-situ monitoring of EUV radiation flux includes an integrated reflective multilayer stack. This device operates on the principle that a finite amount of in-band EUV radiation is transmitted through the entire multilayer stack. This device offers improvements over existing vacuum photo-detector devices since its calibration does not change with surface contamination.

  12. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    NASA Astrophysics Data System (ADS)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-05-01

    We demonstrated efficacy of a CO2-laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5nm at variable laser pulse widths between 200ps and 25ns. The plasma target was a 30μm liquid xenon microjet. To ensure the optimum coupling of CO2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5nm EUV emission for different pulse widths of the CO2 laser. A maximum CE of 0.6% was obtained for a CO2 laser pulse width of 25ns at an intensity of 5×1010W/cm2.

  13. Synchrotron radiation calibration of the EUVE variable line-spaced diffraction gratings at the NBS SURF II facility

    NASA Technical Reports Server (NTRS)

    Jelinsky, P.; Jelinsky, S. R.; Miller, A.; Vallerga, J.; Malina, R. F.

    1988-01-01

    The Extreme Ultraviolet Explorer (EUVE) has a spectrometer which utilizes variable line-spaced, plane diffraction gratings in the converging beam of a Wolter-Schwarzschild type II mirror. The gratings, microchannel plate detector, and thin film filters have been calibrated with continuum radiation provided by the NBS SURF II facility. These were calibrated in a continuum beam to find edges or other sharp spectral features in the transmission of the filters, quantum efficiency of the microchannel plate detector, and efficiency of the gratings. The details of the calibration procedure and the results of the calibration are presented.

  14. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  15. Results from the calibration of the Extreme Ultraviolet Explorer instruments

    NASA Technical Reports Server (NTRS)

    Welsh, Barry Y.; Jelinsky, Pat; Vedder, Peter W.; Vallerga, John V.; Finley, David S.; Malina, Roger F.

    1991-01-01

    The paper describes the main features and selected results of the calibration of the scientific instruments to be flown on the Extreme Ultraviolet Explorer in 1991. The instrument payload includes three grazing incidence scanning telescopes and an EUV spectrometer/deep survey instrument covering the spectral region 70-800 A. The measured imaging characteristics, the effective areas, and the details of spectral responses of the instruments are presented. Diagrams of the cross-sectional views of the scanning telescope and the deep-survey/spectrometer telescope are included.

  16. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  17. EUV and X-ray spectroheliograph study

    NASA Technical Reports Server (NTRS)

    Knox, E. D.; Pastor, R. A.; Salamon, A. L.; Sterk, A. A.

    1975-01-01

    The results of a program directed toward the definition of an EUV and X-ray spectroheliograph which has significant performance and operational improvements over the OSO-7 instrument are documented. The program investigated methods of implementing selected changes and incorporated the results of the study into a set of drawings which defines the new instrument. The EUV detector performance degradation observed during the OSO-7 mission was investigated and the most probable cause of the degradation identified.

  18. Optical inspection of NGL masks

    NASA Astrophysics Data System (ADS)

    Pettibone, Donald W.; Stokowski, Stanley E.

    2004-12-01

    For the last five years KLA-Tencor and our joint venture partners have pursued a research program studying the ability of optical inspection tools to meet the inspection needs of possible NGL lithographies. The NGL technologies that we have studied include SCALPEL, PREVAIL, EUV lithography, and Step and Flash Imprint Lithography. We will discuss the sensitivity of the inspection tools and mask design factors that affect tool sensitivity. Most of the work has been directed towards EUV mask inspection and how to optimize the mask to facilitate inspection. Our partners have succeeded in making high contrast EUV masks ranging in contrast from 70% to 98%. Die to die and die to database inspection of EUV masks have been achieved with a sensitivity that is comparable to what can be achieved with conventional photomasks, approximately 80nm defect sensitivity. We have inspected SCALPEL masks successfully. We have found a limitation of optical inspection when applied to PREVAIL stencil masks. We have run inspections on SFIL masks in die to die, reflected light, in an effort to provide feedback to improve the masks. We have used a UV inspection system to inspect both unpatterned EUV substrates (no coatings) and blanks (with EUV multilayer coatings). These inspection results have proven useful in driving down the substrate and blank defect levels.

  19. EUV spectroscopy of high-redshift x-ray objects

    NASA Astrophysics Data System (ADS)

    Kowalski, M. P.; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.; Barstow, M. A.

    2010-07-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGN for example, will be redshifted into the EUV waveband. Consequently, a wealth of critical spectral diagnostics, provided by, for example, the Fe L-shell complex and the O VII/VIII lines, will be lost to future planned X-ray missions (e.g., IXO, Gen-X) if operated at traditional X-ray energies. This opens up a critical gap in performance located at short EUV wavelengths, where critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nanolaminate replication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on next-generation instrument designs. We conclude with a discussion of a breakthrough technology, nanolaminate replication, which enables such instruments.

  20. Laser-plasma extreme ultraviolet and soft X-ray sources based on a double stream gas puff target: interaction of the radiation pulses with matter

    NASA Astrophysics Data System (ADS)

    Bartnik, A.

    2015-06-01

    In this work a review of investigations concerning interaction of intense extreme ultraviolet (EUV) and soft X-ray (SXR) pulses with matter is presented. The investigations were performed using laser-produced plasma (LPP) EUV/SXR sources based on a double stream gas puff target. The sources are equipped with dedicated collectors allowing for efficient focusing of the EUV/SXR radiation pulses. Intense radiation in a wide spectral range, as well as a quasi-monochromatic radiation can be produced. In the paper different kinds of LPP EUV/SXR sources developed in the Institute of Optoelectronics, Military University of Technology are described. Radiation intensities delivered by the sources are sufficient for different kinds of interaction experiments including EUV/SXR induced ablation, surface treatment, EUV fluorescence or photoionized plasma creation. A brief review of the main results concerning this kind of experiments performed by author of the paper are presented. However, since the LPP sources cannot compete with large scale X-ray sources like synchrotrons, free electron lasers or high energy density plasma sources, it was indicated that some investigations not requiring extreme irradiation parameters can be performed using the small scale installations. Some results, especially concerning low temperature photoionized plasmas are very unique and could be hardly obtained using the large facilities.