Sample records for ultraviolet euv radiation

  1. Surface roughness control by extreme ultraviolet (EUV) radiation

    NASA Astrophysics Data System (ADS)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  2. Low temperature plasmas induced in SF6 by extreme ultraviolet (EUV) pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Skrzeczanowski, W.; Czwartos, J.; Kostecki, J.; Fiedorowicz, H.; Wachulak, P.; Fok, T.

    2018-06-01

    In this work, a comparative study of extreme ultraviolet (EUV) induced low temperature SF6-based plasmas, created using two different irradiation systems, was performed. Both systems utilized laser-produced plasma (LPP) EUV sources. The essential difference between the systems concerned the formation of the driving EUV beam. The first one contained an efficient ellipsoidal EUV collector allowing for focusing of the EUV radiation at a large distance from the LPP source. The spectrum of focused radiation was limited to the long-wavelength part of the total LPP emission, λ > 8 nm, due to the reflective properties of the collector. The second system did not contain any EUV collector. The gas to be ionized was injected in the vicinity of the LPP, at a distance of the order of 10 mm. In both systems, energies of the driving photons were high enough for dissociative ionization of the SF6 molecules and ionization of atoms or even singly charged ions. Plasmas, created due to these processes, were investigated by spectral measurements in the EUV, ultraviolet (UV), and visible (VIS) spectral ranges. These low temperature plasmas were employed for preliminary experiments concerning surface treatment. The formation of pronounced nanostructures on the silicon surface after plasma treatment was demonstrated.

  3. Universal EUV in-band intensity detector

    DOEpatents

    Berger, Kurt W.

    2004-08-24

    Extreme ultraviolet light is detected using a universal in-band detector for detecting extreme ultraviolet radiation that includes: (a) an EUV sensitive photodiode having a diode active area that generates a current responsive to EUV radiation; (b) one or more mirrors that reflects EUV radiation having a defined wavelength(s) to the diode active area; and (c) a mask defining a pinhole that is positioned above the diode active area, wherein EUV radiation passing through the pinhole is restricted substantially to illuminating the diode active area.

  4. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  5. Microchannel plate EUV detectors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Siegmund, O. H. W.; Malina, R. F.; Coburn, K.; Werthimer, D.

    1984-01-01

    The design and operating characteristics of the prototype imaging microchannel plate (MCP) detector for the Extreme Ultraviolet Explorer (EUVE) Satellite are discussed. It is shown that this detector has achieved high position resolution performance (greater than 512 x 512 pixels) and has low (less than one percent) image distortion. In addition, the channel plate scheme used has tight pulse height distributions (less than 40 percent FWHM) for UV radiation and displays low (less than 0.2 cnt/sq cm-s) dark background counting rates. Work that has been done on EUV filters in relation to the envisaged filter and photocathode complement is also described.

  6. Understanding the Early Evolution of M dwarf Extreme Ultraviolet Radiation

    NASA Astrophysics Data System (ADS)

    Peacock, Sarah; Barman, Travis; Shkolnik, Evgenya

    2015-11-01

    The chemistry and evolution of planetary atmospheres depends on the evolution of high-energy radiation emitted by its host star. High levels of extreme ultraviolet (EUV) radiation can drastically alter the atmospheres of terrestrial planets through ionizing, heating, expanding, chemically modifying and eroding them during the first few billion years of a planetary lifetime. While there is evidence that stars emit their highest levels of far and near ultraviolet (FUV; NUV) radiation in the earliest stages of their evolution, we are currently unable to directly measure the EUV radiation. Most previous stellar atmosphere models under-predict FUV and EUV emission from M dwarfs; here we present new models for M stars that include prescriptions for the hot, lowest density atmospheric layers (chromosphere, transition region and corona), from which this radiation is emitted. By comparing our model spectra to GALEX near and far ultraviolet fluxes, we are able to predict the evolution of EUV radiation for M dwarfs from 10 Myr to a few Gyr. This research is the next major step in the HAZMAT (HAbitable Zones and M dwarf Activity across Time) project to analyze how the habitable zone evolves with the evolving properties of stellar and planetary atmospheres.

  7. Evolution analysis of EUV radiation from laser-produced tin plasmas based on a radiation hydrodynamics model

    PubMed Central

    Su, M. G.; Min, Q.; Cao, S. Q.; Sun, D. X.; Hayden, P.; O’Sullivan, G.; Dong, C. Z.

    2017-01-01

    One of fundamental aims of extreme ultraviolet (EUV) lithography is to maximize brightness or conversion efficiency of laser energy to radiation at specific wavelengths from laser produced plasmas (LPPs) of specific elements for matching to available multilayer optical systems. Tin LPPs have been chosen for operation at a wavelength of 13.5 nm. For an investigation of EUV radiation of laser-produced tin plasmas, it is crucial to study the related atomic processes and their evolution so as to reliably predict the optimum plasma and experimental conditions. Here, we present a simplified radiation hydrodynamic model based on the fluid dynamic equations and the radiative transfer equation to rapidly investigate the evolution of radiation properties and dynamics in laser-produced tin plasmas. The self-absorption features of EUV spectra measured at an angle of 45° to the direction of plasma expansion have been successfully simulated and explained, and the evolution of some parameters, such as the plasma temperature, ion distribution and density, expansion size and velocity, have also been evaluated. Our results should be useful for further understanding of current research on extreme ultraviolet and soft X-ray source development for applications such as lithography, metrology and biological imaging. PMID:28332621

  8. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, S. D.; Kuehne, M.; Lemaire, P.; Marsch, E.

    1992-01-01

    The experiment Solar Ultraviolet Measurements of Emitted Radiation (SUMER) is designed for the investigations of plasma flow characteristics, turbulence and wave motions, plasma densities and temperatures, structures and events associated with solar magnetic activity in the chromosphere, the transition zone and the corona. Specifically, SUMER will measure profiles and intensities of Extreme Ultraviolet (EUV) lines emitted in the solar atmosphere ranging from the upper chromosphere to the lower corona; determine line broadenings, spectral positions and Doppler shifts with high accuracy, provide stigmatic images of selected areas of the Sun in the EUV with high spatial, temporal and spectral resolution and obtain full images of the Sun and the inner corona in selectable EUV lines, corresponding to a temperature from 10,000 to more than 1,800,000 K.

  9. An investigation of solar erythemal ultraviolet radiation at two sites in tourist attraction areas of Thailand

    NASA Astrophysics Data System (ADS)

    Buntoung, Sumaman; Pattarapanitchai, Somjet; Wattan, Rungrat; Masiri, Itsara; Promsen, Worrapass; Tohsing, Korntip; Janjai, Serm

    2013-05-01

    Islands on the southern coasts of Thailand are famous attractions for local and foreign tourists. Tourists usually expose their skins to solar radiation for tanning. Thus information on solar ultraviolet radiation (UV) is of importance for tourists to protect themselves from adverse effects of UV. In this work, solar erythemal ultraviolet radiation (EUV) at two touristic sites namely Samui island (9.451°N, 100.033°E) and Phuket island (8.104°N, 98.304°E) was investigated. In investigating EUV, broadband UV radiometers (Kipp & Zonen, model UVS-B-C) were installed at existing meteorological stations in Samui and Phuket islands. A one-year period of EUV data from these two sites was analyzed. The level of UV index at these sites was studied. The values of UV index higher than 12 at noon time of clear days are usually found in the summer at both sites. Seasonal variation of EUV at both sites was investigated. It was found that the tropical monsoons have strong influence on this variation. Finally, global broadband radiation measured at the sites was also used to establish a correlation between EUV and global broadband radiation. Higher correlation was found for the case of clear sky, as compared to the case of cloudy sky. The correlation obtained from this analysis can be used to estimate EUV from global broadband radiation at these two sites.

  10. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    NASA Astrophysics Data System (ADS)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  11. The creation of radiation dominated plasmas using laboratory extreme ultra-violet lasers

    NASA Astrophysics Data System (ADS)

    Tallents, G. J.; Wilson, S.; West, A.; Aslanyan, V.; Lolley, J.; Rossall, A. K.

    2017-06-01

    Ionization in experiments where solid targets are irradiated by high irradiance extreme ultra-violet (EUV) lasers is examined. Free electron degeneracy effects on ionization in the presence of a high EUV flux of radiation is shown to be important. Overlap of the physics of such plasmas with plasma material under compression in indirect inertial fusion is explored. The design of the focusing optics needed to achieve high irradiance (up to 1014 Wcm-2) using an EUV capillary laser is presented.

  12. The Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Bowyer, S.; Lampton, M.; Finley, D.; Paresce, F.; Penegor, G.; Heetderks, H.

    1982-01-01

    The Extreme Ultraviolet Explorer Mission is described. The purpose of this mission is to search the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation (100 to 1000 A). The search will be accomplished with the use of three EUV telescopes, each sensitive to different bands within the EUV band. A fourth telescope will perform a higher sensitivity search of a limited sample of the sky in a single EUV band. In six months, the entire sky will be scanned at a sensitivity level comparable to existing surveys in other more traditional astronomical bandpasses.

  13. Serendipitous EUV sources detected during the first year of the Extreme Ultraviolet Explorer right angle program

    NASA Technical Reports Server (NTRS)

    Mcdonald, K.; Craig, N.; Sirk, M. M.; Drake, J. J.; Fruscione, A.; Vallerga, J. V.; Malina, R. F.

    1994-01-01

    We report the detection of 114 extreme ultraviolet (EUV; 58 - 740 A) sources, of which 99 are new serendipitous sources, based on observations made with the imaging telescopes on board the Extreme Ultraviolet Explorer (EUVE) during the Right Angle Program (RAP). These data were obtained using the survey scanners and the Deep Survey instrument during the first year of the spectroscopic guest observer phase of the mission, from January 1993 to January 1994. The data set consists of 162 discrete pointings whose exposure times are typically two orders of magnitude longer than the average exposure times during the EUVE all-sky survey. Based on these results, we can expect that EUVE will serendipitously detect approximately 100 new EUV sources per year, or about one new EUV source per 10 sq deg, during the guest observer phase of the EUVE mission. New EUVE sources of note include one B star and three extragalactic objects. The B star (HR 2875, EUVE J0729 - 38.7) is detected in both the Lexan/B (approximately 100 A) and Al/Ti/C (approximately 200 A) bandpasses, and the detection is shown not to be a result of UV leaks. We suggest that we are detecting EUV and/or soft x rays from a companion to the B star. Three sources, EUVE J2132+10.1, EUVE J2343-14.9, and EUVE J2359-30.6 are identified as the active galactic nuclei MKN 1513, MS2340.9-1511, and 1H2354-315, respectively.

  14. Mars Thermospheric Temperature Sensitivity to Solar EUV Forcing from the MAVEN EUV Monitor

    NASA Astrophysics Data System (ADS)

    Thiemann, Ed; Eparvier, Francis; Andersson, Laila; Pilinski, Marcin; Chamberlin, Phillip; Fowler, Christopher; MAVEN Extreme Ultraviolet Monitor Team, MAVEN Langmuir Probe and Waves Team

    2017-10-01

    Solar extreme ultraviolet (EUV) radiation is the primary heat source for the Mars thermosphere, and the primary source of long-term temperature variability. The Mars obliquity, dust cycle, tides and waves also drive thermospheric temperature variability; and it is important to quantify the role of each in order to understand processes in the upper atmosphere today and, ultimately, the evolution of Mars climate over time. Although EUV radiation is the dominant heating mechanism, accurately measuring the thermospheric temperature sensitivity to EUV forcing has remained elusive, in part, because Mars thermospheric temperature varies dramatically with latitude and local time (LT), ranging from 150K on the nightside to 300K on the dayside. It follows that studies of thermospheric variability must control for location.Instruments onboard the Mars Atmosphere and Volatile EvolutioN (MAVEN) orbiter have begun to characterize thermospheric temperature sensitivity to EUV forcing. Bougher et al. [2017] used measurements from the Imaging Ultraviolet Spectrograph (IUVS) and the Neutral Gas and Ion Mass Spectrometer (NGIMS) to characterize solar activity trends in the thermosphere with some success. However, aside from restricting measurements to solar zenith angles (SZAs) below 75 degrees, they were unable to control for latitude and LT because repeat-track observations from either instrument were limited or unavailable.The MAVEN EUV Monitor (EUVM) has recently demonstrated the capability to measure thermospheric density from 100 to 200 km with solar occultations of its 17-22 nm channel. These new density measurements are ideal for tracking the long-term thermospheric temperature variability because they are inherently constrained to either 06:00 or 18:00 LT, and the orbit has precessed to include a range of ecliptic latitudes, a number of which have been revisited multiple times over 2.5 years. In this study we present, for the first-time, measurements of thermospheric

  15. Modeling of radiative properties of Sn plasmas for extreme-ultraviolet source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sasaki, Akira; Sunahara, Atsushi; Furukawa, Hiroyuki

    Atomic processes in Sn plasmas are investigated for application to extreme-ultraviolet (EUV) light sources used in microlithography. We develop a full collisional radiative (CR) model of Sn plasmas based on calculated atomic data using Hebrew University Lawrence Livermore Atomic Code (HULLAC). Resonance and satellite lines from singly and multiply excited states of Sn ions, which contribute significantly to the EUV emission, are identified and included in the model through a systematic investigation of their effect on the emission spectra. The wavelengths of the 4d-4f+4p-4d transitions of Sn{sup 5+} to Sn{sup 13+} are investigated, because of their importance for determining themore » conversion efficiency of the EUV source, in conjunction with the effect of configuration interaction in the calculation of atomic structure. Calculated emission spectra are compared with those of charge exchange spectroscopy and of laser produced plasma EUV sources. The comparison is also carried out for the opacity of a radiatively heated Sn sample. A reasonable agreement is obtained between calculated and experimental EUV emission spectra observed under the typical condition of EUV sources with the ion density and ionization temperature of the plasma around 10{sup 18} cm{sup -3} and 20 eV, respectively, by applying a wavelength correction to the resonance and satellite lines. Finally, the spectral emissivity and opacity of Sn plasmas are calculated as a function of electron temperature and ion density. The results are useful for radiation hydrodynamics simulations for the optimization of EUV sources.« less

  16. Extreme Ultraviolet Explorer. Long look at the next window

    NASA Technical Reports Server (NTRS)

    Maran, Stephen P.

    1991-01-01

    The Extreme Ultraviolet Explorer (EUVE) will map the entire sky to determine the existence, direction, brightness, and temperature of thousands of objects that are sources of so-called extreme ultraviolet (EUV) radiation. The EUV spectral region is located between the x-ray and ultraviolet regions of the electromagnetic spectrum. From the sky survey by EUVE, astronomers will determine the nature of sources of EUV light in our galaxy, and infer the distribution of interstellar gas for hundreds of light years around the solar system. It is from this gas and the accompanying dust in space that new stars and solar systems are born and to which evolving and dying stars return much of their material in an endless cosmic cycle of birth, death, and rebirth. Besides surveying the sky, astronomers will make detailed studies of selected objects with EUVE to determine their physical properties and chemical compositions. Also, they will learn about the conditions that prevail and the processes at work in stars, planets, and other sources of EUV radiation, maybe even quasars. The EUVE mission and instruments are described. The objects that EUVE will likely find are described.

  17. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, M. C. E.; Lemaire, P.; Marsch, E.; Poland, A. I.

    1988-01-01

    The SUMER (solar ultraviolet measurements of emitted radiation) experiment is described. It will study flows, turbulent motions, waves, temperatures and densities of the plasma in the upper atmosphere of the Sun. Structures and events associated with solar magnetic activity will be observed on various spatial and temporal scales. This will contribute to the understanding of coronal heating processes and the solar wind expansion. The instrument will take images of the Sun in EUV (extreme ultra violet) light with high resolution in space, wavelength and time. The spatial resolution and spectral resolving power of the instrument are described. Spectral shifts can be determined with subpixel accuracy. The wavelength range extends from 500 to 1600 angstroms. The integration time can be as short as one second. Line profiles, shifts and broadenings are studied. Ratios of temperature and density sensitive EUV emission lines are established.

  18. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  19. Calibration techniques and results in the soft X-ray and extreme ultraviolet for components of the Extreme Ultraviolet Explorer Satellite

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Jelinsky, Patrick; Bowyer, Stuart

    1986-01-01

    The calibration facilities and techniques for the Extreme Ultraviolet Explorer (EUVE) from 44 to 2500 A are described. Key elements include newly designed radiation sources and a collimated monochromatic EUV beam. Sample results for the calibration of the EUVE filters, detectors, gratings, collimators, and optics are summarized.

  20. Laser-produced lithium plasma as a narrow-band extended ultraviolet radiation source for photoelectron spectroscopy.

    PubMed

    Schriever, G; Mager, S; Naweed, A; Engel, A; Bergmann, K; Lebert, R

    1998-03-01

    Extended ultraviolet (EUV) emission characteristics of a laser-produced lithium plasma are determined with regard to the requirements of x-ray photoelectron spectroscopy. The main features of interest are spectral distribution, photon flux, bandwidth, source size, and emission duration. Laser-produced lithium plasmas are characterized as emitters of intense narrow-band EUV radiation. It can be estimated that the lithium Lyman-alpha line emission in combination with an ellipsoidal silicon/molybdenum multilayer mirror is a suitable EUV source for an x-ray photoelectron spectroscopy microscope with a 50-meV energy resolution and a 10-mum lateral resolution.

  1. Increasing EUV source efficiency via recycling of radiation power

    NASA Astrophysics Data System (ADS)

    Hassanein, Ahmed; Sizyuk, Valeryi; Sizyuk, Tatyana; Johnson, Kenneth C.

    2018-03-01

    EUV source power is critical for advanced lithography, for achieving economical throughput performance and also for minimizing stochastic patterning effects. Power conversion efficiency can be increased by recycling plasma-scattered laser radiation and other out-of-band radiation back to the plasma via retroreflective optics. Radiation both within and outside of the collector light path can potentially be recycled. For recycling within the collector path, the system uses a diffractive collection mirror that concomitantly filters all laser and out-of-band radiation out of the EUV output. In this paper we review the optical design concept for power recycling and present preliminary plasma-physics simulation results showing a potential gain of 60% in EUV conversion efficiency.

  2. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  3. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  4. The extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, Stuart; Malina, Roger F.

    1990-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled for launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of Extreme Ultraviolet (EUV) radiation. The survey will be accomplished with the use of three EUV telescopes, each sensitive to a different segment of the EUV band. A fourth telescope will perform a high sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all sky survey will be carried out in the first six months of the mission and will be made in four bands, or colors. The second phase of the mission, conducted entirely by guest observers selected by NASA, will be devoted to spectroscopic observations of EUV sources. The performance of the instrument components is described. An end to end model of the mission, from a stellar source to the resulting scientific data, was constructed. Hypothetical data from astronomical sources processed through this model are shown.

  5. Nanoplasmonic generation of ultrashort EUV pulses

    NASA Astrophysics Data System (ADS)

    Choi, Joonhee; Lee, Dong-Hyub; Han, Seunghwoi; Park, In-Yong; Kim, Seungchul; Kim, Seung-Woo

    2012-10-01

    Ultrashort extreme-ultraviolet (EUV) light pulses are an important tool for time-resolved pump-probe spectroscopy to investigate the ultrafast dynamics of electrons in atoms and molecules. Among several methods available to generate ultrashort EUV light pulses, the nonlinear frequency upconversion process of high-harmonic generation (HHG) draws attention as it is capable of producing coherent EUV pulses with precise control of burst timing with respect to the driving near-infrared (NIR) femtosecond laser. In this report, we present and discuss our recent experimental data obtained by the plasmon-driven HHG method that generate EUV radiation by means of plasmonic nano-focusing of NIR femtosecond pulses. For experiment, metallic waveguides having a tapered hole of funnel shape inside were fabricated by adopting the focused-ion-beam process on a micro-cantilever substrate. The plasmonic field formed within the funnelwaveguides being coupled with the incident femtosecond pulse permitted intensity enhancement by a factor of ~350, which creates a hot spot of sub-wavelength size with intensities strong enough for HHG. Experimental results showed that with injection of noble gases into the funnel-waveguides, EUV radiation is generated up to wavelengths of 32 nm and 29.6 nm from Ar and Ne gas atoms, respectively. Further, it was observed that lower-order EUV harmonics are cut off in the HHG spectra by the tiny exit aperture of the funnel-waveguide.

  6. Prospective EUV observations of hot DA white dwarfs with the EUV Explorer

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Malina, Roger F.; Bowyer, Stuart

    1987-01-01

    The Extreme Ultraviolet Explorer (EUVE) will perform a high sensitivity EUV all-sky survey. A major category of sources which will be detected with the EUVE instruments consists of hot white dwarfs. Detailed preliminary studies of synthetic EUV observations of white dwarfs have been carried out using the predicted EUVE instrumental response functions. Using available information regarding space densities of white dwarfs and the distribution of neutral hydrogen in the interstellar medium, the numbers of DA white dwarfs which will be detectable in the different EUV bandpasses have been estimated.

  7. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  8. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    PubMed

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  9. Recycling of laser and plasma radiation energy for enhancement of extreme ultraviolet sources for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, V.; Sizyuk, T.; Hassanein, A.; Johnson, K.

    2018-01-01

    We have developed comprehensive integrated models for detailed simulation of laser-produced plasma (LPP) and laser/target interaction, with potential recycling of the escaping laser and out-of-band plasma radiation. Recycling, i.e., returning the escaping laser and plasma radiation to the extreme ultraviolet (EUV) generation region using retroreflective mirrors, has the potential of increasing the EUV conversion efficiency (CE) by up to 60% according to our simulations. This would result in significantly reduced power consumption and/or increased EUV output. Based on our recently developed models, our High Energy Interaction with General Heterogeneous Target Systems (HEIGHTS) computer simulation package was upgraded for LPP devices to include various radiation recycling regimes and to estimate the potential CE enhancement. The upgraded HEIGHTS was used to study recycling of both laser and plasma-generated radiation and to predict possible gains in conversion efficiency compared to no-recycling LPP devices when using droplets of tin target. We considered three versions of the LPP system including a single CO2 laser, a single Nd:YAG laser, and a dual-pulse device combining both laser systems. The gains in generating EUV energy were predicted and compared for these systems. Overall, laser and radiation energy recycling showed the potential for significant enhancement in source efficiency of up to 60% for the dual-pulse system. Significantly higher CE gains might be possible with optimization of the pre-pulse and main pulse parameters and source size.

  10. The Extreme Ultraviolet Explorer Mission

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1991-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled from launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation with the use of three EUV telescope, each sensitive to a different segment of the EUV band. A fourth telescope is planned to perform a high-sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all-sky survey is planned to be carried out in the first six months of the mission in four bands, or colors, 70-180 A, 170-250 A, 400-600 A, and 500-700 A. The second phase of the mission is devoted to spectroscopic observations of EUV sources. A high-efficiency grazing-incidence spectrometer using variable line-space gratings is planned to provide spectral data with about 1-A resolution. An end-to-end model of the mission, from a stellar source to the resulting scientific data, is presented. Hypothetical data from astronomical sources were processed through this model and are shown.

  11. A stand-alone compact EUV microscope based on gas-puff target source.

    PubMed

    Torrisi, Alfio; Wachulak, Przemyslaw; Węgrzyński, Łukasz; Fok, Tomasz; Bartnik, Andrzej; Parkman, Tomáš; Vondrová, Šárka; Turňová, Jana; Jankiewicz, Bartłomiej J; Bartosewicz, Bartosz; Fiedorowicz, Henryk

    2017-02-01

    We report on a very compact desk-top transmission extreme ultraviolet (EUV) microscope based on a laser-plasma source with a double stream gas-puff target, capable of acquiring magnified images of objects with a spatial (half-pitch) resolution of sub-50 nm. A multilayer ellipsoidal condenser is used to focus and spectrally narrow the radiation from the plasma, producing a quasi-monochromatic EUV radiation (λ = 13.8 nm) illuminating the object, whereas a Fresnel zone plate objective forms the image. Design details, development, characterization and optimization of the EUV source and the microscope are described and discussed. Test object and other samples were imaged to demonstrate superior resolution compared to visible light microscopy. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  12. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  13. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    NASA Astrophysics Data System (ADS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Gürsel, Selmiye Alkan; Scherer, Günther G.; Wokaun, Alexander

    2007-12-01

    Nanostructures of the thermoresponsive poly( N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 °C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures.

  14. Laser-plasma extreme ultraviolet and soft X-ray sources based on a double stream gas puff target: interaction of the radiation pulses with matter

    NASA Astrophysics Data System (ADS)

    Bartnik, A.

    2015-06-01

    In this work a review of investigations concerning interaction of intense extreme ultraviolet (EUV) and soft X-ray (SXR) pulses with matter is presented. The investigations were performed using laser-produced plasma (LPP) EUV/SXR sources based on a double stream gas puff target. The sources are equipped with dedicated collectors allowing for efficient focusing of the EUV/SXR radiation pulses. Intense radiation in a wide spectral range, as well as a quasi-monochromatic radiation can be produced. In the paper different kinds of LPP EUV/SXR sources developed in the Institute of Optoelectronics, Military University of Technology are described. Radiation intensities delivered by the sources are sufficient for different kinds of interaction experiments including EUV/SXR induced ablation, surface treatment, EUV fluorescence or photoionized plasma creation. A brief review of the main results concerning this kind of experiments performed by author of the paper are presented. However, since the LPP sources cannot compete with large scale X-ray sources like synchrotrons, free electron lasers or high energy density plasma sources, it was indicated that some investigations not requiring extreme irradiation parameters can be performed using the small scale installations. Some results, especially concerning low temperature photoionized plasmas are very unique and could be hardly obtained using the large facilities.

  15. Quality control of EUVE databases

    NASA Technical Reports Server (NTRS)

    John, L. M.; Drake, J.

    1992-01-01

    The publicly accessible databases for the Extreme Ultraviolet Explorer include: the EUVE Archive mailserver; the CEA ftp site; the EUVE Guest Observer Mailserver; and the Astronomical Data System node. The EUVE Performance Assurance team is responsible for verifying that these public EUVE databases are working properly, and that the public availability of EUVE data contained therein does not infringe any data rights which may have been assigned. In this poster, we describe the Quality Assurance (QA) procedures we have developed from the approach of QA as a service organization, thus reflecting the overall EUVE philosophy of Quality Assurance integrated into normal operating procedures, rather than imposed as an external, post facto, control mechanism.

  16. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  17. High-space resolution imaging plate analysis of extreme ultraviolet (EUV) light from tin laser-produced plasmas

    NASA Astrophysics Data System (ADS)

    Musgrave, Christopher S. A.; Murakami, Takehiro; Ugomori, Teruyuki; Yoshida, Kensuke; Fujioka, Shinsuke; Nishimura, Hiroaki; Atarashi, Hironori; Iyoda, Tomokazu; Nagai, Keiji

    2017-03-01

    With the advent of high volume manufacturing capabilities by extreme ultraviolet lithography, constant improvements in light source design and cost-efficiency are required. Currently, light intensity and conversion efficiency (CE) measurments are obtained by charged couple devices, faraday cups etc, but also phoshpor imaging plates (IPs) (BaFBr:Eu). IPs are sensitive to light and high-energy species, which is ideal for studying extreme ultraviolet (EUV) light from laser produced plasmas (LPPs). In this work, we used IPs to observe a large angular distribution (10°-90°). We ablated a tin target by high-energy lasers (1064 nm Nd:YAG, 1010 and 1011 W/cm2) to generate the EUV light. The europium ions in the IP were trapped in a higher energy state from exposure to EUV light and high-energy species. The light intensity was angular dependent; therefore excitation of the IP depends on the angle, and so highly informative about the LPP. We obtained high-space resolution (345 μm, 0.2°) angular distribution and grazing spectrometer (5-20 nm grate) data simultaneously at different target to IP distances (103 mm and 200 mm). Two laser systems and IP types (BAS-TR and BAS-SR) were also compared. The cosine fitting values from the IP data were used to calculate the CE to be 1.6% (SD ± 0.2) at 13.5 nm 2% bandwidth. Finally, a practical assessment of IPs and a damage issue are disclosed.

  18. Discovery of Strong EUV-induced Balmer Emission in the New WD+dM Binary EUVE J2013+40.0 (RE 2013+400)

    NASA Astrophysics Data System (ADS)

    Thorstensen, J. R.; Vennes, S.

    1993-12-01

    The binary system EUVE J2013+40.0 (= RE 2013+400) was discovered in the EUV-selected sample of white dwarfs identified in the course of the ROSAT Wide Field Camera (WFC) all-sky survey (Pounds et al. 1993, MNRAS, 260, 77). The intense extreme ultraviolet (EUV) emission from the hot white dwarf (DAO type) was also detected in the course of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (Bowyer et al. 1993, ApJ, submitted), and the subsequent optical identification campaign suggested the association of EUVE J2013+40.0 with the Feige 24 class of binary systems (see Vennes & Thorstensen, these proceedings). Such systems consist of a hot H-rich white dwarf (DA/DAO) and a red dwarf companion (dM) and are characterized by strong, narrow, variable Balmer emission. We obtained spectroscopy with 4 Angstroms resolution at the Michigan-Dartmouth-MIT Hiltner 2.4 m, covering the Hα and Hβ range. The Hα emission line velocity and equivalent widths varied with a period of 0.708 +/- 0.003 d; the velocity semiamplitude is 89 +/- 3 km s(-1) . The emission equivalent width reaches maximum strength 0.251 +/- 0.007 cycle after maximum emission-line velocity, that is, when the emission source reaches superior conjunction. This is just as expected if the emission arises from reprocessing of the EUV radiation incident upon the face of the dM star facing the white dwarf, as proposed for Feige 24 by Thorstensen et al. (1978, ApJ, 223, 260). EUVE J2013+40.0 is one of a handful of WD+dM binary systems in which the illumination effect is observed with unambiguous clarity. By comparing Feige 24 and EUVE J2013+40.0, and modelling the white dwarf EUV emission and red dwarf Balmer emission, we constrain the orbital inclinations. Additional spectroscopy of EUVE J2013+40.0 is being scheduled to determine the component masses. These are important input data for the study of the close binary systems which arise from common envelope evolution. This work is supported by a forthcoming NASA

  19. EUVE observations of the Moon

    NASA Technical Reports Server (NTRS)

    Gladstone, G. R.; Mcdonald, J. S.; Boyd, W. T.

    1993-01-01

    During its all-sky survey, the Extreme Ultraviolet Explorer (EUVE) satellite observed the Moon several times at first and last quarters, and once near the Dec. 10, 1992 lunar eclipse. We present a preliminary reduction and analysis of this data, in the form of EUV images of the Moon and derived albedos.

  20. Synchrotron radiation calibration of the EUVE variable line-spaced diffraction gratings at the NBS SURF II facility

    NASA Technical Reports Server (NTRS)

    Jelinsky, P.; Jelinsky, S. R.; Miller, A.; Vallerga, J.; Malina, R. F.

    1988-01-01

    The Extreme Ultraviolet Explorer (EUVE) has a spectrometer which utilizes variable line-spaced, plane diffraction gratings in the converging beam of a Wolter-Schwarzschild type II mirror. The gratings, microchannel plate detector, and thin film filters have been calibrated with continuum radiation provided by the NBS SURF II facility. These were calibrated in a continuum beam to find edges or other sharp spectral features in the transmission of the filters, quantum efficiency of the microchannel plate detector, and efficiency of the gratings. The details of the calibration procedure and the results of the calibration are presented.

  1. Surface modification of polymers for biocompatibility via exposure to extreme ultraviolet radiation.

    PubMed

    Inam Ul Ahad; Bartnik, Andrzej; Fiedorowicz, Henryk; Kostecki, Jerzy; Korczyc, Barbara; Ciach, Tomasz; Brabazon, Dermot

    2014-09-01

    Polymeric biomaterials are being widely used for the treatment of various traumata, diseases and defects in human beings due to ease in their synthesis. As biomaterials have direct interaction with the extracellular environment in the biological world, biocompatibility is a topic of great significance. The introduction or enhancement of biocompatibility in certain polymers is still a challenge to overcome. Polymer biocompatibility can be controlled by surface modification. Various physical and chemical methods (e.g., chemical and plasma treatment, ion implantation, and ultraviolet irradiation etc.) are in use or being developed for the modification of polymer surfaces. However an important limitation in their employment is the alteration of bulk material. Different surface and bulk properties of biomaterials are often desirable for biomedical applications. Because extreme ultraviolet (EUV) radiation penetration is quite limited even in low density mediums, it could be possible to use it for surface modification without influencing the bulk material. This article reviews the degree of biocompatibility of different polymeric biomaterials being currently employed in various biomedical applications, the surface properties required to be modified for biocompatibility control, plasma and laser ablation based surface modification techniques, and research studies indicating possible use of EUV for enhancing biocompatibility. © 2013 Wiley Periodicals, Inc.

  2. Exploring EUV Spicules Using 304 Angstrom He II Data from SDO AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian R.; Sterling, Alphonse C.; Falconer, David A.; Moore, Ron L.

    2014-01-01

    We present results from a statistical study of He II 304 Angstrom Extreme Ultraviolet (EUV) spicules at the limb of the Sun. We also measured properties of one macrospicule; macrospicules are longer than most spicules, and much broader in width than spicules. We use high-cadence (12 second) and high-resolution (0.6 arcseconds pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred near the solar north pole, where quiet Sun or coronal hole environments ensued. We examined the maximum lengths, maximum rise velocities, and lifetimes of 33 Extreme Ultraviolet (EUV) spicules and the macrospicule. For the bulk of the Extreme Ultraviolet (EUV) spicules these quantities are, respectively, approximately 10,000-40,000 kilometers, 20-100 kilometers per second, and approximately 100- approximately 1000 seconds. For the macrospicule the corresponding quantities were respectively approximately 60,000 kilometers, approximately 130 kilometers per second, approximately 1800 seconds, which is typical of macrospicules measured by other workers. Therefore macrospicules are taller, longer-lived, and faster than most Extreme Ultraviolet (EUV) spicules. The rise profiles of both the spicules and the macrospicules match well a second-order ("parabolic" ) trajectory, although the acceleration was often weaker than that of solar gravity in the profiles fitted to the trajectories. Our macrospicule also had an obvious brightening at its base at birth, while such brightening was not apparent for the Extreme Ultraviolet (EUV) spicules. Most of the Extreme Ultraviolet (EUV) spicules remained visible during their descent back to the solar surface, although a small percentage of the spicules and the macrospicule faded out before falling back to the surface. Our sample of macrospicules is not yet large enough to determine whether their initiation mechanism is identical to that of Extreme Ultraviolet (EUV

  3. Quantitative Evaluation of Hard X-ray Damage to Biological Samples using EUV Ptychography

    NASA Astrophysics Data System (ADS)

    Baksh, Peter; Odstrcil, Michal; Parsons, Aaron; Bailey, Jo; Deinhardt, Katrin; Chad, John E.; Brocklesby, William S.; Frey, Jeremy G.

    2017-06-01

    Coherent diffractive imaging (CDI) has become a standard method on a variety of synchrotron beam lines. The high brilliance short wavelength radiation from these sources can be used to reconstruct attenuation and relative phase of a sample with nanometre resolution via CDI methods. However, the interaction between the sample and high energy ionising radiation can cause degradation to sample structure. We demonstrate, using a laboratory based high harmonic generation (HHG) based extreme ultraviolet (EUV) source, imaging a sample of hippocampal neurons using the ptychography method. The significant increase in contrast of the sample in the EUV light allows identification of damage induced from exposure to 7.3 keV photons, without causing any damage to the sample itself.

  4. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    NASA Astrophysics Data System (ADS)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  5. Extreme ultraviolet spectroscopy diagnostics of low-temperature plasmas based on a sliced multilayer grating and glass capillary optics.

    PubMed

    Kantsyrev, V L; Safronova, A S; Williamson, K M; Wilcox, P; Ouart, N D; Yilmaz, M F; Struve, K W; Voronov, D L; Feshchenko, R M; Artyukov, I A; Vinogradov, A V

    2008-10-01

    New extreme ultraviolet (EUV) spectroscopic diagnostics of relatively low-temperature plasmas based on the application of an EUV spectrometer and fast EUV diodes combined with glass capillary optics is described. An advanced high resolution dispersive element sliced multilayer grating was used in the compact EUV spectrometer. For monitoring of the time history of radiation, filtered fast EUV diodes were used in the same spectral region (>13 nm) as the EUV spectrometer. The radiation from the plasma was captured by using a single inexpensive glass capillary that was transported onto the spectrometer entrance slit and EUV diode. The use of glass capillary optics allowed placement of the spectrometer and diodes behind the thick radiation shield outside the direction of a possible hard x-ray radiation beam and debris from the plasma source. The results of the testing and application of this diagnostic for a compact laser plasma source are presented. Examples of modeling with parameters of plasmas are discussed.

  6. Extreme Ultraviolet Explorer Bright Source List

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Marshall, Herman L.; Antia, Behram; Christian, Carol A.; Dobson, Carl A.; Finley, David S.; Fruscione, Antonella; Girouard, Forrest R.; Hawkins, Isabel; Jelinsky, Patrick

    1994-01-01

    Initial results from the analysis of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (58-740 A) and deep survey (67-364 A) are presented through the EUVE Bright Source List (BSL). The BSL contains 356 confirmed extreme ultraviolet (EUV) point sources with supporting information, including positions, observed EUV count rates, and the identification of possible optical counterparts. One-hundred twenty-six sources have been detected longward of 200 A.

  7. Reconstruction of Solar EUV Flux 1740-2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, L.

    2015-12-01

    Solar Extreme Ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo ionization of molecular Oxygen. Solar heating of the ionosphere creates thermal winds which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and sets with the Sun and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us the deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the 'Magnetic Crusade' of the 1830s and less reliable, but still usable, data are available for portions of the hundred years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F10.7 flux and the sunspot number, and find that the reconstructed EUV flux reproduces the F10.7 flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant 'solar magnetic ground state'.

  8. The EUVE Proposal Database

    NASA Astrophysics Data System (ADS)

    Christian, C. A.; Olson, E. C.

    1993-01-01

    The proposal database and scheduling system for the Extreme Ultraviolet Explorer is described. The proposal database has been implemented to take input for approved observations selected by the EUVE Peer Review Panel and output target information suitable for the scheduling system to digest. The scheduling system is a hybrid of the SPIKE program and EUVE software which checks spacecraft constraints, produces a proposed schedule and selects spacecraft orientations with optimal configurations for acquiring star trackers, etc. This system is used to schedule the In Orbit Calibration activities that took place this summer, following the EUVE launch in early June 1992. The strategy we have implemented has implications for the selection of approved targets, which have impacted the Peer Review process. In addition, we will discuss how the proposal database, founded on Sybase, controls the processing of EUVE Guest Observer data.

  9. Observation of EUVL mask using coherent EUV scatterometry microscope with high-harmonic-generation EUV source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-07-01

    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.

  10. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    NASA Astrophysics Data System (ADS)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  11. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chai, Kil-Byoung; Bellan, Paul M.

    2013-12-15

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10{sup 6} frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs.

  12. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  13. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Wachulak, P.; Fiedorowicz, H.; Skrzeczanowski, W.

    2016-04-01

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Kr plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.

  14. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartnik, A., E-mail: andrzej.bartnik@wat.edu.pl; Wachulak, P.; Fiedorowicz, H.

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Krmore » plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.« less

  15. EUV observation from the Earth-orbiting satellite, EXCEED

    NASA Astrophysics Data System (ADS)

    Yoshioka, K.; Murakami, G.; Yoshikawa, I.; Ueno, M.; Uemizu, K.; Yamazaki, A.

    2010-01-01

    An Earth-orbiting small satellite “EXtreme ultraviolet spectrosCope for ExosphEric Dynamics” (EXCEED) which will be launched in 2012 is under development. The mission will carry out spectroscopic and imaging observation of EUV (Extreme Ultraviolet: 60-145 nm) emissions from tenuous plasmas around the planets (Venus, Mars, Mercury, and Jupiter). It is essential for EUV observation to put on an observing site outside the Earth’s atmosphere to avoid the absorption. It is also essential that the detection efficiency must be very high in order to catch the faint signals from those targets. In this mission, we employ cesium iodide coated microchannel plate as a 2 dimensional photon counting devise which shows 1.5-50 times higher quantum detection efficiency comparing with the bared one. We coat the surface of the grating and entrance mirror with silicon carbides by the chemical vapor deposition method in order to archive the high diffraction efficiency and reflectivity. The whole spectrometer is shielded by the 2 mm thick stainless steel to prevent the contamination caused by the high energy electrons from the inner radiation belt. In this paper, we will introduce the mission overview, its instrument, and their performance.

  16. Extreme ultraviolet spectral irradiance measurements since 1946

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  17. Ptychographic imaging with partially coherent plasma EUV sources

    NASA Astrophysics Data System (ADS)

    Bußmann, Jan; Odstrčil, Michal; Teramoto, Yusuke; Juschkin, Larissa

    2017-12-01

    We report on high-resolution lens-less imaging experiments based on ptychographic scanning coherent diffractive imaging (CDI) method employing compact plasma sources developed for extreme ultraviolet (EUV) lithography applications. Two kinds of discharge sources were used in our experiments: a hollow-cathode-triggered pinch plasma source operated with oxygen and for the first time a laser-assisted discharge EUV source with a liquid tin target. Ptychographic reconstructions of different samples were achieved by applying constraint relaxation to the algorithm. Our ptychography algorithms can handle low spatial coherence and broadband illumination as well as compensate for the residual background due to plasma radiation in the visible spectral range. Image resolution down to 100 nm is demonstrated even for sparse objects, and it is limited presently by the sample structure contrast and the available coherent photon flux. We could extract material properties by the reconstruction of the complex exit-wave field, gaining additional information compared to electron microscopy or CDI with longer-wavelength high harmonic laser sources. Our results show that compact plasma-based EUV light sources of only partial spatial and temporal coherence can be effectively used for lens-less imaging applications. The reported methods may be applied in combination with reflectometry and scatterometry for high-resolution EUV metrology.

  18. Selected highlights from the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1995-01-01

    We present a few scientific highlights from the Extreme Ultraviolet Explorer (EUVE) all-sky and deep surveys, from the EUVE Righ Angle Program, and from the EUVE Guest Observer Program. The First EUVE Source Catalog includes 410 extreme ultraviolet (EUV) sources detected in the initial processing of the EUVE all-sky data. A program of optical identification indicates that counterparts include cool star coronae, flare stars, hot white dwarfs, central stars of planetary nebulae, B star photospheres and winds, an X-ray binary, extragalactic objects (active galactic nuclei, BL Lacertae), solar system objects (Moon, Mars, Io,), supernova remnants, and two novae.

  19. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  20. Mask fabrication and its applications to extreme ultra-violet diffractive optics

    NASA Astrophysics Data System (ADS)

    Cheng, Yang-Chun

    Short-wavelength radiation around 13nm of wavelength (Extreme Ultra-Violet, EUV) is being considered for patterning microcircuits, and other electronic chips with dimensions in the nanometer range. Interferometric Lithography (IL) uses two beams of radiation to form high-resolution interference fringes, as small as half the wavelength of the radiation used. As a preliminary step toward manufacturing technology, IL can be used to study the imaging properties of materials in a wide spectral range and at nanoscale dimensions. A simple implementation of IL uses two transmission diffraction gratings to form the interference pattern. More complex interference patterns can be created by using different types of transmission gratings. In this thesis, I describe the development of a EUV lithography system that uses diffractive optical elements (DOEs), from simple gratings to holographic structures. The exposure system is setup on a EUV undulator beamline at the Synchrotron Radiation Center, in the Center for NanoTechnology clean room. The setup of the EUV exposure system is relatively simple, while the design and fabrication of the DOE "mask" is complex, and relies on advanced nanofabrication techniques. The EUV interferometric lithography provides reliable EUV exposures of line/space patterns and is ideal for the development of EUV resist technology. In this thesis I explore the fabrication of these DOE for the EUV range, and discuss the processes I have developed for the fabrication of ultra-thin membranes. In addition, I discuss EUV holographic lithography and generalized Talbot imaging techniques to extend the capability of our EUV-IL system to pattern arbitrary shapes, using more coherent sources than the undulator. In a series of experiments, we have demonstrated the use of a soft X-ray (EUV) laser as effective source for EUV lithography. EUV-IL, as implemented at CNTech, is being used by several companies and research organizations to characterize photoresist

  1. Debris- and radiation-induced damage effects on EUV nanolithography source collector mirror optics performance

    NASA Astrophysics Data System (ADS)

    Allain, J. P.; Nieto, M.; Hendricks, M.; Harilal, S. S.; Hassanein, A.

    2007-05-01

    Exposure of collector mirrors facing the hot, dense pinch plasma in plasma-based EUV light sources to debris (fast ions, neutrals, off-band radiation, droplets) remains one of the highest critical issues of source component lifetime and commercial feasibility of nanolithography at 13.5-nm. Typical radiators used at 13.5-nm include Xe and Sn. Fast particles emerging from the pinch region of the lamp are known to induce serious damage to nearby collector mirrors. Candidate collector configurations include either multi-layer mirrors (MLM) or single-layer mirrors (SLM) used at grazing incidence. Studies at Argonne have focused on understanding the underlying mechanisms that hinder collector mirror performance at 13.5-nm under fast Sn or Xe exposure. This is possible by a new state-of-the-art in-situ EUV reflectometry system that measures real time relative EUV reflectivity (15-degree incidence and 13.5-nm) variation during fast particle exposure. Intense EUV light and off-band radiation is also known to contribute to mirror damage. For example offband radiation can couple to the mirror and induce heating affecting the mirror's surface properties. In addition, intense EUV light can partially photo-ionize background gas (e.g., Ar or He) used for mitigation in the source device. This can lead to local weakly ionized plasma creating a sheath and accelerating charged gas particles to the mirror surface and inducing sputtering. In this paper we study several aspects of debris and radiation-induced damage to candidate EUVL source collector optics materials. The first study concerns the use of IMD simulations to study the effect of surface roughness on EUV reflectivity. The second studies the effect of fast particles on MLM reflectivity at 13.5-nm. And lastly the third studies the effect of multiple energetic sources with thermal Sn on 13.5-nm reflectivity. These studies focus on conditions that simulate the EUVL source environment in a controlled way.

  2. The Extreme-ultraviolet Emission from Sun-grazing Comets

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William D.

    2012-01-01

    The Atmospheric Imaging Assembly (AIA) on the Solar Dynamics Observatory has observed two Sun-grazing comets as they passed through the solar atmosphere. Both passages resulted in a measurable enhancement of extreme-ultraviolet (EUV) radiance in several of the AIA bandpasses.We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Molecules in the comet rapidly sublimate as it approaches the Sun. They are then photodissociated by the solar radiation field to create atomic species. Subsequent ionization of these atoms produces a higher abundance of ions than normally present in the corona and results in EUV emission in the wavelength ranges of the AIA telescope passbands.

  3. A volume-limited survey of High Galactic latitude planetary nebulae with the Extrme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Fruscione, Antonella; Drake, Jeremy J.; Mcdonald, Kelley; Malina, Roger F.

    1995-01-01

    We present the results of a complete survey, at extreme-ultraviolet (EUV) wavelengths (58-234 A), of the high Galactic latitude (absolute value of b greater than or = to 20 deg) planetary nebulae (PNs) with at least one determination of the distance within 1 kpc of the Sun. The sample comprises 27 objects observed during the Extreme Ultraviolet Explorer (EUVE) all-sky survey and represents the majority of PN likely to be accessible at EUV wavelengths. Six PNs (NGC 246, NGC 1360, K1-16, LoTr 5, NGC 4361, and NGC 3587) were detected in the shortest EUV band (58-174 A). A seventh PN (NGC 6853), not included in the sample, was also detected during the survey. The emission is consistent in all cases with that of a point source and therefore most probably originates from the PN central star. Accurate EUV count rates or upper limits in the two shorter EUVE bands (centered at approximately 100 and 200 A) are given for all the sources in the sample. NGC 4361 and NGC 3587 are reported here for the first time as sources of EUV radiation. As might be expected, attenuation by the interstellar medium dominates the PN distribution in the EUV sky.

  4. Plasma-assisted oxide removal from ruthenium-coated EUV optics

    NASA Astrophysics Data System (ADS)

    Dolgov, A.; Lee, C. J.; Bijkerk, F.; Abrikosov, A.; Krivtsun, V. M.; Lopaev, D.; Yakushev, O.; van Kampen, M.

    2018-04-01

    An experimental study of oxide reduction at the surface of ruthenium layers on top of multilayer mirrors and thin Ru/Si films is presented. Oxidation and reduction processes were observed under conditions close to those relevant for extreme ultraviolet lithography. The oxidized ruthenium surface was exposed to a low-temperature hydrogen plasma, similar to the plasma induced by extreme ultraviolet radiation. The experiments show that hydrogen ions are the main reducing agent. Furthermore, the addition of hydrogen radicals increases the reduction rate beyond that expected from simple flux calculations. We show that low-temperature hydrogen plasmas can be effective for reducing oxidized top surfaces. Our proof-of-concept experiments show that an in situ, EUV-generated plasma cleaning technology is feasible.

  5. Designing a Small-Sized Engineering Model of Solar EUV Telescopr for a Korean Satellite

    NASA Astrophysics Data System (ADS)

    Han, Jung-Hoon; Jang, Min-Hwan; Kim, Sang-Joon

    2001-11-01

    For the research of solar EUV (extreme ultraviolet) radiation, we have designed a small-sized engineering model of solar EUV telescope, which is suitable for a Korean satellite. The EUV solar telescope was designed to observe the sun at 584.3Å (He¥°) and 629.7Å (O¥´). The optical system is an f/8 Ritchey-Chrètien, and the effective diameter and focal length are 80§® and 640§®, respectively. The He¥°and O¥´ filters are loaded in a filter wheel. In the detection part, the MCP (MicroChannel Plate) type is Z-stack, and the channel-to-diameter ratio is 40:1. MCP and CCD are connected by fiber optic taper. A commercial optical design software is used for the analysis of the optical system design.

  6. Mechanisms of EUV exposure: electrons and holes

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ackerman, Christian; Flynn, Tracy; Denbeaux, Greg; Brainard, Robert L.

    2017-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry. Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.

  7. Carbon contamination topography analysis of EUV masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Y.-J.; Yankulin, L.; Thomas, P.

    2010-03-12

    The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine possible contamination topography. Lithographic simulations were also performed and the results compared with the experimental data.

  8. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    NASA Technical Reports Server (NTRS)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  9. Cleaning process for EUV optical substrates

    DOEpatents

    Weber, Frank J.; Spiller, Eberhard A.

    1999-01-01

    A cleaning process for surfaces with very demanding cleanliness requirements, such as extreme-ultraviolet (EUV) optical substrates. Proper cleaning of optical substrates prior to applying reflective coatings thereon is very critical in the fabrication of the reflective optics used in EUV lithographic systems, for example. The cleaning process involves ultrasonic cleaning in acetone, methanol, and a pH neutral soap, such as FL-70, followed by rinsing in de-ionized water and drying with dry filtered nitrogen in conjunction with a spin-rinse.

  10. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  11. Four-mirror extreme ultraviolet (EUV) lithography projection system

    DOEpatents

    Cohen, Simon J; Jeong, Hwan J; Shafer, David R

    2000-01-01

    The invention is directed to a four-mirror catoptric projection system for extreme ultraviolet (EUV) lithography to transfer a pattern from a reflective reticle to a wafer substrate. In order along the light path followed by light from the reticle to the wafer substrate, the system includes a dominantly hyperbolic convex mirror, a dominantly elliptical concave mirror, spherical convex mirror, and spherical concave mirror. The reticle and wafer substrate are positioned along the system's optical axis on opposite sides of the mirrors. The hyperbolic and elliptical mirrors are positioned on the same side of the system's optical axis as the reticle, and are relatively large in diameter as they are positioned on the high magnification side of the system. The hyperbolic and elliptical mirrors are relatively far off the optical axis and hence they have significant aspherical components in their curvatures. The convex spherical mirror is positioned on the optical axis, and has a substantially or perfectly spherical shape. The spherical concave mirror is positioned substantially on the opposite side of the optical axis from the hyperbolic and elliptical mirrors. Because it is positioned off-axis to a degree, the spherical concave mirror has some asphericity to counter aberrations. The spherical concave mirror forms a relatively large, uniform field on the wafer substrate. The mirrors can be tilted or decentered slightly to achieve further increase in the field size.

  12. Feasibility of using Extreme Ultraviolet Explorer (EUVE) reaction wheels to satisfy Space Infrared Telescope Facility (SIRTF) maneuver requirements

    NASA Technical Reports Server (NTRS)

    Lightsey, W. D.

    1990-01-01

    A digital computer simulation is used to determine if the extreme ultraviolet explorer (EUVE) reaction wheels can provide sufficient torque and momentum storage capability to meet the space infrared telescope facility (SIRTF) maneuver requirements. A brief description of the pointing control system (PCS) and the sensor and actuator dynamic models used in the simulation is presented. A model to represent a disturbance such as fluid sloshing is developed. Results developed with the simulation, and a discussion of these results are presented.

  13. Ultraviolet radiation induced discharge laser

    DOEpatents

    Gilson, Verle A.; Schriever, Richard L.; Shearer, James W.

    1978-01-01

    An ultraviolet radiation source associated with a suitable cathode-anode electrode structure, disposed in a gas-filled cavity of a high pressure pulsed laser, such as a transverse electric atmosphere (TEA) laser, to achieve free electron production in the gas by photoelectric interaction between ultraviolet radiation and the cathode prior to the gas-exciting cathode-to-anode electrical discharge, thereby providing volume ionization of the gas. The ultraviolet radiation is produced by a light source or by a spark discharge.

  14. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  15. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  16. MoRu/Be multilayers for extreme ultraviolet applications

    DOEpatents

    Bajt, Sasa C.; Wall, Mark A.

    2001-01-01

    High reflectance, low intrinsic roughness and low stress multilayer systems for extreme ultraviolet (EUV) lithography comprise amorphous layers MoRu and crystalline Be layers. Reflectance greater than 70% has been demonstrated for MoRu/Be multilayers with 50 bilayer pairs. Optical throughput of MoRu/Be multilayers can be 30-40% higher than that of Mo/Be multilayer coatings. The throughput can be improved using a diffusion barrier to make sharper interfaces. A capping layer on the top surface of the multilayer improves the long-term reflectance and EUV radiation stability of the multilayer by forming a very thin native oxide that is water resistant.

  17. Development of a EUV Test Facility at the Marshall Space Flight Center

    NASA Technical Reports Server (NTRS)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  18. The extreme ultraviolet explorer mission

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Bowyer, S.

    1988-01-01

    The science design goals and engineering implementation for the Extreme Ultraviolet Explorer (EUVE) science payload are discussed. The primary scientific goal of the EUVE payload is to carry out an all-sky survey in the 100- to 900-A band of the spectrum. Another goal of the mission is to demonstrate the use of a scientific platform in near-earth orbit. EUVE data will be used to study the distribution of EUV stars in the neighborhood of the sun and the emission physics responsible for the EUV mission.

  19. Extreme ultraviolet patterning of tin-oxo cages

    NASA Astrophysics Data System (ADS)

    Haitjema, Jarich; Zhang, Yu; Vockenhuber, Michaela; Kazazis, Dimitrios; Ekinci, Yasin; Brouwer, Albert M.

    2017-07-01

    We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These cage molecules were already known to function as a negative tone photoresist for EUV radiation, but in this work, we significantly optimized their performance. Our results show that sensitivity and resolution are only meaningful photoresist parameters if the process conditions are optimized. We focus on contrast curves of the materials using large area EUV exposures and patterning of the cages using EUV interference lithography. It is shown that baking steps, such as postexposure baking, can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. A layer thickness increase reduced the necessary dose to induce a solubility change but decreased the patterning quality. The patterning experiments were affected by minor changes in processing conditions such as an increased rinsing time. In addition, we show that the anions of the cage can influence the sensitivity and quality of the patterning, probably through their effect on physical properties of the materials.

  20. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  1. EUV Cross-Calibration Strategies for the GOES-R SUVI

    NASA Astrophysics Data System (ADS)

    Darnel, Jonathan; Seaton, Daniel

    2016-10-01

    The challenges of maintaining calibration for solar EUV instrumentation is well-known. The lack of standard calibration sources and the fact that most solar EUV telescopes are incapable of utilizing bright astronomical EUV sources for calibration make knowledge of instrument performance quite difficult. In the recent past, calibration rocket underflights have helped establish a calibration baseline. The EVE instrument on SDO for a time provided well-calibrated, high spectral resolution solar spectra for a broad range of the EUV, but has suffered a loss of coverage at the shorter wavelengths. NOAA's Solar UltraViolet Imager (SUVI), a solar EUV imager with similarities to SDO/AIA, will provide solar imagery over nearly an entire solar cycle. In order to maintain the scientific value of the SUVI's dataset, novel approaches to calibration are necessary. Here we demonstrate a suite of methods to cross-calibrate SUVI against other solar EUV instruments through the use of proxy solar spectra.

  2. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    NASA Astrophysics Data System (ADS)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  3. Particle protection capability of SEMI-compliant EUV-pod carriers

    NASA Astrophysics Data System (ADS)

    Huang, George; He, Long; Lystad, John; Kielbaso, Tom; Montgomery, Cecilia; Goodwin, Frank

    2010-04-01

    With the projected rollout of pre-production extreme ultraviolet lithography (EUVL) scanners in 2010, EUVL pilot line production will become a reality in wafer fabrication companies. Among EUVL infrastructure items that must be ready, EUV mask carriers remain critical. To keep non-pellicle EUV masks free from particle contamination, an EUV pod concept has been extensively studied. Early prototypes demonstrated nearly particle-free results at a 53 nm PSL equivalent inspection sensitivity during EUVL mask robotic handling, shipment, vacuum pump-purge, and storage. After the passage of SEMI E152, which specifies the EUV pod mechanical interfaces, standards-compliant EUV pod prototypes, including a production version inner pod and prototype outer pod, were built and tested. Their particle protection capability results are reported in this paper. A state-of-the-art blank defect inspection tool was used to quantify their defect protection capability during mask robotic handling, shipment, and storage tests. To ensure the availability of an EUV pod for 2010 pilot production, the progress and preliminary test results of pre-production EUV outer pods are reported as well.

  4. Diagnosis of energy transport in iron buried layer targets using an extreme ultraviolet laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shahzad, M.; Culfa, O.; Rossall, A. K.

    2015-02-15

    We demonstrate the use of extreme ultra-violet (EUV) laboratory lasers in probing energy transport in laser irradiated solid targets. EUV transmission through targets containing a thin layer of iron (50 nm) encased in plastic (CH) after irradiation by a short pulse (35 fs) laser focussed to irradiances 3 × 10{sup 16} Wcm{sup −2} is measured. Heating of the iron layer gives rise to a rapid decrease in EUV opacity and an increase in the transmission of the 13.9 nm laser radiation as the iron ionizes to Fe{sup 5+} and above where the ion ionisation energy is greater than the EUV probe photon energy (89 eV).more » A one dimensional hydrodynamic fluid code HYADES has been used to simulate the temporal variation in EUV transmission (wavelength 13.9 nm) using IMP opacity values for the iron layer and the simulated transmissions are compared to measured transmission values. When a deliberate pre-pulse is used to preform an expanding plastic plasma, it is found that radiation is important in the heating of the iron layer while for pre-pulse free irradiation, radiation transport is not significant.« less

  5. Analytical techniques for mechanistic characterization of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  6. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  7. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    NASA Astrophysics Data System (ADS)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  8. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    PubMed

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  9. EUVE/XTE orbit decay study

    NASA Technical Reports Server (NTRS)

    Richon, K.; Hashmall, J.; Lambertson, M.; Phillips, T.

    1988-01-01

    The Explorer Platform (EP) program currently comprises two missions, the Extreme Ultraviolet Explorer (EUVE) and the X-ray Timing Explorer (XTE), each of which consists of a scientific payload mounted to the EP. The EP has no orbit maintenance capability. The EP with the EUVE payload will be launched first. At the end of the EUVE mission, the spacecraft will be serviced by the Space Transportation System (STS), and the EUVE instrument will be exchanged for the XTE. The XTE mission will continue until reentry or reservicing by the STS. Because the missions will be using the EP sequentially, the orbit requirements are unusually constrained by orbit decay rates. The initial altitude must be selected so that, by the end of the EUVE mission (2.5 years), the spacecraft will have decayed to an altitude within the STS capabilities. In addition, the payload exchange must occur at an altitude that ensures meeting the minimum XTE mission lifetime (3 years) because no STS reboost will be available. Studies were performed using the Goddard Mission Analysis System to estimate the effects of mass, cross-sectional area, and solar flux on the fulfillment of mission requirements. In addition to results from these studies, conclusions are presented as to the accuracy of the Marshall Space Flight Center solar flux predictions.

  10. The Dual-channel Extreme Ultraviolet Continuum Experiment: Sounding Rocket EUV Observations of Local B Stars to Determine Their Potential for Supplying Intergalactic Ionizing Radiation

    NASA Astrophysics Data System (ADS)

    Erickson, Nicholas; Green, James C.; France, Kevin; Stocke, John T.; Nell, Nicholas

    2018-06-01

    We describe the scientific motivation and technical development of the Dual-channel Extreme Ultraviolet Continuum Experiment (DEUCE). DEUCE is a sounding rocket payload designed to obtain the first flux-calibrated spectra of two nearby B stars in the EUV 650-1150Å bandpass. This measurement will help in understanding the ionizing flux output of hot B stars, calibrating stellar models and commenting on the potential contribution of such stars to reionization. DEUCE consists of a grazing incidence Wolter II telescope, a normal incidence holographic grating, and the largest (8” x 8”) microchannel plate detector ever flown in space, covering the 650-1150Å band in medium and low resolution channels. DEUCE will launch on December 1, 2018 as NASA/CU sounding rocket mission 36.331 UG, observing Epsilon Canis Majoris, a B2 II star.

  11. AWARE - The Automated EUV Wave Analysis and REduction algorithm

    NASA Astrophysics Data System (ADS)

    Ireland, J.; Inglis; A. R.; Shih, A. Y.; Christe, S.; Mumford, S.; Hayes, L. A.; Thompson, B. J.

    2016-10-01

    Extreme ultraviolet (EUV) waves are large-scale propagating disturbances observed in the solar corona, frequently associated with coronal mass ejections and flares. Since their discovery over two hundred papers discussing their properties, causes and physics have been published. However, their fundamental nature and the physics of their interactions with other solar phenomena are still not understood. To further the understanding of EUV waves, and their relation to other solar phenomena, we have constructed the Automated Wave Analysis and REduction (AWARE) algorithm for the detection of EUV waves over the full Sun. The AWARE algorithm is based on a novel image processing approach to isolating the bright wavefront of the EUV as it propagates across the corona. AWARE detects the presence of a wavefront, and measures the distance, velocity and acceleration of that wavefront across the Sun. Results from AWARE are compared to results from other algorithms for some well known EUV wave events. Suggestions are also give for further refinements to the basic algorithm presented here.

  12. EUV mirror based absolute incident flux detector

    DOEpatents

    Berger, Kurt W.

    2004-03-23

    A device for the in-situ monitoring of EUV radiation flux includes an integrated reflective multilayer stack. This device operates on the principle that a finite amount of in-band EUV radiation is transmitted through the entire multilayer stack. This device offers improvements over existing vacuum photo-detector devices since its calibration does not change with surface contamination.

  13. Demonstration of the First 4H-SiC EUV Detector with Large Detection Area

    NASA Technical Reports Server (NTRS)

    Xin, Xiaobin; Yan, Feng; Koeth, Timothy W.; Hu, Jun; Zhao, Jian H.

    2005-01-01

    Ultraviolet (UV) and Extreme Ultraviolet (EUV) detectors are very attractive in astronomy, photolithography and biochemical applications. For EUV applications, most of the semiconductor detectors based on PN or PIN structures suffer from the very short penetration depth. Most of the carries are absorbed at the surface and recombined there due to the high surface recombination before reach the depletion region, resulting very low quantum efficiency. On the other hand, for Schottky structures, the active region starts from the surface and carriers generated from the surface can be efficiently collected. 4H-Sic has a bandgap of 3.26eV and is immune to visible light background noise. Also, 4H-Sic detectors usually have very good radiation hardness and very low noise, which is very important for space applications where the signal is very weak. The E W photodiodes presented in this paper are based on Schottky structures. Platinum (Pt) and Nickel (Ni) are selected as the Schottky contact metals, which have the highest electron work functions (5.65eV and 5.15eV, respectively) among all the known metals on 4H-Sic.

  14. Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi

    2006-03-01

    We demonstrated a debris-free, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO II) nano-particles. By using a low SnO II concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  15. DUV or EUV: that is the question

    NASA Astrophysics Data System (ADS)

    Williamson, David M.

    2000-11-01

    Lord Rayleigh's well-known equations for resolution and depth of focus indicate that resolution is better improved by reducing the wavelength of light rather than by increasing the numerical aperture (NA) of the projection optics, particularly when NA is approaching its physical limit of 1.0 in air (or vacuum). Vector aerial image simulations of diffraction-limited Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) lithographic systems verify this simple view, even though Rayleigh's constants in Microlithography are not constant because of a variety of image enhancement techniques that attempt to compensate for the shortcomings of the aerial image when it is pushed to the limit. The aerial image is not the whole story, however. The competition between DUV and EUV systems will be decided more by economic and technological factors such as risk, time and cost of development and cost of ownership. These in turn depend on cost, availability and quality of light sources, refracting materials, photoresists and reticles.

  16. The Extreme Ultraviolet Spectrograph Sounding Rocket Payload: Recent Modifications for Planetary Observations in the EUV/FUV

    NASA Technical Reports Server (NTRS)

    Slater, David C.; Stern, S. Alan; Scherrer, John; Cash, Webster; Green, James C.; Wilkinson, Erik

    1995-01-01

    We report on the status of modifications to an existing extreme ultraviolet (EUV) telescope/spectrograph sounding rocket payload for planetary observations in the 800 - 1200 A wavelength band. The instrument is composed of an existing Wolter Type 2 grazing incidence telescope, a newly built 0.4-m normal incidence Rowland Circle spectrograph, and an open-structure resistive-anode microchannel plate detector. The modified payload has successfully completed three NASA sounding rocket flights within 1994-1995. Future flights are anticipated for additional studies of planetary and cometary atmospheres and interstellar absorption. A detailed description of the payload, along with the performance characteristics of the integrated instrument are presented. In addition, some preliminary flight results from the above three missions are also presented.

  17. Continued Analysis of EUVE Solar System Observations

    NASA Technical Reports Server (NTRS)

    Gladstone, G. Randall

    2001-01-01

    This is the final report for this project. We proposed to continue our work on extracting important results from the EUVE (Extreme UltraViolet Explorer) archive of lunar and jovian system observations. In particular, we planned to: (1) produce several monochromatic images of the Moon at the wavelengths of the brightest solar EUV emission lines; (2) search for evidence of soft X-ray emissions from the Moon and/or X-ray fluorescence at specific EUV wavelengths; (3) search for localized EUV and soft X-ray emissions associated with each of the Galilean satellites; (4) search for correlations between localized Io Plasma Torus (IPT) brightness and volcanic activity on Io; (5) search for soft X-ray emissions from Jupiter; and (6) determine the long term variability of He 58.4 nm emissions from Jupiter, and relate these to solar variability. However, the ADP review panel suggested that the work concentrate on the Jupiter/IPT observations, and provided half the requested funding. Thus we have performed no work on the first two tasks, and instead concentrated on the last three. In addition we used funds from this project to support reduction and analysis of EUVE observations of Venus. While this was not part of the original statement of work, it is entirely in keeping with extracting important results from EUVE solar system observations.

  18. EUVE GO Survey: High Levels of User Satisfaction

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.

    2000-12-01

    This paper describes the results of a detailed customer survey of Guest Observers (GOs) for NASA's Extreme Ultraviolet Explorer (EUVE) astronomy satellite observatory. The purpose of the research survey was to (1) measure the levels of GO customer satisfaction with respect to EUVE observing services, and (2) compare the observing experiences of EUVE GOs with their experiences using other satellite observatories. This survey was conducted as a business research project -- part of the author's graduate work as an MBA candidate. A total sample of 38 respondents, from a working population of 101 "active" EUVE GOs, participated in this survey. The results, which provided a profile of the "typical" EUVE GO, showed in a statistically significant fashion that these GOs were more than satisfied with the available EUVE observing services. In fact, the sample GOs generally rated their EUVE observing experiences to be better than average as compared to their experiences as GOs on other missions. These relatively high satisfaction results are particularly pleasing to the EUVE Project which, given its significantly reduced staffing environment at U.C. Berkeley, has continued to do more with less. This paper outlines the overall survey process: the relevant background and previous research, the survey design and methodology, and the final results and their interpretation. The paper also points out some general limitations and weaknesses of the study, along with some recommended actions for the EUVE Project and for NASA in general. This work was funded by NASA/UCB Cooperative Agreement NCC5-138.

  19. PECULIAR STATIONARY EUV WAVE FRONTS IN THE ERUPTION ON 2011 MAY 11

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra, R.; Fulara, A.; Chen, P. F.

    We present and interpret the observations of extreme ultraviolet (EUV) waves associated with a filament eruption on 2011 May 11. The filament eruption also produces a small B-class two ribbon flare and a coronal mass ejection. The event is observed by the Solar Dynamic Observatory with high spatio-temporal resolution data recorded by the Atmospheric Imaging Assembly. As the filament erupts, we observe two types of EUV waves (slow and fast) propagating outwards. The faster EUV wave has a propagation velocity of ∼500 km s{sup −1} and the slower EUV wave has an initial velocity of ∼120 km s{sup −1}. Wemore » report, for the first time, that not only does the slower EUV wave stop at a magnetic separatrix to form bright stationary fronts, but also the faster EUV wave transits a magnetic separatrix, leaving another stationary EUV front behind.« less

  20. The EUV spectrophotometer on Atmosphere Explorer.

    NASA Technical Reports Server (NTRS)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  1. EUV Irradiance Inputs to Thermospheric Density Models: Open Issues and Path Forward

    NASA Astrophysics Data System (ADS)

    Vourlidas, A.; Bruinsma, S.

    2018-01-01

    One of the objectives of the NASA Living With a Star Institute on "Nowcasting of Atmospheric Drag for low Earth orbit (LEO) Spacecraft" was to investigate whether and how to increase the accuracy of atmospheric drag models by improving the quality of the solar forcing inputs, namely, extreme ultraviolet (EUV) irradiance information. In this focused review, we examine the status of and issues with EUV measurements and proxies, discuss recent promising developments, and suggest a number of ways to improve the reliability, availability, and forecast accuracy of EUV measurements in the next solar cycle.

  2. Thin film filter lifetesting results in the extreme ultraviolet

    NASA Technical Reports Server (NTRS)

    Vedder, P. W.; Vallerga, J. V.; Gibson, J. L.; Stock, J.; Siegmund, O. H. W.

    1993-01-01

    We present the results of the thin film filter lifetesting program conducted as part of the NASA Extreme Ultraviolet Explorer (EUVE) satellite mission. This lifetesting program is designed to monitor changes in the transmission and mechanical properties of the EUVE filters over the lifetime of the mission (fabrication, assembly, launch and operation). Witness test filters were fabricated from thin film foils identical to those used in the flight filters. The witness filters have been examined and calibrated periodically over the past seven years. The filters have been examined for evidence of pinholing, mechanical degradation, and oxidation. Absolute transmissions of the flight and witness filters have been measured in the extreme ultraviolet (EUV) over six orders of magnitude at numerous wavelengths using the Berkeley EUV Calibration Facility.

  3. The Photoevaporation of a Neutral Structure by an EUV+FUV Radiation Field

    NASA Astrophysics Data System (ADS)

    Lora, Veronica; Vasconcelos, M. J.; Raga, A. C.; Cerqueira, A. H.; Esquivel, A.

    The expansion of an HII region into a surrounding inhomogeneous molecular cloud, leads to the formation of elongated "elephant trunk" structures. The EUV photo-ionising radiation and FUV dissociating radiation from newly born stars photo-evaporate their parental neutral cloud, leading to the formation of dense clumps in the tips of elephant trunks, that could in principle eventually form stars. We study th effects of including a photo-dissociating FUV flux in models of fragmentation of a photo-evaporating, self-gravitating molecular cloud.

  4. Experience from the in-flight calibration of the Extreme Ultraviolet Explorer (EUVE) and Upper Atmosphere Research Satellite (UARS) fixed head star trackers (FHSTs)

    NASA Technical Reports Server (NTRS)

    Lee, Michael

    1995-01-01

    Since the original post-launch calibration of the FHSTs (Fixed Head Star Trackers) on EUVE (Extreme Ultraviolet Explorer) and UARS (Upper Atmosphere Research Satellite), the Flight Dynamics task has continued to analyze the FHST performance. The algorithm used for inflight alignment of spacecraft sensors is described and the equations for the errors in the relative alignment for the simple 2 star tracker case are shown. Simulated data and real data are used to compute the covariance of the relative alignment errors. Several methods for correcting the alignment are compared and results analyzed. The specific problems seen on orbit with UARS and EUVE are then discussed. UARS has experienced anomalous tracker performance on an FHST resulting in continuous variation in apparent tracker alignment. On EUVE, the FHST residuals from the attitude determination algorithm showed a dependence on the direction of roll during survey mode. This dependence is traced back to time tagging errors and the original post launch alignment is found to be in error due to the impact of the time tagging errors on the alignment algorithm. The methods used by the FDF (Flight Dynamics Facility) to correct for these problems is described.

  5. Energy deposition in ultrathin extreme ultraviolet resist films: extreme ultraviolet photons and keV electrons

    NASA Astrophysics Data System (ADS)

    Kyser, David F.; Eib, Nicholas K.; Ritchie, Nicholas W. M.

    2016-07-01

    The absorbed energy density (eV/cm3) deposited by extreme ultraviolet (EUV) photons and electron beam (EB) high-keV electrons is proposed as a metric for characterizing the sensitivity of EUV resist films. Simulations of energy deposition are used to calculate the energy density as a function of the incident aerial flux (EUV: mJ/cm2, EB: μC/cm2). Monte Carlo calculations for electron exposure are utilized, and a Lambert-Beer model for EUV absorption. The ratio of electron flux to photon flux which results in equivalent energy density is calculated for a typical organic chemically amplified resist film and a typical inorganic metal-oxide film. This ratio can be used to screen EUV resist materials with EB measurements and accelerate advances in EUV resist systems.

  6. Ultraviolet radiation changes

    NASA Technical Reports Server (NTRS)

    Mckenzie, Richard L.; Frederick, John E.; Ilyas, Mohammad; Filyushkin, V.; Wahner, Andreas; Stamnes, K.; Muthusubramanian, P.; Blumthaler, M.; Roy, Colin E.; Madronich, Sasha

    1991-01-01

    A major consequence of ozone depletion is an increase in solar ultraviolet (UV) radiation received at the Earth's surface. This chapter discusses advances that were made since the previous assessment (World Meteorological Organization (WMO)) to our understanding of UV radiation. The impacts of these changes in UV on the biosphere are not included, because they are discussed in the effects assessment.

  7. EUV tools: hydrogen gas purification and recovery strategies

    NASA Astrophysics Data System (ADS)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  8. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  9. Research in extreme ultraviolet and far ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Bowyer, C. S.

    1985-01-01

    The Far Ultraviolet imager (FUVI) was flown on the Aries class sounding rocket 24.015, producing outstanding results. The diffuse extreme ultraviolet (EUV) background spectrometer which is under construction is described. It will be launched on the Black Brant sounding rocket flight number 27.086. Ongoing design studies of a high resolution spectrometer are discussed. This instrument incorporates a one meter normal incidence mirror and will be suitable for an advanced Spartan mission.

  10. Galileo Ultraviolet Spectrometer experiment

    NASA Technical Reports Server (NTRS)

    Hord, C. W.; Mcclintock, W. E.; Stewart, A. I. F.; Barth, C. A.; Esposito, L. W.; Thomas, G. E.; Sandel, B. R.; Hunten, D. M.; Broadfoot, A. L.; Shemansky, D. E.

    1992-01-01

    The Galileo ultraviolet spectrometer experiment uses data obtained by the Ultraviolet Spectrometer (UVS) mounted on the pointed orbiter scan platform and from the Extreme Ultraviolet Spectrometer (EUVS) mounted on the spinning part of the orbiter with the field of view perpendicular to the spin axis. The UVS is a Ebert-Fastie design that covers the range 113-432 nm with a wavelength resolution of 0.7 nm below 190 and 1.3 nm at longer wavelengths. The UVS spatial resolution is 0.4 deg x 0.1 deg for illuminated disk observations and 1 deg x 0.1 deg for limb geometries. The EUVS is a Voyager design objective grating spectrometer, modified to cover the wavelength range from 54 to 128 nm with wavelength resolution 3.5 nm for extended sources and 1.5 nm for point sources and spatial resolution of 0.87 deg x 0.17 deg. The EUVS instrument will follow up on the many Voyager UVS discoveries, particularly the sulfur and oxygen ion emissions in the Io torus and molecular and atomic hydrogen auroral and airglow emissions from Jupiter. The UVS will obtain spectra of emission, absorption, and scattering features in the unexplored, by spacecraft, 170-432 nm wavelength region. The UVS and EUVS instruments will provide a powerful instrument complement to investigate volatile escape and surface composition of the Galilean satellites, the Io plasma torus, micro- and macro-properties of the Jupiter clouds, and the composition structure and evolution of the Jupiter upper atmosphere.

  11. Recent solar extreme ultraviolet irradiance observations and modeling: A review

    NASA Technical Reports Server (NTRS)

    Tobiska, W. Kent

    1993-01-01

    For more than 90 years, solar extreme ultraviolet (EUV) irradiance modeling has progressed from empirical blackbody radiation formulations, through fudge factors, to typically measured irradiances and reference spectra was well as time-dependent empirical models representing continua and line emissions. A summary of recent EUV measurements by five rockets and three satellites during the 1980s is presented along with the major modeling efforts. The most significant reference spectra are reviewed and threee independently derived empirical models are described. These include Hinteregger's 1981 SERF1, Nusinov's 1984 two-component, and Tobiska's 1990/1991/SERF2/EUV91 flux models. They each provide daily full-disk broad spectrum flux values from 2 to 105 nm at 1 AU. All the models depend to one degree or another on the long time series of the Atmosphere Explorer E (AE-E) EUV database. Each model uses ground- and/or space-based proxies to create emissions from solar atmospheric regions. Future challenges in EUV modeling are summarized including the basic requirements of models, the task of incorporating new observations and theory into the models, the task of comparing models with solar-terrestrial data sets, and long-term goals and modeling objectives. By the late 1990s, empirical models will potentially be improved through the use of proposed solar EUV irradiance measurements and images at selected wavelengths that will greatly enhance modeling and predictive capabilities.

  12. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  13. Plasma-based EUV light source

    DOEpatents

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  14. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2004-12-01

    filaments, for both Xe and Sn. The embodiment of electrical discharge plasmas and laser-produced plasmas into commercially available EUV sources, with EUV powers that project to suitable levels, is presented in the fifth paper by Uwe Stamm of XTREME Technologies in Göttingen. For discharge produced plasmas, thermal loading and electrode erosion are significant issues. Vladimir Borisov and his colleagues, at the Troitsk Institute outside Moscow, address these issues and provide novel ideas for the multiplexing of several discharge plasmas feeding a single optical system. Igor Fomenkov and his colleagues at Cymer in San Diego describe issues associated with a dense plasma focus pinch, including a comparison of operations with both positive and negative polarity. In the eighth paper, Malcolm McGeoch of Plex in Massachusetts provides a theoretical description of the vaporization and ionization of spherical tin droplets in discharge plasma. Together this cluster of papers provides a broad review of the current status of high power EUV plasma sources for semiconductor manufacturing. This very current topic, of intense interest worldwide, is considered further in a book [4] of collected papers to become available in mid-2005. Additionally, a special journal issue emphasizing coherent EUV sources, albeit at lower average powers, is soon to appear [5]. References [1] http://public.itrsr.net [2] Attwood D 2000 Soft X-Rays and Extreme Ultraviolet Radiation: Principles and Applications (Cambridge: Cambridge University Press) www.coe.Berkeley.edu/AST/sxreuv [3] Moore G E 1965 Cramming More Components onto Integrated Circuits Electronics Magazine 114 Moore G E 1995 Lithography and the Future of Moore's Law SPIE 243 2 [4] Bakshi V ed 2005 EUV Sources for Lithography (Bellingham WA:SPIE) at press [5] IEEE J. Special Topics in Quantum Electronics, Short Wavelength and EUV Lasers 10 Dec 2004 at press

  15. SoFAST: Automated Flare Detection with the PROBA2/SWAP EUV Imager

    NASA Astrophysics Data System (ADS)

    Bonte, K.; Berghmans, D.; De Groof, A.; Steed, K.; Poedts, S.

    2013-08-01

    The Sun Watcher with Active Pixels and Image Processing (SWAP) EUV imager onboard PROBA2 provides a non-stop stream of coronal extreme-ultraviolet (EUV) images at a cadence of typically 130 seconds. These images show the solar drivers of space-weather, such as flares and erupting filaments. We have developed a software tool that automatically processes the images and localises and identifies flares. On one hand, the output of this software tool is intended as a service to the Space Weather Segment of ESA's Space Situational Awareness (SSA) program. On the other hand, we consider the PROBA2/SWAP images as a model for the data from the Extreme Ultraviolet Imager (EUI) instrument prepared for the future Solar Orbiter mission, where onboard intelligence is required for prioritising data within the challenging telemetry quota. In this article we present the concept of the software, the first statistics on its effectiveness and the online display in real time of its results. Our results indicate that it is not only possible to detect EUV flares automatically in an acquired dataset, but that quantifying a range of EUV dynamics is also possible. The method is based on thresholding of macropixelled image sequences. The robustness and simplicity of the algorithm is a clear advantage for future onboard use.

  16. Temporal variations of solar EUV, UV, and 10,830-A radiations

    NASA Technical Reports Server (NTRS)

    Donnelly, R. F.; Hinteregger, H. E.; Heath, D. F.

    1986-01-01

    The temporal characteristics of the full-disk chromospheric EUV fluxes agree well with those of the ground-based measurements of the chromospheric He I absorption line at 10,830 A and differ systematically from those of the coronal EUV and 10.7-cm flux. The ratio of the flux increase during the rise of solar cycle 21 to that during solar rotation variations is uniformly high for the chromospheric EUV and corroborating 10,830-A fluxes, highest for the transition region and 'cool' coronal EUV fluxes (T less than 2 x 10 to the 6th K), and lowest for the 'hot' coronal EUV and 10.7-cm flux. The rise and decay rates of episodes of major activity progress from those for the hot coronal EUV lines and the 10.7-cm flux to slower values for the chromospheric H Lyman alpha line, 10,830-A line, and photospheric 2050-A UV flux. It is suggested that active region remnants contribute significantly to the solar cycle increase and during the decay of episodes of major activity. The ratio of power in 13-day periodicity to that for 27 days in high (1/3) for the photospheric UV flux, medium (1/6) for the chromospheric EUV and 10,830-A fluxes, and small to negligible for the hot coronal EUV fluxes. These ratios are used to estimate the dependence of active region emission on the solar central meridian distance for chromospheric and coronal EUV flux.

  17. The ultraviolet radiation environment in the habitable zones around low-mass exoplanet host stars

    NASA Astrophysics Data System (ADS)

    France, Kevin; Linsky, Jeffrey L.; Loyd, R. O. Parke

    2014-11-01

    The EUV (200-911 Å), FUV (912-1750 Å), and NUV (1750-3200 Å) spectral energy distribution of exoplanet host stars has a profound influence on the atmospheres of Earth-like planets in the habitable zone. The stellar EUV radiation drives atmospheric heating, while the FUV (in particular, Ly α) and NUV radiation fields regulate the atmospheric chemistry: the dissociation of H2O and CO2, the production of O2 and O3, and may determine the ultimate habitability of these worlds. Despite the importance of this information for atmospheric modeling of exoplanetary systems, the EUV/FUV/NUV radiation fields of cool (K and M dwarf) exoplanet host stars are almost completely unconstrained by observation or theory. We present observational results from a Hubble Space Telescope survey of M dwarf exoplanet host stars, highlighting the importance of realistic UV radiation fields for the formation of potential biomarker molecules, O2 and O3. We conclude by describing preliminary results on the characterization of the UV time variability of these sources.

  18. Improvements in resist performance towards EUV HVM

    NASA Astrophysics Data System (ADS)

    Yildirim, Oktay; Buitrago, Elizabeth; Hoefnagels, Rik; Meeuwissen, Marieke; Wuister, Sander; Rispens, Gijsbert; van Oosten, Anton; Derks, Paul; Finders, Jo; Vockenhuber, Michaela; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

  19. Solar Imaging UV/EUV Spectrometers Using TVLS Gratings

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2003-01-01

    It is a particular challenge to develop a stigmatic spectrograph for UV, EUV wavelengths since the very low normal-incidence reflectance of standard materials most often requires that the design be restricted to a single optical element which must simultaneously provide both reimaging and spectral dispersion. This problem has been solved in the past by the use of toroidal gratings with uniform line-spaced rulings (TULS). A number of solar extreme ultraviolet (EUV) spectrometers have been based on such designs, including SOHO/CDS, Solar-B/EIS, and the sounding rockets Solar Extreme ultraviolet Research Telescope and Spectrograph (SERTS) and Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS). More recently, Kita, Harada, and collaborators have developed the theory of spherical gratings with varied line-space rulings (SVLS) operated at unity magnification, which have been flown on several astronomical satellite missions. We now combine these ideas into a spectrometer concept that puts varied-line space rulings onto toroidal gratings. Such TVLS designs are found to provide excellent imaging even at very large spectrograph magnifications and beam-speeds, permitting extremely high-quality performance in remarkably compact instrument packages. Optical characteristics of three new solar spectrometers based on this concept are described: SUMI and RAISE, two sounding rocket payloads, and NEXUS, currently being proposed as a Small-Explorer (SMEX) mission.

  20. Vacuum ultraviolet instrumentation for solar irradiance and thermospheric airglow

    NASA Technical Reports Server (NTRS)

    Woods, Thomas N.; Rottman, Gary J.; Bailey, Scott M.; Solomon, Stanley C.

    1993-01-01

    A NASA sounding rocket experiment was developed to study the solar extreme ultraviolet (EUV) spectral irradiance and its effect on the upper atmosphere. Both the solar flux and the terrestrial molecular nitrogen via the Lyman-Birge-Hopfield bands in the far ultraviolet (FUV) were measured remotely from a sounding rocket on October 27, 1992. The rocket experiment also includes EUV instruments from Boston University (Supriya Chakrabarti), but only the National Center for Atmospheric Research (NCAR)/University of Colorado (CU) four solar instruments and one airglow instrument are discussed here. The primary solar EUV instrument is a 1/4 meter Rowland circle EUV spectrograph which has flown on three rockets since 1988 measuring the solar spectral irradiance from 30 to 110 nm with 0.2 nm resolution. Another solar irradiance instrument is an array of six silicon XUV photodiodes, each having different metallic filters coated directly on the photodiodes. This photodiode system provides a spectral coverage from 0.1 to 80 nm with about 15 nm resolution. The other solar irradiance instrument is a silicon avalanche photodiode coupled with pulse height analyzer electronics. This avalanche photodiode package measures the XUV photon energy providing a solar spectrum from 50 to 12,400 eV (25 to 0.1 nm) with an energy resolution of about 50 eV. The fourth solar instrument is an XUV imager that images the sun at 17.5 nm with a spatial resolution of 20 arc-seconds. The airglow spectrograph measures the terrestrial FUV airglow emissions along the horizon from 125 to 160 nm with 0.2 nm spectral resolution. The photon-counting CODACON detectors are used for three of these instruments and consist of coded arrays of anodes behind microchannel plates. The one-dimensional and two-dimensional CODACON detectors were developed at CU by Dr. George Lawrence. The pre-flight and post-flight photometric calibrations were performed at our calibration laboratory and at the Synchrotron Ultraviolet

  1. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    NASA Astrophysics Data System (ADS)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  2. Estimation of resist sensitivity for extreme ultraviolet lithography using an electron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa, E-mail: ohyama.tomoko@qst.go.jp; Oshima, Akihiro; Tagawa, Seiichi, E-mail: tagawa@sanken.osaka-u.ac.jp

    2016-08-15

    It is a challenge to obtain sufficient extreme ultraviolet (EUV) exposure time for fundamental research on developing a new class of high sensitivity resists for extreme ultraviolet lithography (EUVL) because there are few EUV exposure tools that are very expensive. In this paper, we introduce an easy method for predicting EUV resist sensitivity by using conventional electron beam (EB) sources. If the chemical reactions induced by two ionizing sources (EB and EUV) are the same, the required absorbed energies corresponding to each required exposure dose (sensitivity) for the EB and EUV would be almost equivalent. Based on this theory, wemore » calculated the resist sensitivities for the EUV/soft X-ray region. The estimated sensitivities were found to be comparable to the experimentally obtained sensitivities. It was concluded that EB is a very useful exposure tool that accelerates the development of new resists and sensitivity enhancement processes for 13.5 nm EUVL and 6.x nm beyond-EUVL (BEUVL).« less

  3. Influence of cloud fraction and snow cover to the variation of surface UV radiation at King Sejong station, Antarctica

    NASA Astrophysics Data System (ADS)

    Lee, Yun Gon; Koo, Ja-Ho; Kim, Jhoon

    2015-10-01

    This study investigated how cloud fraction and snow cover affect the variation of surface ultraviolet (UV) radiation by using surface Erythemal UV (EUV) and Near UV (NUV) observed at the King Sejong Station, Antarctica. First the Radiative Amplification Factor (RAF), the relative change of surface EUV according to the total-column ozone amount, is compared for different cloud fractions and solar zenith angles (SZAs). Generally, all cloudy conditions show that the increase of RAF as SZA becomes larger, showing the larger effects of vertical columnar ozone. For given SZA cases, the EUV transmission through mean cloud layer gradually decreases as cloud fraction increases, but sometimes the maximum of surface EUV appears under partly cloudy conditions. The high surface EUV transmittance under broken cloud conditions seems due to the re-radiation of scattered EUV by cloud particles. NUV transmission through mean cloud layer also decreases as cloud amount increases but the sensitivity to the cloud fraction is larger than EUV. Both EUV and NUV radiations at the surface are also enhanced by the snow cover, and their enhancement becomes higher as SZA increases implying the diurnal variation of surface albedo. This effect of snow cover seems large under the overcast sky because of the stronger interaction between snow surface and cloudy sky.

  4. Effect of the Solar UV/EUV Heating on the Intensity and Spatial Distribution of Jupiter's Synchrotron Radiation

    NASA Astrophysics Data System (ADS)

    Kita, Hajime; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2012-10-01

    Jupiter's synchrotron radiation (JSR) is the emission from relativistic electrons, and it is the most effective probe for remote sensing of Jupiter's radiation belt from the Earth. Recent observations reveal short term variations of JSR with the time scale of days to weeks. Brice and McDonough (1973) proposed that the solar UV/EUV heating for Jupiter's upper atmosphere causes enhancement of total flux density. If such a process occurs at Jupiter, it is also expected that diurnal wind system produces dawn-dusk asymmetry of the JSR brightness distribution. Preceding studies confirmed that the short term variations in total flux density correspond to the solar UV/EUV. However, the effect of solar UV/EUV heating on the brightness distribution has not been confirmed. Hence, the purpose of this study is to confirm the solar UV/EUV heating effect on total flux density and brightness distribution. We made radio imaging analysis using the National Radio Astronomy Observatory (NRAO) archived data of the Very Large Array (VLA) obtained in 2000, and following results were shown. 1, Total flux density varied corresponding to the solar UV/EUV. 2, Dawn side emission was brighter than dusk side emission almost every day. 3, Variations of the dawn-dusk asymmetry did not correspond to the solar UV/EUV. In order to explain the second result, we estimate the diurnal wind velocity from the observed dawn-dusk ratio by using the model brightness distribution of JSR. Estimated neutral wind velocity is 46+/-11 m/s, which reasonably corresponds to the numerical simulation of Jupiter's upper atmosphere. In order to explain the third result, we examined the effect of the global convection electric field driven by tailward outflow of plasma in Jupiter's magnetosphere. As the result, it is suggested that typical fluctuation of the convection electric field strength was enough to cause the observed variations of the dawn-dusk asymmetry.

  5. Reconstruction of Solar Extreme Ultraviolet Flux 1740 - 2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, Leif

    2016-11-01

    Solar extreme ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo-ionization of molecular oxygen. Solar heating of the ionosphere creates thermal winds, which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and falls with the Sun, and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us to deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the "Magnetic Crusade" of the 1830s and less reliable, but still usable, data are available for portions of the 100 years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F_{10.7} flux and the sunspot number, and we find that the reconstructed EUV flux reproduces the F_{10.7} flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant "solar magnetic ground state".

  6. Protection efficiency of a standard compliant EUV reticle handling solution

    NASA Astrophysics Data System (ADS)

    He, Long; Lystad, John; Wurm, Stefan; Orvek, Kevin; Sohn, Jaewoong; Ma, Andy; Kearney, Patrick; Kolbow, Steve; Halbmaier, David

    2009-03-01

    For successful implementation of extreme ultraviolet lithography (EUVL) technology for late cycle insertion at 32 nm half-pitch (hp) and full introduction for 22 nm hp high volume production, the mask development infrastructure must be in place by 2010. The central element of the mask infrastructure is contamination-free reticle handling and protection. Today, the industry has already developed and balloted an EUV pod standard for shipping, transporting, transferring, and storing EUV masks. We have previously demonstrated that the EUV pod reticle handling method represents the best approach in meeting EUVL high volume production requirements, based on then state-of-the-art inspection capability at ~53nm polystyrene latex (PSL) equivalent sensitivity. In this paper, we will present our latest data to show defect-free reticle handling is achievable down to 40 nm particle sizes, using the same EUV pod carriers as in the previous study and the recently established world's most advanced defect inspection capability of ~40 nm SiO2 equivalent sensitivity. The EUV pod is a worthy solution to meet EUVL pilot line and pre-production exposure tool development requirements. We will also discuss the technical challenges facing the industry in refining the EUV pod solution to meet 22 nm hp EUVL production requirements and beyond.

  7. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  8. Coater/developer based techniques to improve high-resolution EUV patterning defectivity

    NASA Astrophysics Data System (ADS)

    Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Liu, Eric; Ko, Akiteru; Kawakami, Shinichiro; Shimoaoki, Takeshi; Hashimoto, Yusaku; Tanaka, Koichiro; Petrillo, Karen; Meli, Luciana; De Silva, Anuja; Xu, Yongan; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex

    2017-10-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.

  9. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    NASA Technical Reports Server (NTRS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  10. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    NASA Astrophysics Data System (ADS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  11. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  12. Contamination Effects on EUV Optics

    NASA Technical Reports Server (NTRS)

    Tveekrem, J.

    1999-01-01

    During ground-based assembly and upon exposure to the space environment, optical surfaces accumulate both particles and molecular condensibles, inevitably resulting in degradation of optical instrument performance. Currently, this performance degradation (and the resulting end-of-life instrument performance) cannot be predicted with sufficient accuracy using existing software tools. Optical design codes exist to calculate instrument performance, but these codes generally assume uncontaminated optical surfaces. Contamination models exist which predict approximate end-of-life contamination levels, but the optical effects of these contamination levels can not be quantified without detailed information about the optical constants and scattering properties of the contaminant. The problem is particularly pronounced in the extreme ultraviolet (EUV, 300-1,200 A) and far (FUV, 1,200-2,000 A) regimes due to a lack of data and a lack of knowledge of the detailed physical and chemical processes involved. Yet it is in precisely these wavelength regimes that accurate predictions are most important, because EUV/FUV instruments are extremely sensitive to contamination.

  13. The Extreme Ultraviolet Explorer mission - Overview and initial results

    NASA Technical Reports Server (NTRS)

    Haisch, B.; Bowyer, S.; Malina, R. F.

    1993-01-01

    The history of extreme ultraviolet (EUV) astronomy is briefly reviewed, and an overview of the Extreme Ultraviolet Explorer mission, launched into a near-earth (550 km) orbit on June 7, 1992, is presented. First, the principal objective of the mission are summarized. The instrumentation and operation of the mission are then described, with particular attention given to the sky survey instruments, the deep survey instrument, and the spectrometers. The discussion also covers the current view of the interstellar medium, early results from the mission, and future prospects for EUV astronomy.

  14. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  15. Ultraviolet Views of Enceladus, Tethys, and Dione

    NASA Technical Reports Server (NTRS)

    Hansen, C. J.; Hendrix, A. R.

    2005-01-01

    The Cassini Ultraviolet Imaging Spectrograph (UVIS) has collected ultraviolet observations of many of Saturn's icy moons since Cassini's insertion into orbit around Saturn. We will report on results from Enceladus, Tethys and Dione, orbiting in the Saturn system at distances of 3.95, 4.88 and 6.26 Saturn radii, respectively. Icy satellite science objectives of the UVIS include investigations of surface age and evolution, surface composition and chemistry, and tenuous exospheres. We address these objectives by producing albedo maps, and reflection and emission spectra, and observing stellar occultations. UVIS has four channels: EUV: Extreme Ultraviolet (55 nm to 110 nm), FUV: Far Ultraviolet (110 to 190 nm), HSP: High Speed Photometer, and HDAC: Hydrogen-Deuterium Absorption Cell. The EUV and FUV spectrographs image onto a 2-dimensional detector, with 64 spatial rows by 1024 spectral columns. To-date we have focused primarily on the far ultraviolet data acquired with the low resolution slit width (4.8 angstrom spectral resolution). Additional information is included in the original extended abstract.

  16. Extreme Ultraviolet Variability Experiment (EVE) Multiple EUV Grating Spectrographs (MEGS): Radiometric Calibrations and Results

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Crotser, D.; Eparvier, F. G.; Woodraska, D. L.; Chamberlin, P. C.; Woods, E. C.

    2010-01-01

    The NASA Solar Dynamics Observatory (SDO), scheduled for launch in early 2010, incorporates a suite of instruments including the Extreme Ultraviolet Variability Experiment (EVE). EVE has multiple instruments including the Multiple Extreme ultraviolet Grating Spectrographs (MEGS) A, B, and P instruments, the Solar Aspect Monitor (SAM), and the Extreme ultraviolet SpectroPhotometer (ESP). The radiometric calibration of EVE, necessary to convert the instrument counts to physical units, was performed at the National Institute of Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF III) located in Gaithersburg, Maryland. This paper presents the results and derived accuracy of this radiometric calibration for the MEGS A, B, P, and SAM instruments, while the calibration of the ESP instrument is addressed by Didkovsky et al. . In addition, solar measurements that were taken on 14 April 2008, during the NASA 36.240 sounding-rocket flight, are shown for the prototype EVE instruments.

  17. Optical element for full spectral purity from IR-generated EUV light sources

    NASA Astrophysics Data System (ADS)

    van den Boogaard, A. J. R.; Louis, E.; van Goor, F. A.; Bijkerk, F.

    2009-03-01

    Laser produced plasma (LLP) sources are generally considered attractive for high power EUV production in next generation lithography equipment. Such plasmas are most efficiently excited by the relatively long, infrared wavelengths of CO2-lasers, but a significant part of the rotational-vibrational excitation lines of the CO2 radiation will be backscattered by the plasma's critical density surface and consequently will be present as parasitic radiation in the spectrum of such sources. Since most optical elements in the EUV collecting and imaging train have a high reflection coefficient for IR radiation, undesirable heating phenomena at the resist level are likely to occur. In this study a completely new principle is employed to obtain full separation of EUV and IR radiation from the source by a single optical component. While the application of a transmission filter would come at the expense of EUV throughput, this technique potentially enables wavelength separation without loosing reflectance compared to a conventional Mo/Si multilayer coated element. As a result this method provides full spectral purity from the source without loss in EUV throughput. Detailed calculations on the principal of functioning are presented.

  18. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  19. Enabling laboratory EUV research with a compact exposure tool

    NASA Astrophysics Data System (ADS)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  20. Electron-hole pairs generated in ZrO2 nanoparticle resist upon exposure to extreme ultraviolet radiation

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-02-01

    Metal oxide nanoparticle resists have attracted much attention as the next-generation resist used for the high-volume production of semiconductor devices. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In this study, the energy deposition in a zirconium oxide (ZrO2) nanoparticle resist was investigated. The numbers of electron-hole pairs generated in a ZrO2 core and an methacrylic acid (MAA) ligand shell upon exposure to 1 mJ cm-2 (exposure dose) extreme ultraviolet (EUV) radiations were theoretically estimated to be 0.16 at most and 0.04-0.17 cm2 mJ-1, respectively. By comparing the calculated distribution of electron-hole pairs with the line-and-space patterns of the ZrO2 nanoparticle resist fabricated by an EUV exposure tool, the number of electron-hole pairs required for the solubility change of the resist films was estimated to be 1.3-2.2 per NP. NP denotes a nanoparticle consisting of a metal oxide core with a ligand shell. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in the metal oxide core for the chemical change of ligand molecules.

  1. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  2. Performance of 100-W HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  3. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE PAGES

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.; ...

    2018-02-05

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  4. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  5. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  6. Integrated approach to improving local CD uniformity in EUV patterning

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  7. Inhibition of seagrass photosynthesis by ultraviolet-B radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trocine, R.P.; Rice, J.D.; Wells, G.N.

    1981-07-01

    Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme (Kuetz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated. Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. Syringodium appeared to rely primarily on a thick epidermal cellmore » layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species. Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation.« less

  8. ``Big Bang" for NASA's Buck: Nearly Three Years of EUVE Mission Operations at UCB

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.; Nevitt, R.; McDonald, K. E.; Cullison, J.; Malina, R. F.

    1999-12-01

    After over seven years in orbit, NASA's Extreme Ultraviolet Explorer (EUVE) satellite continues to perform flawlessly and with no significant loss of science capabilities. EUVE continues to produce important and exciting science results and, with reentry not expected until 2003-2004, many more such discoveries await. In the nearly three years since the outsourcing of EUVE from NASA's Goddard Space Flight Center, the small EUVE operations team at the University of California at Berkeley (UCB) has successfully conducted all aspects of the EUVE mission -- from satellite operations, science and mission planning, and data processing, delivery, and archival, to software support, systems administration, science management, and overall mission direction. This paper discusses UCB's continued focus on automation and streamlining, in all aspects of the Project, as the means to maximize EUVE's overall scientific productivity while minimizing costs. Multitasking, non-traditional work roles, and risk management have led to expanded observing capabilities while achieving significant cost reductions and maintaining the mission's historical 99 return. This work was funded under NASA Cooperative Agreement NCC5-138.

  9. Divertor extreme ultraviolet (EUV) survey spectroscopy in DIII-D

    NASA Astrophysics Data System (ADS)

    McLean, Adam; Allen, Steve; Ellis, Ron; Jarvinen, Aaro; Soukhanovskii, Vlad; Boivin, Rejean; Gonzales, Eduardo; Holmes, Ian; Kulchar, James; Leonard, Anthony; Williams, Bob; Taussig, Doug; Thomas, Dan; Marcy, Grant

    2017-10-01

    An extreme ultraviolet spectrograph measuring resonant emissions of D and C in the lower divertor has been added to DIII-D to help resolve an 2X discrepancy between bolometrically measured radiated power and that predicted by boundary codes for DIII-D, JET and ASDEX-U. With 290 and 450 gr/mm gratings, the DivSPRED spectrometer, an 0.3 m flat-field McPherson model 251, measures ground state transitions for D (the Lyman series) and C (e.g., C IV, 155 nm) which account for >75% of radiated power in the divertor. Combined with Thomson scattering and imaging in the DIII-D divertor, measurements of position, temperature and fractional power emission from plasma components are made and compared to UEDGE/SOLPS-ITER. Mechanical, optical, electrical, vacuum, and shielding aspects of DivSPRED are presented. Work supported under USDOE Cooperative Agreement DE-FC02-04ER54698 and DE-AC52-07NA27344, and by the LLNL Laboratory Directed R&D Program, project #17-ERD-020.

  10. ULTRAVIOLET PROTECTIVE PIGMENTS AND DNA DIMER INDUCTION AS RESPONSES TO ULTRAVIOLET RADIATION

    EPA Science Inventory

    Life on Earth has evolved adaptations to many environmental stresses over the epochs. One consistent stress has been exposure to ultraviolet (UV) radiation. The most basic effect of UV radiation on biological systems is damage to DNA. In response to UV radiation organisms have ad...

  11. Psoriasis and ultraviolet radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farber, E.M.; Nall, L.

    1993-09-01

    Prevention and detection screening programs as a public health service in curtailing the ever-increasing incidence of all forms of skin cancer are reviewed. The effect of solar and artificial ultraviolet radiation on the general population and persons with psoriasis is examined. 54 refs.

  12. Investigation of the solar UV/EUV heating effect on the Jovian radiation belt by GMRT-IRTF observation

    NASA Astrophysics Data System (ADS)

    Kita, H.; Misawa, H.; Bhardwaj, A.; Tsuchiya, F.; Tao, C.; Uno, T.; Kondo, T.; Morioka, A.

    2012-12-01

    Jupiter's synchrotron radiation (JSR) is the emission from relativistic electrons, and it is the most effective probe for remote sensing of Jupiter's radiation belt from the Earth. Recent intensive observations of JSR revealed short term variations of JSR with the time scale of days to weeks. Brice and McDonough (1973) proposed a scenario for the short term variations; i.e, the solar UV/EUV heating for Jupiter's upper atmosphere causes enhancement of total flux density. The purpose of this study is to investigate whether sufficient solar UV/EUV heating in Jupiter's upper atmosphere can actually causes variation in the JSR total flux and brightness distribution. Previous JSR observations using the Giant Metrewave Radio Telescope (GMRT) suggested important characteristics of short term variations; relatively low energy particles are accelerated by some acceleration processes which might be driven by solar UV/EUV heating and/or Jupiter's own magnetic activities. In order to evaluate the effect of solar UV/EUV heating on JSR variations, we made coordinated observations using the GMRT and NASA Infra-Red Telescope Facility (IRTF). By using IRTF, we can estimate the temperature of Jupiter's upper atmosphere from spectroscopic observation of H_3^+ infrared emission. Hence, we can evaluate the relationship between variations in Jupiter's upper atmosphere initiated by the solar UV/EUV heating and its linkage with the JSR. The GMRT observations were made during Nov. 6-17, 2011 at the frequency of 235/610MHz. The H_3^+ 3.953 micron line was observed using the IRTF during Nov. 7-12, 2011. During the observation period, the solar UV/EUV flux variations expected on Jupiter showed monotonic increase. A preliminary analysis of GMRT 610MHz band showed a radio flux variation similar to that in the solar UV/EUV. Radio images showed that the emission intensity increased at the outer region and the position of equatorial peak emission moved in the outward direction. If radial diffusion

  13. Imaging performance improvement of coherent extreme-ultraviolet scatterometry microscope with high-harmonic-generation extreme-ultraviolet source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-06-01

    In extreme-ultraviolet (EUV) lithography, the development of a review apparatus for the EUV mask pattern at an exposure wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern and a Mo/Si multilayer on a glass substrate. This mask pattern has a three-dimensional (3D) structure. The 3D structure would modulate the EUV reflection phase, which would cause focus and pattern shifts. Thus, the review of the EUV phase image is also important. We have developed a coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. The EUV phase and intensity images were reconstructed with diffraction images by ptychography. For a standalone mask review, the high-harmonic-generation (HHG) EUV source was employed. In this study, we updated the sample stage, pump-laser reduction system, and gas-pressure control system to reconstruct the image. As a result, an 88 nm line-and-space pattern and a cross-line pattern were reconstructed. In addition, a particle defect of 2 µm diameter was well reconstructed. This demonstrated the high capability of the standalone CSM, which can hence be used in factories, such as mask shops and semiconductor fabrication plants.

  14. ULTRAVIOLET RADIATION DOWN-REGULATES ALLERGY IN BALB/C MICE

    EPA Science Inventory

    ULTRAVIOLET RADIATION SUPPRESSES ALLERGY IN BALB/C MICE
    Marsha D.W. Ward+ *, Denise M. Sailstad+, Debora L. Andrews, Elizabeth H. Boykin, and MaryJane K. Selgrade

    ABSTRACT
    The immunosuppressive effects of exposure to ultraviolet radiation (UVR) are well known and the...

  15. Studies of Solar EUV Irradiance from SOHO

    NASA Technical Reports Server (NTRS)

    Floyd, Linton

    2002-01-01

    The Extreme Ultraviolet (EUV) irradiance central and first order channel time series (COC and FOC) from the Solar EUV Monitor aboard the Solar and Heliospheric observatory (SOHO) issued in early 2002 covering the time period 1/1/96-31/1201 were analyzed in terms of other solar measurements and indices. A significant solar proton effect in the first order irradiance was found and characterized. When this effect is removed, the two irradiance time series are almost perfectly correlated. Earlier studies have shown good correlation between the FOC and the Hall core-to-wing ratio and likewise, it was the strongest component of the COC. Analysis of the FOC showed dependence on the F10.7 radio flux. Analysis of the CDC signals showed additional dependences on F10.7 and the GOES x-ray fluxes. The SEM FOC was also well correlated with thein 30.4 nm channel of the SOHO EUV Imaging Telescope (EIT). The irradiance derived from all four EIT channels (30.4 nm, 17.1 nm, 28.4 nm, and 19.5 nm) showed better correlation with MgII than F10.7.

  16. Global Ultraviolet Imager (GUVI) investigation

    NASA Technical Reports Server (NTRS)

    Christensen, Andrew B.

    1995-01-01

    This report covers the activities performed under NAS5-32572. The results of those activities are included in this Final Report. TIMED Science Objectives: (1) To determine the temperature, density, and wind structure of the MLTI (mixed layer thermal inertia), including the seasonal and latitudinal variations; and (2) To determine the relative importance of the various radiative, chemical, electrodynamical, and dynamical sources and sinks of energy for the thermal structure of the MLTI. GUVI Science Goals: (1) Determine the spatial and temporal variations of temperature and constituent densities in the lower thermosphere; and (2) Determine the importance of auroral energy sources and solar EUV (extreme ultraviolet) to the energy balance of the region.

  17. EUV-induced oxidation of carbon on TiO2.

    PubMed

    Faradzhev, Nadir S; Hill, Shannon B

    2016-10-01

    Previously we reported estimates of the maximum etch rates of C on TiO 2 by oxidizers including NO, O 3 and H 2 O 2 when irradiated by a spatially-non-uniform beam of extreme ultraviolet (EUV) radiation at 13.5 nm (Faradzhev et al., 2013). Here we extend that work by presenting temporally and spatially resolved measurements of the C etching by these oxidizers as a function of EUV intensity in the range (0.3 to 3) mW/mm 2 [(0.2 to 2) × 10 16 photons s -1 cm -2 ]. We find that the rates for NO scale linearly with intensity and are smaller than those for O 3 , which exhibit a weak, sub-linear intensity dependence in this range. We demonstrate that these behaviors are consistent with adsorption of the oxidizing precursor on the C surface followed by a photon-stimulated reaction resulting in volatile C-containing products. The kinetics of photon-induced C etching by hydrogen peroxide, however, appear to be more complex. The spatially resolved measurements reveal that C removal by H 2 O 2 begins at the edges of the C spot, where the light intensity is the lowest, and proceeds toward the center of the spot. This localization of the reaction may occur because hydroxyl radicals are produced efficiently on the catalytically active TiO 2 surface.

  18. Effects of cloud, aerosol, and ozone on surface spectral Ultraviolet and total irradiance observed in Seoul, Korea

    NASA Astrophysics Data System (ADS)

    Lee, Hana; Kim, Jhoon; Kim, Woogyung; Lee, Yun Gon; Cho, Hi Ku

    2015-04-01

    In recent years, there have been substantial attempts to model the radiative transfer for climatological and biological purposes. However, the incorporation of clouds, aerosols and ozone into the modeling process is one of the difficult tasks due to their variable transmission in both temporal and space domains. In this study we quantify the atmospheric transmissions by clouds, aerosol optical depth (AOD at 320 nm) and total ozone (Ozone) together with all skies in three solar radiation components of the global solar (GS 305-2800nm), total ultraviolet (TUV 290-363nm) and the erythemal weighted ultraviolet (EUV 290-325nm) irradiances with statistical methods using the data at Seoul. The purpose of this study also is to clarify the different characteristics between cloud, AOD and Ozone in the wavelength-dependent solar radiation components. The ozone, EUV and TUV used in this study (March 2003 - February 2014) have been measured with Dobson Spectrophotometer (Beck #124) and Brewer Spectrophotometer (SCI-TEC#148) at Yonsei University, respectively. GS, Cloud Cover (CC) are available from the Korean Meteorological Agency. The measured total (effect of cloud, aerosol, and ozone) transmissions on annual average showed 74%, 76% and 80% of GS, TUV and EUV irradiance, respectively. For the comparison of the measured values with modeled, we have also constructed a multiple linear regression model for the total transmission. The average ratio of measured to modeled total transmission were 0.94, 0.96 and 0.96 with higher measured than modeled value in the three components, respectively, The individual transmission by clouds under the constant AOD and Ozone atmosphere on average showed 68%, 71% and 76% and further the overcast clouds reduced the transmissions to the 45%, 54% and 59% of the clear sky irradiance in the GS, TUV and EUV, respectively. The annual transmissions by AOD showed on average 67%, 70% and 74% and further the high loadings 2.5-4.0 AOD reduced the

  19. Spectroscopy and Photometry of EUVE J1429-38.0:An Eclipsing Magnetic Cataclysmic Variable

    NASA Astrophysics Data System (ADS)

    Howell, Steve B.; Craig, Nahide; Roberts, Bryce; McGee, Paddy; Sirk, Martin

    1997-06-01

    EUVE J1429-38.0 was originally discovered as a variable source by the Extreme Ultraviolet Explorer (EUVE) satellite. We present new optical observations which unambiguously confirm this star to be an eclipsing magnetic system with an orbital period of 4() h 46() m. The photometric data are strongly modulated by ellipsoidal variations during low states which allow a system inclination of near 80 degrees to be determined. Our time-resolved optical spectra, which cover only about one-third of the orbital cycle, indicate the clear presence of a gas stream. During high states, EUVE J1429-38.0 shows ~ 1 mag deep eclipses and the apparent formation of a partial accretion disk. EUVE J1429-38.0 presents the observer with properties of both the AM Herculis and the DQ Herculis types of magnetic cataclysmic variable.

  20. Reconstruction and analysis of erythemal UV radiation time series from Hradec Králové (Czech Republic) over the past 50 years

    NASA Astrophysics Data System (ADS)

    Čížková, Klára; Láska, Kamil; Metelka, Ladislav; Staněk, Martin

    2018-02-01

    This paper evaluates the variability of erythemal ultraviolet (EUV) radiation from Hradec Králové (Czech Republic) in the period 1964-2013. The EUV radiation time series was reconstructed using a radiative transfer model and additional empirical relationships, with the final root mean square error of 9.9 %. The reconstructed time series documented the increase in EUV radiation doses in the 1980s and the 1990s (up to 15 % per decade), which was linked to the steep decline in total ozone (10 % per decade). The changes in cloud cover were the major factor affecting the EUV radiation doses especially in the 1960s, 1970s, and at the beginning of the new millennium. The mean annual EUV radiation doses in the decade 2004-2013 declined by 5 %. The factors affecting the EUV radiation doses differed also according to the chosen integration period (daily, monthly, and annually): solar zenith angle was the most important for daily doses, cloud cover, and surface UV albedo for their monthly means, and the annual means of EUV radiation doses were most influenced by total ozone column. The number of days with very high EUV radiation doses increased by 22 % per decade, the increase was statistically significant in all seasons except autumn. The occurrence of the days with very high EUV doses was influenced mostly by low total ozone column (82 % of days), clear-sky or partly cloudy conditions (74 % of days) and by increased surface albedo (19 % of days). The principal component analysis documented that the occurrence of days with very high EUV radiation doses was much affected by the positive phase of North Atlantic Oscillation with an Azores High promontory reaching over central Europe. In the stratosphere, a strong Arctic circumpolar vortex and the meridional inflow of ozone-poor air from the southwest were favorable for the occurrence of days with very high EUV radiation doses. This is the first analysis of the relationship between the high EUV radiation doses and macroscale

  1. [Ultraviolet radiation and long term space flight].

    PubMed

    Wu, H B; Su, S N; Ba, F S

    2000-08-01

    With the prolongation of space flight, influences of various aerospace environmental factors on the astronauts become more and more severe, while ultraviolet radiation is lacking. Some studies indicated that low doses of ultraviolet rays are useful and essential for human body. In space flight, ultraviolet rays can improve the hygienic condition in the space cabin, enhance astronaut's working ability and resistance to unfavorable factors, prevent mineral metabolic disorders, cure purulent skin diseases and deallergize the allergens. So in long-term space flight, moderate amount of ultraviolet rays in the space cabin would be beneficial.

  2. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Caudillo, Roman; Chandhok, Manish

    2010-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. Readiness of EUV materials is currently one high risk area according to recent assessments made at the 2009 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data collected utilizing Intel's Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <= 12.5mJ/cm2 with <= 4nm LWR.

  3. Initial results from the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1993-01-01

    Data obtained during the first five months of calibration and science operation of the Extreme Ultraviolet Explorer (EUVE) are presented. Spectra of an extragalactic object were obtained; the object is detectable to wavelenghts longer than 100 A, demonstrating that extragalactic EUV astronomy is possible. Spectra of a hot white dwarf, and a late-type star in quiescence and flaring are shown as examples of the type of spectrographic data obtainable with EUVE. Other objects for which broad band photometric mode data have been obtained and analyzed include an RS CVn star and several late-type stars. The backgrounds in the EUVE detectors are quite low and the character of the diffuse astronomical EUV background has been investigated using these very low rates. Evidence is presented showing that, contrary to previously published reports, EUVE is about three times more sensitive than the English Wide Field Camera in the short wavelength bandpass covered by both instruments. Only limited information has been extracted from the longer bandpasses coered only by EUVE. Nonetheless, the brightest EUV source in the sky, a B star, has been discovered and is detected only in these longer bandpasses.

  4. Extreme Ultraviolet Explorer Science Operation Center

    NASA Technical Reports Server (NTRS)

    Wong, G. S.; Kronberg, F. A.; Meriwether, H. D.; Wong, L. S.; Grassi, C. L.

    1993-01-01

    The EUVE Science Operations Center (ESOC) is a satellite payload operations center for the Extreme Ultraviolet Explorer project, located on the Berkeley campus of the University of California. The ESOC has the primary responsibility for commanding the EUVE telescopes and monitoring their telemetry. The ESOC is one of a very few university-based satellite operations facilities operating with NASA. This article describes the history, operation, and advantages of the ESOC as an on-campus operations center.

  5. Extreme Ultraviolet Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO): Overview of Science Objectives, Instrument Design, Data Products, and Model Developments

    NASA Technical Reports Server (NTRS)

    Woods, T. N.; Eparvier, F. G.; Hock, R.; Jones, A. R.; Woodraska, D.; Judge, D.; Didkovsky, L.; Lean, J.; Mariska, J.; Warren, H.; hide

    2010-01-01

    The highly variable solar extreme ultraviolet (EUV) radiation is the major energy input to the Earth's upper atmosphere, strongly impacting the geospace environment, affecting satellite operations, communications, and navigation. The Extreme ultraviolet Variability Experiment (EVE) onboard the NASA Solar Dynamics Observatory (SDO) will measure the solar EUV irradiance from 0.1 to 105 nm with unprecedented spectral resolution (0.1 nm), temporal cadence (ten seconds), and accuracy (20%). EVE includes several irradiance instruments: The Multiple EUV Grating Spectrographs (MEGS)-A is a grazingincidence spectrograph that measures the solar EUV irradiance in the 5 to 37 nm range with 0.1-nm resolution, and the MEGS-B is a normal-incidence, dual-pass spectrograph that measures the solar EUV irradiance in the 35 to 105 nm range with 0.1-nm resolution. To provide MEGS in-flight calibration, the EUV SpectroPhotometer (ESP) measures the solar EUV irradiance in broadbands between 0.1 and 39 nm, and a MEGS-Photometer measures the Sun s bright hydrogen emission at 121.6 nm. The EVE data products include a near real-time space-weather product (Level 0C), which provides the solar EUV irradiance in specific bands and also spectra in 0.1-nm intervals with a cadence of one minute and with a time delay of less than 15 minutes. The EVE higher-level products are Level 2 with the solar EUV irradiance at higher time cadence (0.25 seconds for photometers and ten seconds for spectrographs) and Level 3 with averages of the solar irradiance over a day and over each one-hour period. The EVE team also plans to advance existing models of solar EUV irradiance and to operationally use the EVE measurements in models of Earth s ionosphere and thermosphere. Improved understanding of the evolution of solar flares and extending the various models to incorporate solar flare events are high priorities for the EVE team.

  6. Prototype through-pellicle coherent imaging using a 30nm tabletop EUV source

    NASA Astrophysics Data System (ADS)

    Bevis, Charles S.; Karl, Robert M.; Wang, Bin; Esashi, Yuka; Tanksalvala, Michael; Porter, Christina L.; Johnsen, Peter; Adams, Daniel E.; Murnane, Margaret M.; Kapteyn, Henry C.

    2018-03-01

    We present preliminary through-pellicle imaging using a 30nm tabletop extreme ultraviolet (EUV) coherent diffractive imaging microscope. We show that even in a non-optimized setup, this technique enables through-pellicle imaging of a sample with no detectable impact on image fidelity or resolution.

  7. Inhibition of seagrass photosynthesis by ultraviolet-B radiation.

    PubMed

    Trocine, R P; Rice, J D; Wells, G N

    1981-07-01

    Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme Kütz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated.Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. This mechanism effectively attenuated photosynthetic inhibition induced by ultraviolet-B dose rates and dosages in excess of natural conditions. Syringodium appeared to rely primarily on a thick epidermal cell layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species.Observations obtained in this study seem to suggest the possibility of anthocyanin and/or other flavonoid synthesis as an adaptation to long term ultraviolet-B irradiation by these species. In addition, Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation.

  8. The Origin of the EUV Emission in Her X-1

    NASA Technical Reports Server (NTRS)

    Leahy, D. A.; Marshall, H.

    1999-01-01

    Her X-1 exhibits a strong orbital modulation of its EUV flux with a large decrease around time of eclipse of the neutron star, and a significant dip which appears at different orbital phases at different 35-day phases. We consider observations of Her X-1 in the EUVE by the Extreme Ultraviolet Explorer (EUVE), which includes data from 1995 near the end of the Short High state, and date from 1997 at the start of the Short High state. The observed EUV lightcurve has bright and faint phases. The bright phase can be explained as the low energy tail of the soft x-ray pulse. The faint phase emission has been modeled to understand its origin. We find: the x-ray heated surface of HZ Her is too cool to produce enough emission; the accretion disk does not explain the orbital modulation; however, reflection of x-rays off of HZ Her can produce the observed lightcurve with orbital eclipses. The dip can be explained by shadowing of the companion by the accretion disk. We discuss the constraints on the accretion disk geometry derived from the observed shadowing.

  9. Photoprotection of human skin beyond ultraviolet radiation.

    PubMed

    Grether-Beck, Susanne; Marini, Alessandra; Jaenicke, Thomas; Krutmann, Jean

    2014-01-01

    Photoprotection of human skin by means of sunscreens or daily skin-care products is traditionally centered around the prevention of acute (e.g. sunburn) and chronic (e.g. skin cancer and photoaging) skin damage that may result from exposure to ultraviolet rays (UVB and UVA). Within the last decade, however, it has been appreciated that wavelengths beyond the ultraviolet spectrum, in particular visible light and infrared radiation, contribute to skin damage in general and photoaging of human skin in particular. As a consequence, attempts have been made to develop skin care/sunscreen products that not only protect against UVB or UVA radiation but provide photoprotection against visible light and infrared radiation as well. In this article, we will briefly review the current knowledge about the mechanisms responsible for visible light/infrared radiation-induced skin damage and then, based on this information, discuss strategies that have been successfully used or may be employed in the future to achieve photoprotection of human skin beyond ultraviolet radiation. In this regard we will particularly focus on the use of topical antioxidants and the challenges that result from the task of showing their efficacy. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  10. Solar EUV Irradiance Measurements by the Auto-Calibrating EUV Spectrometers (SolACES) Aboard the International Space Station (ISS)

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Nikutowski, B.; Jacobi, C.; Brunner, R.; Erhardt, C.; Knecht, S.; Scherle, J.; Schlagenhauf, J.

    2014-05-01

    SolACES is part of the ESA SOLAR ISS mission that started aboard the shuttle mission STS-122 on 7 February 2008. The instrument has recorded solar extreme ultraviolet (EUV) irradiance from 16 to 150 nm during the extended solar activity minimum and the beginning solar cycle 24 with rising solar activity and increasingly changing spectral composition. The SOLAR mission has been extended from a period of 18 months to > 8 years until the end of 2016. SolACES is operating three grazing incidence planar grating spectrometers and two three-current ionization chambers. The latter ones are considered as primary radiometric detector standards. Re-filling the ionization chambers with three different gases repeatedly and using overlapping band-pass filters, the absolute EUV fluxes are derived in these spectral intervals. This way the serious problem of continuing efficiency changes in space-borne instrumentation is overcome during the mission. Evaluating the three currents of the ionization chambers, the overlapping spectral ranges of the spectrometers and of the filters plus inter-comparing the results from the EUV photon absorption in the gases with different absorption cross sections, there are manifold instrumental possibilities to cross-check the results providing a high degree of reliability to the spectral irradiance derived. During the mission a very strong up-and-down variability of the spectrometric efficiency by orders of magnitude is observed. One of the effects involved is channeltron degradation. However, there are still open questions on other effects contributing to these changes. A survey of the measurements carried out and first results of the solar spectral irradiance (SSI) data are presented. Inter-comparison with EUV data from other space missions shows good agreement such that the international effort has started to elaborate a complete set of EUV-SSI data taking into account all data available from 2008 to 2013.

  11. Characterization of gas targets for laser produced extreme ultraviolet plasmas with a Hartmann-Shack sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peth, Christian; Kranzusch, Sebastian; Mann, Klaus

    2004-10-01

    A table top extreme ultraviolet (EUV)-source was developed at Laser-Laboratorium Goettingen for the characterization of optical components and sensoric devices in the wavelength region from 11 to 13 nm. EUV radiation is generated by focusing the beam of a Q-switched Nd:YAG laser into a pulsed xenon gas jet. Since a directed gas jet with a high number density is needed for an optimal performance of the source, conical nozzles with different cone angles were drilled with an excimer laser to produce a supersonic gas jet. The influence of the nozzle geometry on the gas jet was characterized with a Hartmann-Shackmore » wave front sensor. The deformation of a planar wave front after passing the gas jet was analyzed with this sensor, allowing a reconstruction of the gas density distribution. Thus, the gas jet was optimized resulting in an increase of EUV emission by a factor of two and a decrease of the plasma size at the same time.« less

  12. EUV laser produced and induced plasmas for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  13. Production of EUV mask blanks with low killer defects

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Kearney, Patrick; Godwin, Milton; He, Long; John Kadaksham, Arun; Goodwin, Frank; Weaver, Al; Hayes, Alan; Trigg, Steve

    2014-04-01

    For full commercialization, extreme ultraviolet lithography (EUVL) technology requires the availability of EUV mask blanks that are free of defects. This remains one of the main impediments to the implementation of EUV at the 22 nm node and beyond. Consensus is building that a few small defects can be mitigated during mask patterning, but defects over 100 nm (SiO2 equivalent) in size are considered potential "killer" defects or defects large enough that the mask blank would not be usable. The current defect performance of the ion beam sputter deposition (IBD) tool will be discussed and the progress achieved to date in the reduction of large size defects will be summarized, including a description of the main sources of defects and their composition.

  14. Overview of Key Results from SDO Extreme ultraviolet Variability Experiment (EVE)

    NASA Astrophysics Data System (ADS)

    Woods, Tom; Eparvier, Frank; Jones, Andrew; Mason, James; Didkovsky, Leonid; Chamberlin, Phil

    2016-10-01

    The SDO Extreme ultraviolet Variability Experiment (EVE) includes several channels to observe the solar extreme ultraviolet (EUV) spectral irradiance from 1 to 106 nm. These channels include the Multiple EUV Grating Spectrograph (MEGS) A, B, and P channels from the University of Colorado (CU) and the EUV SpectroPhometer (ESP) channels from the University of Southern California (USC). The solar EUV spectrum is rich in many different emission lines from the corona, transition region, and chromosphere. The EVE full-disk irradiance spectra are important for studying the solar impacts in Earth's ionosphere and thermosphere and are useful for space weather operations. In addition, the EVE observations, with its high spectral resolution of 0.1 nm and in collaboration with AIA solar EUV images, have proven valuable for studying active region evolution and explosive energy release during flares and coronal eruptions. These SDO measurements have revealed interesting results such as understanding the flare variability over all wavelengths, discovering and classifying different flare phases, using coronal dimming measurements to predict CME properties of mass and velocity, and exploring the role of nano-flares in continual heating of active regions.

  15. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  16. Estimation of optimum density and temperature for maximum efficiency of tin ions in Z discharge extreme ultraviolet sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Masnavi, Majid; Nakajima, Mitsuo; Hotta, Eiki

    Extreme ultraviolet (EUV) discharge-based lamps for EUV lithography need to generate extremely high power in the narrow spectrum band of 13.5{+-}0.135 nm. A simplified collisional-radiative model and radiative transfer solution for an isotropic medium were utilized to investigate the wavelength-integrated light outputs in tin (Sn) plasma. Detailed calculations using the Hebrew University-Lawrence Livermore atomic code were employed for determination of necessary atomic data of the Sn{sup 4+} to Sn{sup 13+} charge states. The result of model is compared with experimental spectra from a Sn-based discharge-produced plasma. The analysis reveals that considerably larger efficiency compared to the so-called efficiency of amore » black-body radiator is formed for the electron density {approx_equal}10{sup 18} cm{sup -3}. For higher electron density, the spectral efficiency of Sn plasma reduces due to the saturation of resonance transitions.« less

  17. Plans for the extreme ultraviolet explorer data base

    NASA Technical Reports Server (NTRS)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  18. EUV spectroscopy of highly charged high Z ions in the Large Helical Device plasmas

    NASA Astrophysics Data System (ADS)

    Suzuki, C.; Koike, F.; Murakami, I.; Tamura, N.; Sudo, S.; Sakaue, H. A.; Nakamura, N.; Morita, S.; Goto, M.; Kato, D.; Nakano, T.; Higashiguchi, T.; Harte, C. S.; OʼSullivan, G.

    2014-11-01

    We present recent results on the extreme ultraviolet (EUV) spectroscopy of highly charged high Z ions in plasmas produced in the Large Helical Device (LHD) at the National Institute for Fusion Science. Tungsten, bismuth and lanthanide elements have recently been studied in the LHD in terms of their importance in fusion research and EUV light source development. In relatively low temperature plasmas, quasicontinuum emissions from open 4d or 4f subshell ions are predominant in the EUV region, while the spectra tend to be dominated by discrete lines from open 4s or 4p subshell ions in higher temperature plasmas. Comparative analyses using theoretical calculations and charge-separated spectra observed in an electron beam ion trap have been performed to achieve better agreement with the spectra measured in the LHD. As a result, databases on Z dependence of EUV spectra in plasmas have been widely extended.

  19. Simultaneous ASCA and EUVE Observations of Capella

    NASA Astrophysics Data System (ADS)

    Brickhouse, N. S.; Dupree, A. K.; Edgar, R. J.; Drake, S. A.; White, N. E.; Liedahl, D. A.; Singh, K. P.

    1997-05-01

    We present simultaneous observations taken in Mar 1996 of the bright stellar coronal source Capella (HD 34029) with the ASCA and EUVE satellites. Previous EUVE observations of Fe emission lines (Fe VIII --- XXIV, excluding XVII) revealed a narrow emission measure feature at 6 x 10(6) K, which has proven to be remarkably stable over several years (flux from Fe XVIII and XIX has not varied by more than 30%), while lines formed at higher temperatures have shown intensity variations up to factors of 4. Furthermore, extremely high signal-to-noise spectra obtained by summing all EUVE measurements show that the Fe/H abundance ratio is consistent with solar photospheric. (See Dupree et al. 1993, ApJ, 418, L41; Brickhouse, Raymond, & Smith 1995, ApJSupp, 97, 551; Brickhouse 1996, IAU Coll. 152, Astrophysics in the Extreme Ultraviolet, Bowyer & Malina, eds (Kluwer), 141.) Meanwhile, the ASCA data of Capella have proven notoriously difficult to analyze. The performance verification (PV) phase data suggested a somewhat subsolar Fe abundance, but models were in poor agreement with the data (chi (2red) ~ 6). (See Drake 1996, Conf. on Cosmic Abundances, U. Maryland). Since the emission lines observed by EUVE are formed at the same emitting temperatures as the X-ray spectrum (Capella is ``soft'' such that very little flux is observed above 2 keV), the emission measure distribution derived from EUVE lines should provide a direct prediction of the X-ray spectrum, with only the relative abundances of species other than Fe as free parameters. Like the PV data, the new ASCA spectrum is not well fit by any of the standard models. Applying the constraints imposed by EUVE does not make a major improvement in the fit --- multi-thermal, variable abundance models such as Raymond-Smith and MEKAL do not provide any acceptable fit (chi (2red) > 5). We discuss our efforts to understand the X-ray spectrum, including studies of the uncertainties in the atomic data and of the underlying assumptions

  20. Plasmaspheric Erosion via Plasmasphere Coupling to Ring Current Plasmas: EUV Observations and Modeling

    NASA Technical Reports Server (NTRS)

    Adrian, M. L.; Gallagher, D. L.; Khazanov, G. V.; Chsang, S. W.; Liemohn, M. W.; Perez, J. D.; Green, J. L.; Sandel, B. R.; Mitchell, D. G.; Mende, S. B.; hide

    2002-01-01

    During a geomagnetic storm on 24 May 2000, the IMAGE Extreme Ultraviolet (EUV) camera observed a plasmaspheric density trough in the evening sector at L-values inside the plasmapause. Forward modeling of this feature has indicated that plasmaspheric densities beyond the outer wall of the trough are well below model expectations. This diminished plasma condition suggests the presence of an erosion process due to the interaction of the plasmasphere with ring current plasmas. We present an overview of EUV, energetic neutral atom (ENA), and Far Ultraviolet (FUV) camera observations associated with the plasmaspheric density trough of 24 May 2000, as well as forward modeling evidence of the lie existence of a plasmaspheric erosion process during this period. FUV proton aurora image analysis, convolution of ENA observations, and ring current modeling are then presented in an effort to associate the observed erosion with coupling between the plasmasphere and ring-current plasmas.

  1. Bidirectional reflectance distribution function of diffuse extreme ultraviolet scatterers and extreme ultraviolet baffle materials.

    PubMed

    Newell, M P; Keski-Kuha, R A

    1997-08-01

    Bidirectional reflectance distribution function (BRDF) measurements of a number of diffuse extreme ultraviolet (EUV) scatterers and EUV baffle materials have been performed with the Goddard EUV scatterometer. BRDF data are presented for white Spectralon SRS-99 at 121.6 nm; the data exhibit a non-Lambertian nature and a total hemispherical reflectance lower than 0.15. Data are also presented for an evaporated Cu black sample, a black Spectralon SRS-02 sample, and a Martin Optical Black sample at wavelengths of 58.4 and 121.6 nm and for angles of incidence of 15 degrees and 45 degrees. Overall Martin Optical Black exhibited the lowest BRDF characteristic, with a total hemispherical reflectance of the order of 0.01 and measured BRDF values as low as 2 x 10(-3) sr(-1).

  2. ULTRAVIOLET PROTECTIVE COMPOUNDS AS A RESPONSE TO ULTRAVIOLET RADIATION EXPOSURE

    EPA Science Inventory

    Life on Earth has evolved adaptations to many environmental stresses over the epochs. One consistent stress has been exposure to ultraviolet radiation. In response to UVR organisms have adapted myriad responses; behavioral, morphological and physiological. Behaviorally, some orga...

  3. The Production of Titan's Ultraviolet Nitrogen Airglow

    NASA Astrophysics Data System (ADS)

    Stevens, Michael H.; Gustin, J.; Ajello, J. M.; Evans, J. S.; Meier, R. R.; Stewart, A. I. F.; Esposito, L. W.; McClintock, W. E.; Stephan, A. W.

    2010-10-01

    The Cassini Ultraviolet Imaging Spectrograph (UVIS) observed Titan's dayside limb on 22 June, 2009, obtaining high quality extreme ultraviolet (EUV) and far ultraviolet (FUV) spectra from a distance of only 60,000 km (23 Titan radii). The observations reveal the same EUV and FUV emissions arising from photoelectron excitation and photofragmentation of molecular nitrogen (N2) on Earth but with the altitude of peak emission much higher on Titan near 1000 km altitude. In the EUV, emission bands from the photoelectron excited N2 Carroll-Yoshino c4'-X system and N I and N II multiplets arising from photofragmentation of N2 dominate, with no detectable c4'(0,0) emission near 958 Å, contrary to many interpretations of the lower resolution Voyager 1 Ultraviolet Spectrometer data. The FUV is dominated by emission bands from the N2 Lyman-Birge-Hopfield a-X system and additional N I multiplets. We also identify several N2 Vegard-Kaplan A-X bands between 1500-1900 Å, two of which are located near 1561 and 1657 Å where C I multiplets were previously identified from a separate UVIS disk observation. We compare these limb emissions to predictions from a terrestrial airglow model adapted to Titan that uses a solar spectrum appropriate for these June, 2009 observations. Volume production rates and limb radiances are calculated, including extinction by methane and allowance for multiple scattering within the readily excited c4'(0,v') system, and compared to UVIS observations. We find that for these airglow data only emissions arising from processes involving N2 are present.

  4. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    NASA Astrophysics Data System (ADS)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  5. On the Absence of EUV Emission from Comet C/2012 S1 (ISON)

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, W. Dean

    2016-01-01

    When the sungrazing comet C2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun's surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This null result is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. By comparing these properties with those of sungrazing comet C2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C2012 S1 (ISON) was at least a factor of four less than that of C2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.

  6. Fluorescence of molecular hydrogen excited by solar extreme-ultraviolet radiation

    NASA Technical Reports Server (NTRS)

    Feldman, P. D.; Fastie, W. G.

    1973-01-01

    During trans-earth coast, the Apollo 17 ultraviolet spectrometer was scheduled to make observations of the far ultraviolet background in selected regions of the sky. In the course of one of these observations, the spacecraft fuel cells were routinely purged of excess hydrogen and water vapor. The ultraviolet fluorescence spectrum of the purged molecular hydrogen excited by solar extreme ultraviolet radiation is interpreted by absorption of solar L-beta and L-gamma radiation in the nearly resonant (6, 0) and (11, 0) Lyman bands. The results are deemed significant for ultraviolet spectroscopic investigations of the atmospheres of the moon and planets since Lyman-band fluorescence provides an unambiguous means of identification of molecular hydrogen in upper atmospheres.

  7. Interferometric at-wavelength flare characterization of EUV optical systems

    DOEpatents

    Naulleau, Patrick P.; Goldberg, Kenneth Alan

    2001-01-01

    The extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) provides the high-accuracy wavefront characterization critical to the development of EUV lithography systems. Enhancing the implementation of the PS/PDI can significantly extend its spatial-frequency measurement bandwidth. The enhanced PS/PDI is capable of simultaneously characterizing both wavefront and flare. The enhanced technique employs a hybrid spatial/temporal-domain point diffraction interferometer (referred to as the dual-domain PS/PDI) that is capable of suppressing the scattered-reference-light noise that hinders the conventional PS/PDI. Using the dual-domain technique in combination with a flare-measurement-optimized mask and an iterative calculation process for removing flare contribution caused by higher order grating diffraction terms, the enhanced PS/PDI can be used to simultaneously measure both figure and flare in optical systems.

  8. Two ultraviolet radiation datasets that cover China

    NASA Astrophysics Data System (ADS)

    Liu, Hui; Hu, Bo; Wang, Yuesi; Liu, Guangren; Tang, Liqin; Ji, Dongsheng; Bai, Yongfei; Bao, Weikai; Chen, Xin; Chen, Yunming; Ding, Weixin; Han, Xiaozeng; He, Fei; Huang, Hui; Huang, Zhenying; Li, Xinrong; Li, Yan; Liu, Wenzhao; Lin, Luxiang; Ouyang, Zhu; Qin, Boqiang; Shen, Weijun; Shen, Yanjun; Su, Hongxin; Song, Changchun; Sun, Bo; Sun, Song; Wang, Anzhi; Wang, Genxu; Wang, Huimin; Wang, Silong; Wang, Youshao; Wei, Wenxue; Xie, Ping; Xie, Zongqiang; Yan, Xiaoyuan; Zeng, Fanjiang; Zhang, Fawei; Zhang, Yangjian; Zhang, Yiping; Zhao, Chengyi; Zhao, Wenzhi; Zhao, Xueyong; Zhou, Guoyi; Zhu, Bo

    2017-07-01

    Ultraviolet (UV) radiation has significant effects on ecosystems, environments, and human health, as well as atmospheric processes and climate change. Two ultraviolet radiation datasets are described in this paper. One contains hourly observations of UV radiation measured at 40 Chinese Ecosystem Research Network stations from 2005 to 2015. CUV3 broadband radiometers were used to observe the UV radiation, with an accuracy of 5%, which meets the World Meteorology Organization's measurement standards. The extremum method was used to control the quality of the measured datasets. The other dataset contains daily cumulative UV radiation estimates that were calculated using an all-sky estimation model combined with a hybrid model. The reconstructed daily UV radiation data span from 1961 to 2014. The mean absolute bias error and root-mean-square error are smaller than 30% at most stations, and most of the mean bias error values are negative, which indicates underestimation of the UV radiation intensity. These datasets can improve our basic knowledge of the spatial and temporal variations in UV radiation. Additionally, these datasets can be used in studies of potential ozone formation and atmospheric oxidation, as well as simulations of ecological processes.

  9. Aqueous humour and ultraviolet radiation.

    PubMed

    Ringvold, A

    1980-01-01

    Studies on the ultraviolet ray absorption in the aqueous humour of rabbit, cat, monkey, guinea pig, and rat showed marked species differences. In the rabbit aqueous the ascorbic acid, the proteins, and some amino acids (tyrosine, phenylalanine, cystine, and tryptophane) are together responsible for the total absorption, and a very great part of it refers to the ascorbic acid content. Accordingly, species with significant amounts of ascorbic acid in the aqueous (monkey, rabbit, guinea pig) have a greater absorption capacity towards ultraviolet radiation than species (cat, rat) lacking this substance. This effect of the ascorbic acid may contribute in protecting the lens against the most biotoxic ultraviolet rays. It seems that the ascorbic acid concentration is highest in the aqueous of typical day animals and lowest in species being active in the dark, indicating a correlation between the aqueous' ascorbic acid level and the quantity of incident light on the eye. The possible significance of changed aqueous ultraviolet ray absorption in the pathogenesis of human cataract development is discussed.

  10. EUV patterning improvement toward high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie

    2015-03-01

    Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.

  11. Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration

    NASA Astrophysics Data System (ADS)

    Kandel, Yudhishthir; Chandonait, Jonathan; Melvin, Lawrence S.; Marokkey, Sajan; Yan, Qiliang; Grzeskowiak, Steven; Painter, Benjamin; Denbeaux, Gregory

    2017-03-01

    Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.

  12. Cluster beam targets for laser plasma extreme ultraviolet and soft x-ray sources

    DOEpatents

    Kublak, G.D.; Richardson, M.C.

    1996-11-19

    Method and apparatus for producing extreme ultraviolet (EUV) and soft x-ray radiation from an ultra-low debris plasma source are disclosed. Targets are produced by the free jet expansion of various gases through a temperature controlled nozzle to form molecular clusters. These target clusters are subsequently irradiated with commercially available lasers of moderate intensity (10{sup 11}--10{sup 12} watts/cm{sup 2}) to produce a plasma radiating in the region of 0.5 to 100 nanometers. By appropriate adjustment of the experimental conditions the laser focus can be moved 10--30 mm from the nozzle thereby eliminating debris produced by plasma erosion of the nozzle. 5 figs.

  13. Inhibition of Seagrass Photosynthesis by Ultraviolet-B Radiation 1

    PubMed Central

    Trocine, Robert P.; Rice, John D.; Wells, Gary N.

    1981-01-01

    Effects of ultraviolet-B radiation on the photosynthesis of seagrasses (Halophila engelmanni Aschers, Halodule wrightii Aschers, and Syringodium filiforme Kütz) were examined. The intrinsic tolerance of each seagrass to ultraviolet-B, the presence and effectiveness of photorepair mechanisms to ultraviolet-B-induced photosynthetic inhibition, and the role of epiphytic growth as a shield from ultraviolet-B were investigated. Halodule was found to possess the greatest photosynthetic tolerance for ultraviolet-B. Photosynthesis in Syringodium was slightly more sensitive to ultraviolet-B while Halophila showed relatively little photosynthetic tolerance. Evidence for a photorepair mechanism was found only in Halodule. This mechanism effectively attenuated photosynthetic inhibition induced by ultraviolet-B dose rates and dosages in excess of natural conditions. Syringodium appeared to rely primarily on a thick epidermal cell layer to reduce photosynthetic damage. Halophila seemed to have no morphological or photorepair capabilities to deal with ultraviolet-B. This species appeared to rely on epiphytic and detrital shielding and the shade provided by other seagrasses to reduce ultraviolet-B irradiation to tolerable levels. The presence of epiphytes on leaf surfaces was found to reduce the extent of photosynthetic inhibition from ultraviolet-B exposure in all species. Observations obtained in this study seem to suggest the possibility of anthocyanin and/or other flavonoid synthesis as an adaptation to long term ultraviolet-B irradiation by these species. In addition, Halophila appears to obtain an increased photosynthetic tolerance to ultraviolet-B as an indirect benefit of chloroplast clumping to avoid photo-oxidation by intense levels of photosynthetically active radiation. Images PMID:16661893

  14. Comparison of Helioseismic Far-Side Active Region Detections with STEREO Far-Side EUV Observations of Solar Activity

    NASA Astrophysics Data System (ADS)

    Liewer, P. C.; Qiu, J.; Lindsey, C.

    2017-10-01

    Seismic maps of the Sun's far hemisphere, computed from Doppler data from the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO) are now being used routinely to detect strong magnetic regions on the far side of the Sun (http://jsoc.stanford.edu/data/farside/). To test the reliability of this technique, the helioseismically inferred active region detections are compared with far-side observations of solar activity from the Solar TErrestrial RElations Observatory (STEREO), using brightness in extreme-ultraviolet light (EUV) as a proxy for magnetic fields. Two approaches are used to analyze nine months of STEREO and HMI data. In the first approach, we determine whether new large east-limb active regions are detected seismically on the far side before they appear Earth side and study how the detectability of these regions relates to their EUV intensity. We find that while there is a range of EUV intensities for which far-side regions may or may not be detected seismically, there appears to be an intensity level above which they are almost always detected and an intensity level below which they are never detected. In the second approach, we analyze concurrent extreme-ultraviolet and helioseismic far-side observations. We find that 100% (22) of the far-side seismic regions correspond to an extreme-ultraviolet plage; 95% of these either became a NOAA-designated magnetic region when reaching the east limb or were one before crossing to the far side. A low but significant correlation is found between the seismic signature strength and the EUV intensity of a far-side region.

  15. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    PubMed Central

    Makhotkin, Igor A.; Sobierajski, Ryszard; Chalupský, Jaromir; Tiedtke, Kai; de Vries, Gosse; Störmer, Michael; Scholze, Frank; Siewert, Frank; van de Kruijs, Robbert W. E.; Milov, Igor; Louis, Eric; Jacyna, Iwanna; Jurek, Marek; Klinger, Dorota; Syryanyy, Yevgen; Juha, Libor; Hájková, Věra; Saksl, Karel; Faatz, Bart; Keitel, Barbara; Plönjes, Elke; Toleikis, Sven; Loch, Rolf; Hermann, Martin; Strobel, Sebastian; Nienhuys, Han-Kwang; Gwalt, Grzegorz; Mey, Tobias; Enkisch, Hartmut

    2018-01-01

    The durability of grazing- and normal-incidence optical coatings has been experimentally assessed under free-electron laser irradiation at various numbers of pulses up to 16 million shots and various fluence levels below 10% of the single-shot damage threshold. The experiment was performed at FLASH, the Free-electron LASer in Hamburg, using 13.5 nm extreme UV (EUV) radiation with 100 fs pulse duration. Polycrystalline ruthenium and amorphous carbon 50 nm thin films on silicon substrates were tested at total external reflection angles of 20° and 10° grazing incidence, respectively. Mo/Si periodical multilayer structures were tested in the Bragg reflection condition at 16° off-normal angle of incidence. The exposed areas were analysed post-mortem using differential contrast visible light microscopy, EUV reflectivity mapping and scanning X-ray photoelectron spectroscopy. The analysis revealed that Ru and Mo/Si coatings exposed to the highest dose and fluence level show a few per cent drop in their EUV reflectivity, which is explained by EUV-induced oxidation of the surface. PMID:29271755

  16. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold.

    PubMed

    Makhotkin, Igor A; Sobierajski, Ryszard; Chalupský, Jaromir; Tiedtke, Kai; de Vries, Gosse; Störmer, Michael; Scholze, Frank; Siewert, Frank; van de Kruijs, Robbert W E; Milov, Igor; Louis, Eric; Jacyna, Iwanna; Jurek, Marek; Klinger, Dorota; Nittler, Laurent; Syryanyy, Yevgen; Juha, Libor; Hájková, Věra; Vozda, Vojtěch; Burian, Tomáš; Saksl, Karel; Faatz, Bart; Keitel, Barbara; Plönjes, Elke; Schreiber, Siegfried; Toleikis, Sven; Loch, Rolf; Hermann, Martin; Strobel, Sebastian; Nienhuys, Han Kwang; Gwalt, Grzegorz; Mey, Tobias; Enkisch, Hartmut

    2018-01-01

    The durability of grazing- and normal-incidence optical coatings has been experimentally assessed under free-electron laser irradiation at various numbers of pulses up to 16 million shots and various fluence levels below 10% of the single-shot damage threshold. The experiment was performed at FLASH, the Free-electron LASer in Hamburg, using 13.5 nm extreme UV (EUV) radiation with 100 fs pulse duration. Polycrystalline ruthenium and amorphous carbon 50 nm thin films on silicon substrates were tested at total external reflection angles of 20° and 10° grazing incidence, respectively. Mo/Si periodical multilayer structures were tested in the Bragg reflection condition at 16° off-normal angle of incidence. The exposed areas were analysed post-mortem using differential contrast visible light microscopy, EUV reflectivity mapping and scanning X-ray photoelectron spectroscopy. The analysis revealed that Ru and Mo/Si coatings exposed to the highest dose and fluence level show a few per cent drop in their EUV reflectivity, which is explained by EUV-induced oxidation of the surface.

  17. Analysis of erythemally effective UV radiation at the Mendel Station, James Ross Island in the period of 2006-2007

    NASA Astrophysics Data System (ADS)

    Laska, K.; Prosek, P.; Budik, L.; Budikova, M.

    2009-04-01

    The results of global solar and erythemally effective ultraviolet (EUV) radiation measurements are presented. The radiation data were collected within the period of 2006-2007 at the Czech Antarctic station J. G. Mendel, James Ross Island (63°48'S, 57°53'W). Global solar radiation was measured by a Kipp&Zonen CM11 pyranometer. EUV radiation was measured according to the McKinley and Diffey Erythemal Action Spectrum with a Solar Light broadband UV-Biometer Model 501A. The effects of stratospheric ozone concentration and cloudiness (estimated as cloud impact factor from global solar radiation) on the intensity of incident EUV radiation were calculated by a non-linear regression model. The total ozone content (TOC) and cloud/surface reflectivity derived from satellite-based measurements were applied into the model for elimination of the uncertainties in measured ozone values. There were two input data of TOC used in the model. The first were taken from the Dobson spectrophotometer measurements (Argentinean Antarctic station Marambio), the second was acquired for geographical coordinates of the Mendel Station from the EOS Aura Ozone Monitoring Instrument and V8.5 algorithm. Analysis of measured EUV data showed that variable cloudiness affected rather short-term fluctuations of the radiation fluxes, while ozone declines caused long-term UV radiation increase in the second half of the year. The model predicted about 98 % variability of the measured EUV radiation. The residuals between measured and modeled EUV radiation intensities were evaluated separately for the above-specified two TOC datasets, parts of seasons and cloud impact factor (cloudiness). The mean average prediction error was used for model validation according to the cloud impact factor and satellite-based reflectivity data.

  18. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    NASA Astrophysics Data System (ADS)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  19. ON THE ABSENCE OF EUV EMISSION FROM COMET C/2012 S1 (ISON)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryans, Paul; Pesnell, W. Dean

    2016-05-10

    When the sungrazing comet C/2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun’s surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This “null result” is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. Bymore » comparing these properties with those of sungrazing comet C/2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C/2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C/2012 S1 (ISON) was at least a factor of four less than that of C/2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.« less

  20. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    NASA Astrophysics Data System (ADS)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  1. Photoluminescence emission spectra of Makrofol® DE 1-1 upon irradiation with ultraviolet radiation

    NASA Astrophysics Data System (ADS)

    El Ghazaly, M.; Aydarous, Abdulkadir

    Photoluminescence (PL) emission spectra of Makrofol® DE 1-1 (bisphenol-A based polycarbonate) upon irradiation with ultraviolet radiation of different wavelengths were investigated. The absorption-and attenuation coefficient measurements revealed that the Makrofol® DE 1-1 is characterized by high absorbance in the energy range 6.53-4.43 eV but for a lower energy than 4.43 eV, it is approximately transparent. Makrofol® DE 1-1 samples were irradiated with ultraviolet radiation of wavelength in the range from 250 (4.28 eV) to 400 (3.10 eV) nm in step of 10 nm and the corresponding photoluminescence (PL) emission spectra were measured with a spectrofluorometer. It is found that the integrated counts and the peak height of the photoluminescence emission (PL) bands are strongly correlated with the ultraviolet radiation wavelength. They are increased at the ultraviolet radiation wavelength 280 nm and have maximum at 290 nm, thereafter they decrease and diminish at 360 nm of ultraviolet wavelength. The position of the PL emission band peak was red shifted starting from 300 nm, which increased with the increase the ultraviolet radiation wavelength. The PL bandwidth increases linearly with the increase of the ultraviolet radiation wavelength. When Makrofol® DE 1-1 is irradiated with ultraviolet radiation of short wavelength (UVC), the photoluminescence emission spectra peaks also occur in the UVC but of a relatively longer wavelength. The current new findings should be considered carefully when using Makrofol® DE 1-1 in medical applications related to ultraviolet radiation.

  2. Elastomeric Seal Performance after Terrestrial Ultraviolet Radiation Exposure

    NASA Technical Reports Server (NTRS)

    Daniels, Christopher C.; Oravec, Heather A.; Mather, Janice L.; Taylor, Shawn C.; Dunlap, Patrick H.

    2015-01-01

    Ultraviolet radiation was evaluated to determine its negative effects on the performance of elastomeric gas pressure seals. The leak rates of the silicone elastomer S0383-70 O-ring test articles were used to quantify the degradation of the seals after exposure to vacuum-ultraviolet and/or middle-to-near-ultraviolet wavelength radiation. Three groups of seals were exposed in terrestrial facilities to 115-165 nm wavelength radiation, 230-500 nm wavelength radiation, or both spectrums, for an orbital spaceflight equivalent of 125 hours. The leak rates of the silicone elastomer S0383-70 seals were quantified and compared to samples that received no radiation. Each lot contained six samples and statistical t-tests were used to determine the separate and combined influences of exposure to the two wavelength ranges. A comparison of the mean leak rates of samples exposed to 115-165 nm wavelength radiation to the control specimens showed no difference, suggesting that spectrum was not damaging. The 230-500 nm wavelength appeared to be damaging, as the mean leak rates of the specimens exposed to that range of wavelengths, and those exposed to the combined 115-165 nm and 230-500 nm spectrums, were significantly different from the leak rates of the control specimens. Most importantly, the test articles exposed to both wavelength spectrums exhibited mean leak rates two orders of magnitude larger than any other exposed specimens, which suggested that both wavelength spectrums are important when simulating the orbital environment.

  3. Telescience - Concepts and contributions to the Extreme Ultraviolet Explorer mission

    NASA Technical Reports Server (NTRS)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-01-01

    It is shown how the contradictory goals of low-cost and fast data turnaround characterizing the Extreme Ultraviolet Explorer (EUVE) mission can be achieved via the early use of telescience style transparent tools and simulations. The use of transparent tools reduces the parallel development of capability while ensuring that valuable prelaunch experience is not lost in the operations phase. Efforts made to upgrade the 'EUVE electronics' simulator are described.

  4. Extreme ultraviolet reflectivity studies of gold on glass and metal substrates

    NASA Technical Reports Server (NTRS)

    Jelinsky, Sharon R.; Malina, Roger F.; Jelinsky, Patrick

    1988-01-01

    The paper reports measurements of the extreme ultraviolet reflectivity of gold from 44 to 920 A at grazing incidence. Gold was deposited using vacuum evaporation and electroplating on substrates of glass and polished nickel, respectively. Measurements are also presented of the extreme ultraviolet reflectivity of electroless nickel in the same wavelength region, where one of the polished nickel substrates was used as a sample. Derived optical constants for evaporated and electroplated gold and electroless nickel are presented. Additional studies of the effects of various contaminants on the EUV reflectivity are also reported. The variations of the optical constants are discussed in terms of density variations, surface roughness and contamination effects. These results ae reported as part of studies for the Extreme Ultraviolet Explorer satellite program to determine acceptance criteria for the EUV optics, contamination budgets and calibration plans.

  5. Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source

    NASA Astrophysics Data System (ADS)

    Inoue, T.; Nica, P. E.; Kaku, K.; Shimoura, A.; Amano, S.; Miyamoto, S.; Mochizuki, T.

    2006-03-01

    In this paper, characterizations of a cryogenic Xe capillary jet target for a laser-produced plasma extreme ultraviolet (EUV) light source are reported. The capillary jet target is a candidate of fast-supplying targets for mitigating debris generation and target consumption in a vacuum chamber without reducing the EUV conversion efficiency. Xe capillary jets (jet velocity ~ 0.4 m/s) were generated in vacuum by using annular nozzles chilled to ~ 170 K at a Xe backing pressure of ~ 0.7 MPa. Forming mechanisms of the capillary jet targets were studied by using numerical calculations. Furthermore, laser-produced plasma EUV generation was performed by irradiating a Nd:YAG laser (1064 nm, ~ 0.5 J, 10 ns, 120 μmφ, ~ 4×10 11 W/cm2) on a Xe capillary jet target (outer / inner diameter = 100 / 70 μmφ). The angular distribution of EUV generation was approximately uniform around the Xe capillary jet target, and the peak kinetic energy of the fast-ions was evaluated to be ~ 2 keV.

  6. Probing the Production of Extreme-ultraviolet Late-phase Solar Flares Using the Model Enthalpy-based Thermal Evolution of Loops

    NASA Astrophysics Data System (ADS)

    Dai, Yu; Ding, Mingde

    2018-04-01

    Recent observations in extreme-ultraviolet (EUV) wavelengths reveal an EUV late phase in some solar flares that is characterized by a second peak in warm coronal emissions (∼3 MK) several tens of minutes to a few hours after the soft X-ray (SXR) peak. Using the model enthalpy-based thermal evolution of loops (EBTEL), we numerically probe the production of EUV late-phase solar flares. Starting from two main mechanisms of producing the EUV late phase, i.e., long-lasting cooling and secondary heating, we carry out two groups of numerical experiments to study the effects of these two processes on the emission characteristics in late-phase loops. In either of the two processes an EUV late-phase solar flare that conforms to the observational criteria can be numerically synthesized. However, the underlying hydrodynamic and thermodynamic evolutions in late-phase loops are different between the two synthetic flare cases. The late-phase peak due to a long-lasting cooling process always occurs during the radiative cooling phase, while that powered by a secondary heating is more likely to take place in the conductive cooling phase. We then propose a new method for diagnosing the two mechanisms based on the shape of EUV late-phase light curves. Moreover, from the partition of energy input, we discuss why most solar flares are not EUV late flares. Finally, by addressing some other factors that may potentially affect the loop emissions, we also discuss why the EUV late phase is mainly observed in warm coronal emissions.

  7. A battery power model for the EUVE spacecraft

    NASA Technical Reports Server (NTRS)

    Yen, Wen L.; Littlefield, Ronald G.; Mclean, David R.; Tuchman, Alan; Broseghini, Todd A.; Page, Brenda J.

    1993-01-01

    This paper describes a battery power model that has been developed to simulate and predict the behavior of the 50 ampere-hour nickel-cadmium battery that supports the Extreme Ultraviolet Explorer (EUVE) spacecraft in its low Earth orbit. First, for given orbit, attitude, solar array panel and spacecraft load data, the model calculates minute-by-minute values for the net power available for charging the battery for a user-specified time period (usually about two weeks). Next, the model is used to calculate minute-by-minute values for the battery voltage, current and state-of-charge for the time period. The model's calculations are explained for its three phases: sunrise charging phase, constant voltage phase, and discharge phase. A comparison of predicted model values for voltage, current and state-of-charge with telemetry data for a complete charge-discharge cycle shows good correlation. This C-based computer model will be used by the EUVE Flight Operations Team for various 'what-if' scheduling analyses.

  8. Photosynthetic carbon reduction by seagrasses exposed to ultraviolet A radiation

    NASA Technical Reports Server (NTRS)

    1979-01-01

    The seagrasses Halophila engelmannii, Halodule wrightii, and Syringodium filiforme were examined for their intrinsic sensitivity to ultraviolet-A-UV-A and ultraviolet-B-UV-B radiation. The effect of UV-A on photosynthetically active radiation (PAR) was also determined. Ultraviolet-A and ultraviolet-B were studied with emphasis on the greater respective environmental consequence in terms of seagrass distribution and abundance. Results indicate that an intrinsic sensitivity to UV-A alone is apparent only in Halophila, while net photosynthesis in Halodule and Syringodium seems unaffected by the level of UV-A provided. The sensitivity of Halophila to UV-A in the absense of (PAR) indicates that the photosynthetic reaction does not need to be in operation for damage to occur. Other significant results are reported.

  9. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  10. It's Time For A New EUV Mission

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wood, K. S.; Barstow, M. A.; Cruddace, R. G.

    2010-01-01

    The J-PEX high-resolution EUV spectrometer has made a breakthrough in capability with an effective area of 7 cm2 (220-245 Å) and resolving power of 4000, which exceed EUVE by factors of 7 and 20 respectively, and cover a range beyond the 170-Å cutoff of the Chandra LETG. The EUV includes critical spectral features containing diagnostic information often not available at other wavelengths (e.g., He II Ly series), and the bulk of radiation from million degree plasmas is emitted in the EUV. Such plasmas are ubiquitous, and examples include the atmospheres of white dwarfs; accretion phenomena in young stars, CVs and AGN; stellar coronae; and the ISM of our own galaxy and of others. However, sensitive EUV spectroscopy of high resolving power is required to resolve source spectral lines and edges unambiguously, to identify features produced by the intervening ISM, and to measure line profiles and Doppler shifts. This allows exploitation of the full range of plasma diagnostic techniques developed in laboratory and solar physics. J-PEX has flown twice on NASA sounding rockets. In 2001 we observed the isolated white dwarf G191-B2B and detected both ISM and photospheric lines. In 2008 we successfully observed the binary white dwarf Feige 24, but observation time is severely limited with sounding rockets. NASA has approved no new EUV mission, but it is time for one. Here we describe the scientific case for high-resolution EUV spectroscopy, summarize the technology that makes such measurements practical, and present a concept for a 3-month orbital mission, in which J-PEX is modified for a low-cost orbital mission to acquire sensitive high-resolution spectra for 30 white dwarfs, making an important contribution to the study of white dwarf evolution and hence the chemical balance of the Galaxy, and to the understanding of structure in the LISM.

  11. EUV Waves Driven by the Sudden Expansion of Transequatorial Loops Caused by Coronal Jets

    NASA Astrophysics Data System (ADS)

    Shen, Yuandeng; Tang, Zehao; Miao, Yuhu; Su, Jiangtao; Liu, Yu

    2018-06-01

    We present two events to study the driving mechanism of extreme-ultraviolet (EUV) waves that are not associated with coronal mass ejections (CMEs), by using high-resolution observations taken by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Observational results indicate that the observed EUV waves were accompanied by flares and coronal jets, but not the CMEs that were regarded as drivers of most EUV waves in previous studies. In the first case, it is observed that a coronal jet is ejected along a transequatorial loop system at a plane-of-the-sky (POS) speed of 335 ± 22 km s{}-1; in the meantime, an arc-shaped EUV wave appeared on the eastern side of the loop system. In addition, the EUV wave further interacted with another interconnecting loop system and launched a fast propagating (QFP) magnetosonic wave along the loop system, which had a period of 200 s and a speed of 388 ± 65 km s{}-1, respectively. In the second case, we observed a coronal jet that ejected at a POS speed of 282 ± 44 km s{}-1 along a transequatorial loop system as well as the generation of bright EUV waves on the eastern side of the loop system. Based on the observational results, we propose that the observed EUV waves on the eastern side of the transequatorial loop systems are fast-mode magnetosonic waves and that they are driven by the sudden lateral expansion of the transequatorial loop systems due to the direct impingement of the associated coronal jets, while the QFP wave in the fist case formed due to the dispersive evolution of the disturbance caused by the interaction between the EUV wave and the interconnecting coronal loops. It is noted that EUV waves driven by sudden loop expansions have shorter lifetimes than those driven by CMEs.

  12. Erosion resistant nozzles for laser plasma extreme ultraviolet (EUV) sources

    DOEpatents

    Kubiak, Glenn D.; Bernardez, II, Luis J.

    2000-01-04

    A gas nozzle having an increased resistance to erosion from energetic plasma particles generated by laser plasma sources. By reducing the area of the plasma-facing portion of the nozzle below a critical dimension and fabricating the nozzle from a material that has a high EUV transmission as well as a low sputtering coefficient such as Be, C, or Si, it has been shown that a significant reduction in reflectance loss of nearby optical components can be achieved even after exposing the nozzle to at least 10.sup.7 Xe plasma pulses.

  13. Thermal Evolution and Radiative Output of Solar Flares Observed by the EUV Variability Experiment (EVE)

    NASA Technical Reports Server (NTRS)

    Chamberlin, P. C.; Milligan, R. O.; Woods, T. N.

    2012-01-01

    This paper describes the methods used to obtain the thermal evolution and radiative output during solar flares as observed by the Extreme u ltraviolet Variability Experiment (EVE) onboard the Solar Dynamics Ob servatory (SDO). Presented and discussed in detail are how EVE measur ements, due to its temporal cadence, spectral resolution and spectral range, can be used to determine how the thermal plasma radiates at v arious temperatures throughout the impulsive and gradual phase of fla res. EVE can very accurately determine the radiative output of flares due to pre- and in-flight calibrations. Events are presented that sh ow the total radiated output of flares depends more on the flare duration than the typical GOES X-ray peak magnitude classification. With S DO observing every flare throughout its entire duration and over a la rge temperature range, new insights into flare heating and cooling as well as the radiative energy release in EUV wavelengths support exis ting research into understanding the evolution of solar flares.

  14. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    NASA Astrophysics Data System (ADS)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  15. Ultraviolet radiation, human health, and the urban forest

    Treesearch

    Gordon M. Heisler; Richard H. Grant

    2000-01-01

    Excess exposure to ultraviolet (UV) radiation from the sun, particularly the ultraviolet B (UVB) portion, has been linked with adverse effects on human health ranging from skin cancers to eye diseases such as cataracts. Trees may prevent even greater disease rates in humans by reducing UV exposure. Tree shade greatly reduces UV irradiance when both the sun and sky are...

  16. Manufacturability improvements in EUV resist processing toward NXE:3300 processing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Shimoaoki, Takeshi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie; Shimura, Satoru

    2014-03-01

    As the design rule of semiconductor process gets finer, extreme ultraviolet lithography (EUVL) technology is aggressively studied as a process for 22nm half pitch and beyond. At present, the studies for EUV focus on manufacturability. It requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. In the first half of 2013, a CLEAN TRACKTM LITHIUS ProTMZ-EUV was installed at imec for POR development in preparation of the ASML NXE:3300. This next generation coating/developing system is equipped with state of the art defect reduction technology. This tool with advanced functions can achieve low defect levels. This paper reports on the progress towards manufacturing defectivity levels and latest optimizations towards the NXE:3300 POR for both lines/spaces and contact holes at imec.

  17. Solar ultraviolet radiation from cancer induction to cancer prevention: solar ultraviolet radiation and cell biology.

    PubMed

    Tuorkey, Muobarak J

    2015-09-01

    Although decades have elapsed, researchers still debate the benefits and hazards of solar ultraviolet radiation (UVR) exposure. On the one hand, humans derive most of their serum 25-hydroxycholecalciferol [25(OH)D3], which has potent anticancer activity, from solar UVB radiation. On the other hand, people are more aware of the risk of cancer incidence associated with harmful levels of solar UVR from daily sunlight exposure. Epidemiological data strongly implicate UV radiation exposure as a major cause of melanoma and other cancers, as UVR promotes mutations in oncogenes and tumor-suppressor genes. This review highlights the impact of the different mutagenic effects of solar UVR, along with the cellular and carcinogenic challenges with respect to sun exposure.

  18. The first Extreme Ultraviolet Explorer source catalog

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Lieu, R.; Lampton, M.; Lewis, J.; Wu, X.; Drake, J. J.; Malina, R. F.

    1994-01-01

    The Extreme Ultraviolet Explorer (EUVE) has conducted an all-sky survey to locate and identify point sources of emission in four extreme ultraviolet wavelength bands centered at approximately 100, 200, 400, and 600 A. A companion deep survey of a strip along half the ecliptic plane was simultaneously conducted. In this catalog we report the sources found in these surveys using rigorously defined criteria uniformly applied to the data set. These are the first surveys to be made in the three longer wavelength bands, and a substantial number of sources were detected in these bands. We present a number of statistical diagnostics of the surveys, including their source counts, their sensitivites, and their positional error distributions. We provide a separate list of those sources reported in the EUVE Bright Source List which did not meet our criteria for inclusion in our primary list. We also provide improved count rate and position estimates for a majority of these sources based on the improved methodology used in this paper. In total, this catalog lists a total of 410 point sources, of which 372 have plausible optical ultraviolet, or X-ray identifications, which are also listed.

  19. Effects of ultraviolet radiation on lattice imperfections in pyrolytic boron nitride.

    NASA Technical Reports Server (NTRS)

    Buckley, J. D.; Cooley, J. A.

    1971-01-01

    Pyrolitic boron nitride was exposed to 310 equivalent sun hours of ultraviolet radiation in a space environment simulator with the objective to evaluate its applicability as a pigment for a thermal control coating and to identify radiation damage using X-ray diffraction techniques. Lattice parameter comparisons show a definite increase in lattice imperfections in the crystal structure resulting from the ultraviolet irradiation. This sensitivity to radiation damage makes pyrolitic boron nitride unsuitable as a pigment for thermal control coating.

  20. Spherical EUV and Plasma Spectrometer (seps) -a Monitor to Measure the Plasma and EUV Environment in Space

    NASA Astrophysics Data System (ADS)

    Brunner, Raimund; Schmidtke, Gerhard; Konz, Werner; Pfeffer, Wilfried

    A low-cost monitor to measure the EUV and plasma environment in space is presented. The device consists of three (or more) isolated spheres, a metallic sphere, one or more highly trans-parent Inner Grids and Outer Grids. Each one is being connected to a sensitive floating elec-trometer. By setting different potentials to the grids as well as to the sphere and varying one or more of their voltages, measurements of spectral solar EUV irradiance (15-200 nm), of local plasma parameters such as electron and ion densities, electron energies and temperatures as well as ion compositions and debris events can be derived from the current recordings. This detector does not require any (solar) pointing device. The primary goal is to study the impact of solar activity events (e.g. CMEs) as well as subsequent reactions of the ionospheric/thermospheric systems (including space weather occurences). The capability of SEPS for measuring EUV pho-ton fluxes as well as plasma parameters in the energy range from 0 to +/-70 eV is demonstrated by laboratory measurements as performed in the IPM laboratory, at BESSY-PTB electron syn-chrotron in Berlin and at ESA/ESTEC plasma chamber. Based on the laboratory recording of plasma recombination EUV emission the sensor is suitable to detect also auroral and airglow radiations. -The state of the art in the development of this device is reported.

  1. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NASA Astrophysics Data System (ADS)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  2. The evaluation of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.

    1987-01-01

    A high-efficiency, extreme ultraviolet (EUV) imaging spectrometer is constructed and tested. The spectrometer employs a concave toroidal grating illuminated at normal incidence in a Rowland circle mounting and has only one reflecting surface. The toroidal grating has been fabricated by a new technique employing an elastically-deformable sub-master grating replicated in a spherical form and then mechanically distorted to produce the desired aspect ratio of the toroidal surface for stigmatic imaging over the selected wavelength range. The fixed toroidal grating used in the spectrometer is then replicated from this surface. Photographic tests and initial photoelectric tests with a two-dimensional, pulse-counting detector system verify the image quality of the toroidal grating at wavelengths near 600 A. The results of these tests and the basic designs of two instruments which could employ the imaging spectrometer for astrophysical investigations in space are described; i.e., a high-resolution EUV spectroheliometer for studies of the solar chromosphere, transition region, and corona; and an EUV spectroscopic telescope for studies of non-solar objects.

  3. Extreme ultraviolet photoionization of aldoses and ketoses

    NASA Astrophysics Data System (ADS)

    Shin, Joong-Won; Dong, Feng; Grisham, Michael E.; Rocca, Jorge J.; Bernstein, Elliot R.

    2011-04-01

    Gas phase monosaccharides (2-deoxyribose, ribose, arabinose, xylose, lyxose, glucose galactose, fructose, and tagatose), generated by laser desorption of solid sample pellets, are ionized with extreme ultraviolet photons (EUV, 46.9 nm, 26.44 eV). The resulting fragment ions are analyzed using a time of flight mass spectrometer. All aldoses yield identical fragment ions regardless of size, and ketoses, while also generating same ions as aldoses, yields additional features. Extensive fragmentation of the monosaccharides is the result the EUV photons ionizing various inner valence orbitals. The observed fragmentation patterns are not dependent upon hydrogen bonding structure or OH group orientation.

  4. Ultraviolet laser beam monitor using radiation responsive crystals

    DOEpatents

    McCann, Michael P.; Chen, Chung H.

    1988-01-01

    An apparatus and method for monitoring an ultraviolet laser beam includes disposing in the path of an ultraviolet laser beam a substantially transparent crystal that will produce a color pattern in response to ultraviolet radiation. The crystal is exposed to the ultraviolet laser beam and a color pattern is produced within the crystal corresponding to the laser beam intensity distribution therein. The crystal is then exposed to visible light, and the color pattern is observed by means of the visible light to determine the characteristics of the laser beam that passed through crystal. In this manner, a perpendicular cross sectional intensity profile and a longitudinal intensity profile of the ultraviolet laser beam may be determined. The observation of the color pattern may be made with forward or back scattered light and may be made with the naked eye or with optical systems such as microscopes and television cameras.

  5. GOES-R SUVI EUV Flatfields Generated Using Boustrophedon Scans

    NASA Astrophysics Data System (ADS)

    Shing, L.; Edwards, C.; Mathur, D.; Vasudevan, G.; Shaw, M.; Nwachuku, C.

    2017-12-01

    The Solar Ultraviolet Imager (SUVI) is mounted on the Solar Pointing Platform (SPP) of the Geostationary Operational Environmental Satellite, GOES-R. SUVI is a Generalized Cassegrain telescope with a large field of view that employs multilayer coatings optimized to operate in six extreme ultraviolet (EUV) narrow bandpasses centered at 9.4, 13.1, 17.1, 19.5, 28.4 and 30.4 nm. The SUVI CCD flatfield response was determined using two different techniques; The Kuhn-Lin-Lorentz (KLL) Raster and a new technique called, Dynamic Boustrophedon Scans. The new technique requires less time to collect the data and is also less sensitive to Solar features compared with the KLL method. This paper presents the flatfield results of the SUVI using this technique during Post Launch Testing (PLT).

  6. The effect of prescription eyewear on ocular exposure to ultraviolet radiation.

    PubMed Central

    Rosenthal, F S; Bakalian, A E; Taylor, H R

    1986-01-01

    Several studies have suggested that ultraviolet radiation in sunlight may cause cataracts and other eye disease. We evaluated the effect of prescription eyewear in attenuating ocular exposure to ultraviolet radiation (UVR) in the sunlight portions of the ultraviolet spectrum (295-350 nm). Using natural sunlight as the source, the attenuation was measured with two ultraviolet detectors, one sensitive to only UVB (295-315 nm) and one sensitive to both UVA and UVB (295-350 nm). A random sample of spectacles, spectacle lenses, and contact lenses was examined. The average transmission, as measured with either detector, was highest for soft contact lenses, followed by glass spectacle lenses, untinted hard contact lenses, and plastic spectacle lenses. Measurements performed with mannikins wearing spectacles showed that an average of 6.6 per cent of incident radiation reached the eye even when the lenses were covered with black opaque tape. The amount of exposure was increased substantially when the spectacles were moved 0.6 cm away from the forehead. The results show that the protection against ultraviolet exposure provided by prescription eyewear is highly variable and depends largely on its composition, size, and wearing position. PMID:3752323

  7. Solar ultraviolet radiation cataract.

    PubMed

    Löfgren, Stefan

    2017-03-01

    Despite being a treatable disease, cataract is still the leading cause for blindness in the world. Solar ultraviolet radiation is epidemiologically linked to cataract development, while animal and in vitro studies prove a causal relationship. However, the pathogenetic pathways for the disease are not fully understood and there is still no perfect model for human age related cataract. This non-comprehensive overview focus on recent developments regarding effects of solar UV radiation wavebands on the lens. A smaller number of fundamental papers are also included to provide a backdrop for the overview. Future studies are expected to further clarify the cellular and subcellular mechanisms for UV radiation-induced cataract and especially the isolated or combined temporal and spatial effects of UVA and UVB in the pathogenesis of human cataract. Regardless of the cause for cataract, there is a need for advances in pharmaceutical or other treatment modalities that do not require surgical replacement of the lens. Copyright © 2016. Published by Elsevier Ltd.

  8. Next generation of Z* modelling tool for high intensity EUV and soft x-ray plasma sources simulations

    NASA Astrophysics Data System (ADS)

    Zakharov, S. V.; Zakharov, V. S.; Choi, P.; Krukovskiy, A. Y.; Novikov, V. G.; Solomyannaya, A. D.; Berezin, A. V.; Vorontsov, A. S.; Markov, M. B.; Parot'kin, S. V.

    2011-04-01

    In the specifications for EUV sources, high EUV power at IF for lithography HVM and very high brightness for actinic mask and in-situ inspections are required. In practice, the non-equilibrium plasma dynamics and self-absorption of radiation limit the in-band radiance of the plasma and the usable radiation power of a conventional single unit EUV source. A new generation of the computational code Z* is currently developed under international collaboration in the frames of FP7 IAPP project FIRE for modelling of multi-physics phenomena in radiation plasma sources, particularly for EUVL. The radiation plasma dynamics, the spectral effects of self-absorption in LPP and DPP and resulting Conversion Efficiencies are considered. The generation of fast electrons, ions and neutrals is discussed. Conditions for the enhanced radiance of highly ionized plasma in the presence of fast electrons are evaluated. The modelling results are guiding a new generation of EUV sources being developed at Nano-UV, based on spatial/temporal multiplexing of individual high brightness units, to deliver the requisite brightness and power for both lithography HVM and actinic metrology applications.

  9. SDO/AIA AND HINODE/EIS OBSERVATIONS OF INTERACTION BETWEEN AN EUV WAVE AND ACTIVE REGION LOOPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Liheng; Zhang, Jun; Li, Ting

    2013-09-20

    We present detailed analysis of an extreme-ultraviolet (EUV) wave and its interaction with active region (AR) loops observed by the Solar Dynamics Observatory/Atmospheric Imaging Assembly and the Hinode EUV Imaging Spectrometer (EIS). This wave was initiated from AR 11261 on 2011 August 4 and propagated at velocities of 430-910 km s{sup –1}. It was observed to traverse another AR and cross over a filament channel on its path. The EUV wave perturbed neighboring AR loops and excited a disturbance that propagated toward the footpoints of these loops. EIS observations of AR loops revealed that at the time of the wavemore » transit, the original redshift increased by about 3 km s{sup –1}, while the original blueshift decreased slightly. After the wave transit, these changes were reversed. When the EUV wave arrived at the boundary of a polar coronal hole, two reflected waves were successively produced and part of them propagated above the solar limb. The first reflected wave above the solar limb encountered a large-scale loop system on its path, and a secondary wave rapidly emerged 144 Mm ahead of it at a higher speed. These findings can be explained in the framework of a fast-mode magnetosonic wave interpretation for EUV waves, in which observed EUV waves are generated by expanding coronal mass ejections.« less

  10. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    NASA Astrophysics Data System (ADS)

    Borisov, V. M.; Vinokhodov, A. Yu; Ivanov, A. S.; Kiryukhin, Yu B.; Mishchenko, V. A.; Prokof'ev, A. V.; Khristoforov, O. B.

    2009-10-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz.

  11. Well-defined EUV wave associated with a CME-driven shock

    NASA Astrophysics Data System (ADS)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  12. Stability and imaging of the ASML EUV alpha demo tool

    NASA Astrophysics Data System (ADS)

    Hermans, Jan V.; Baudemprez, Bart; Lorusso, Gian; Hendrickx, Eric; van Dijk, Andre; Jonckheere, Rik; Goethals, Anne-Marie

    2009-03-01

    Extreme Ultra-Violet (EUV) lithography is the leading candidate for semiconductor manufacturing of the 22nm technology node and beyond, due to the very short wavelength of 13.5nm. However, reducing the wavelength adds complexity to the lithographic process. The impact of the EUV specific conditions on lithographic performance needs to be understood, before bringing EUV lithography into pre-production. To provide early learning on EUV, an EUV fullfield scanner, the Alpha Demo Tool (ADT) from ASML was installed at IMEC, using a Numerical Aperture (NA) of 0.25. In this paper we report on different aspects of the ADT: the imaging and overlay performance and both short and long-term stability. For 40nm dense Lines-Spaces (LS), the ADT shows an across field overlapping process window of 270nm Depth Of Focus (DOF) at 10% Exposure Latitude (EL) and a wafer CD Uniformity (CDU) of 3nm 3σ, without any corrections for process or reticle. The wafer CDU is correlated to different factors that are known to influence the CD fingerprint from traditional lithography: slit intensity uniformity, focus plane deviation and reticle CD error. Taking these contributions into account, the CD through slit fingerprint for 40nm LS is simulated with excellent agreement to experimental data. The ADT shows good CD stability over 9 months of operation, both intrafield and across wafer. The projection optics reflectivity has not degraded over 9 months. Measured overlay performance with respect to a dry tool shows |Mean|+3σ below 20nm with more correction potential by applying field-by-field corrections (|Mean|+3σ <=10nm). For 22nm SRAM application, both contact hole and metal layer were printed in EUV with 10% CD and 15nm overlay control. Below 40nm, the ADT shows good wafer CDU for 30nm dense and isolated lines (on the same wafer) and 38nm dense Contact Holes (CH). First 28nm dense line CDU data are achieved. The results indicate that the ADT can be used effectively for EUV process development

  13. A volume-limited ROSAT survey of extreme ultraviolet emission from all nondegenerate stars within 10 parsecs

    NASA Technical Reports Server (NTRS)

    Wood, Brian E.; Brown, Alexander; Linsky, Jeffrey L.; Kellett, Barry J.; Bromage, Gordon E.; Hodgkin, Simon T.; Pye, John P.

    1994-01-01

    We report the results of a volume-limited ROSAT Wide Field Camera (WFC) survey of all nondegenerate stars within 10 pc. Of the 220 known star systems within 10 pc, we find that 41 are positive detections in at least one of the two WFC filter bandpasses (S1 and S2), while we consider another 14 to be marginal detections. We compute X-ray luminosities for the WFC detections using Einstein Imaging Proportional Counter (IPC) data, and these IPC luminosities are discussed along with the WFC luminosities throughout the paper for purposes of comparison. Extreme ultraviolet (EUV) luminosity functions are computed for single stars of different spectral types using both S1 and S2 luminosities, and these luminosity functions are compared with X-ray luminosity functions derived by previous authors using IPC data. We also analyze the S1 and S2 luminosity functions of the binary stars within 10 pc. We find that most stars in binary systems do not emit EUV radiation at levels different from those of single stars, but there may be a few EUV-luminous multiple-star systems which emit excess EUV radiation due to some effect of binarity. In general, the ratio of X-ray luminosity to EUV luminosity increases with increasing coronal emission, suggesting that coronally active stars have higher coronal temperatures. We find that our S1, S2, and IPC luminosities are well correlated with rotational velocity, and we compare activity-rotation relations determined using these different luminosities. Late M stars are found to be significantly less luminous in the EUV than other late-type stars. The most natural explanation for this results is the concept of coronal saturation -- the idea that late-type stars can emit only a limited fraction of their total luminosity in X-ray and EUV radiation, which means stars with very low bolometric luminosities must have relatively low X-ray and EUV luminosities as well. The maximum level of coronal emission from stars with earlier spectral types is studied

  14. Theoretical modeling of PEB procedure on EUV resist using FDM formulation

    NASA Astrophysics Data System (ADS)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2018-03-01

    Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick's second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.

  15. Broadband extreme ultraviolet probing of transient gratings in vanadium dioxide

    DOE PAGES

    Sistrunk, Emily; Grilj, Jakob; Jeong, Jaewoo; ...

    2015-02-11

    Nonlinear spectroscopy in the extreme ultraviolet (EUV) and soft x-ray spectral range offers the opportunity for element selective probing of ultrafast dynamics using core-valence transitions (Mukamel et al., Acc. Chem. Res. 42, 553 (2009)). The study demonstrate a step on this path showing core-valence sensitivity in transient grating spectroscopy with EUV probing. We study the optically induced insulator-to-metal transition (IMT) of a VO 2 film with EUV diffraction from the optically excited sample. The VO 2 exhibits a change in the 3p-3d resonance of V accompanied by an acoustic response. Due to the broadband probing we are able to separatemore » the two features.« less

  16. High-resolution measurements in the EUV on NSTX

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Bitter, M.; Lepson, J. K.; Gu, M.-F.

    2005-10-01

    The extreme ultraviolet (EUV) wavelength band is rich in lines useful as plasma diagnostics. This fact is being used by the Chandra and XMM-Newton satellites for studying stellar coronae and galactic nuclei. We have installed a new grating spectrometer on the NSTX tokamak that allows us to study emission lines in the EUV with similar spectral resolution. We have observed the K-shell lines of heliumlike and hydrogenlike boron, carbon, and oxygen. Moreover, we have measured the L-shell spectra of neonlike Ar, Fe, and Ni. All elements except argon were intrinsic to NSTX plasmas. Many of these spectra are of great interest to astrophysics. Our measurements provide line lists and calibrate density-sensitive line ratios in a density regime not accessible by other laboratory sources. Moreover, we were able to measure the temperature dependence of several iron lines needed to address puzzling results from stellar flare plasmas. This work was performed under the auspices of the U.S. DOE by UC-LLNL under contract W-7405-Eng-48 and by PPPL under contract DE-AC02-76CHO3073.

  17. DEMONSTRATION BULLETIN - ULTROX INTERNATIONAL, INC. ULTRAVIOLET RADIATION AND OXIDATION

    EPA Science Inventory

    The ultraviolet (UV) radiation/oxidation treatment technology developed by Ultrox International uses a combination of UV radiation, ozone, and hydrogen peroxide to oxidize organic compounds in water. Various operating parameters can be adjusted in the Ultrox® system to enhan...

  18. Bottom Extreme-Ultraviolet-Sensitive Coating for Evaluation of the Absorption Coefficient of Ultrathin Film

    NASA Astrophysics Data System (ADS)

    Hijikata, Hayato; Kozawa, Takahiro; Tagawa, Seiichi; Takei, Satoshi

    2009-06-01

    A bottom extreme-ultraviolet-sensitive coating (BESC) for evaluation of the absorption coefficients of ultrathin films such as extreme ultraviolet (EUV) resists was developed. This coating consists of a polymer, crosslinker, acid generator, and acid-responsive chromic dye and is formed by a conventional spin-coating method. By heating the film after spin-coating, a crosslinking reaction is induced and the coating becomes insoluble. A typical resist solution can be spin-coated on a substrate covered with the coating film. The evaluation of the linear absorption coefficients of polymer films was demonstrated by measuring the EUV absorption of BESC substrates on which various polymers were spin-coated.

  19. A Change in the Solar He II EUV Global Network Structure as an Indicator of the Geo-Effectiveness of Solar Minima

    NASA Technical Reports Server (NTRS)

    Didkovsky, L.; Gurman, J. B.

    2013-01-01

    Solar activity during 2007 - 2009 was very low, causing anomalously low thermospheric density. A comparison of solar extreme ultraviolet (EUV) irradiance in the He II spectral band (26 to 34 nm) from the Solar Extreme ultraviolet Monitor (SEM), one of instruments on the Charge Element and Isotope Analysis System (CELIAS) on board the Solar and Heliospheric Observatory (SOHO) for the two latest solar minima showed a decrease of the absolute irradiance of about 15 +/- 6 % during the solar minimum between Cycles 23 and 24 compared with the Cycle 22/23 minimum when a yearly running-mean filter was used. We found that some local, shorter-term minima including those with the same absolute EUV flux in the SEM spectral band show a higher concentration of spatial power in the global network structure from the 30.4 nm SOHO/Extreme ultraviolet Imaging Telescope (EIT) images for the local minimum of 1996 compared with the minima of 2008 - 2011.We interpret this higher concentration of spatial power in the transition region's global network structure as a larger number of larger-area features on the solar disk. These changes in the global network structure during solar minima may characterize, in part, the geo-effectiveness of the solar He II EUV irradiance in addition to the estimations based on its absolute levels.

  20. Cloud effects on middle ultraviolet global radiation

    NASA Technical Reports Server (NTRS)

    Borkowski, J.; Chai, A.-T.; Mo, T.; Green, A. E. O.

    1977-01-01

    An Eppley radiometer and a Robertson-Berger sunburn meter are employed along with an all-sky camera setup to study cloud effects on middle ultraviolet global radiation at the ground level. Semiempirical equations to allow for cloud effects presented in previous work are compared with the experimental data. The study suggests a means of defining eigenvectors of cloud patterns and correlating them with the radiation at the ground level.

  1. Vacuum and ultraviolet radiation effects on binders and pigments for spacecraft thermal control coatings

    NASA Technical Reports Server (NTRS)

    Progar, D. J.; Wade, W. R.

    1971-01-01

    An evaluation of several silicone resin binders and powdered inorganic pigments for potential use in spacecraft thermal-control paint formulations is presented. The pigments were selected on the basis of a hypothesis relating the heat of formation of a compound to the compound's resistance to ultra-radiation-induced degradation. Reflectance measurements were made in situ to determine degradation rates due to ultraviolet radiation. The tested polydimethylsiloxane resins were not significantly affected by long exposures to ultraviolet radiation. All the pigments, which were dispersed in a polydimethylsiloxane resin, were degraded by ultraviolet radiation as determined by an increase of solar absorptance. For the materials evaluated in this study, no evidence was found to indicate that pigments with high heats of formation were resistant to ultraviolet degradation.

  2. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    NASA Astrophysics Data System (ADS)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  3. Characteristics of extreme ultraviolet emission from high-Z plasmas

    NASA Astrophysics Data System (ADS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  4. Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography

    NASA Astrophysics Data System (ADS)

    Singh, Vikram; Satyanarayana, Vardhineedi Sri Venkata; Batina, Nikola; Reyes, Israel Morales; Sharma, Satinder K.; Kessler, Felipe; Scheffer, Francine R.; Weibel, Daniel E.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2014-10-01

    Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising next-generation lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ/cm2. The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.

  5. The Extreme Ultraviolet Explorer mission

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Battel, S. J.

    1989-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission will be the first user of NASA's new Explorer platform. The instrumentation included on this mission consists of three grazing incidence scanning telescopes, a deep survey instrument and an EUV spectrometer. The bandpass covered is 80 to 900 A. During the first six months of the mission, the scanning telescopes will be used to make all-sky maps in four bandpasses; astronomical sources wil be detected and their positions determined to an accuracy of 0.1 deg. The deep survey instrument will survey the sky with higher sensitivity along the ecliptic in two bandpasses between 80 and 500 A. Engineering and design aspects of the science payload and features of the instrument design are described.

  6. Solar ultraviolet radiation in a changing climate

    EPA Science Inventory

    The projected large increases in damaging ultraviolet radiation as a result of global emissions of ozone-depleting substances have been forestalled by the success of the Montreal Protocol. New challenges are now arising in relation to climate change. We highlight the complex inte...

  7. Characterization of extreme ultraviolet laser ablation mass spectrometry for actinide trace analysis and nanoscale isotopic imaging

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Green, Tyler; Kuznetsov, Ilya; Willingham, David

    The purpose of this research was to characterize Extreme Ultraviolet Time-of-Flight (EUV TOF) Laser Ablation Mass Spectrometry for high spatial resolution elemental and isotopic analysis. We compare EUV TOF results with Secondary Ionization Mass Spectrometry (SIMS) to orient the EUV TOF method within the overall field of analytical mass spectrometry. Using the well-characterized NIST 61x glasses, we show that the EUV ionization approach produces relatively few molecular ion interferences in comparison to TOF SIMS. We demonstrate that the ratio of element ion to element oxide ion is adjustable with EUV laser pulse energy and that the EUV TOF instrument hasmore » a sample utilization efficiency of 0.014%. The EUV TOF system also achieves a lateral resolution of 80 nm and we demonstrate this lateral resolution with isotopic imaging of closely spaced particles or uranium isotopic standard materials.« less

  8. Data indexing techniques for the EUVE all-sky survey

    NASA Technical Reports Server (NTRS)

    Lewis, J.; Saba, V.; Dobson, C.

    1992-01-01

    This poster describes techniques developed for manipulating large full-sky data sets for the Extreme Ultraviolet Explorer project. The authors have adapted the quatrilateralized cubic sphere indexing algorithm to allow us to efficiently store and process several types of large data sets, such as full-sky maps of photon counts, exposure time, and count rates. A variation of this scheme is used to index sparser data such as individual photon events and viewing times for selected areas of the sky, which are eventually used to create EUVE source catalogs.

  9. Modeling of thermomechanical changes of extreme-ultraviolet mask and their dependence on absorber variation

    NASA Astrophysics Data System (ADS)

    Ban, Chung-Hyun; Park, Eun-Sang; Park, Jae-Hun; Oh, Hye-Keun

    2018-06-01

    Thermal and structural deformation of extreme-ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness requirements. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the masks rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. The use of very thick low-thermal-expansion substrate materials (LTEMs) may reduce energy absorption, but they do not completely eliminate mask deformation. Therefore, it is necessary to predict and optimize the effects of energy transferred from the extreme-ultraviolet (EUV) light source and the resultant patterns of structured EUV masks with complex multilayers. Our study shows that heat accumulates in the masks as exposure progresses. It has been found that a higher absorber ratio (pattern density) applied to the patterning of EUV masks exacerbates the problem, especially in masks with more complex patterns.

  10. Assessment of the impact of increased solar ultraviolet radiation upon marine ecosystems

    NASA Technical Reports Server (NTRS)

    Worrest, R. C.; Vandyke, H.

    1978-01-01

    Reduction of the earth's ozone layer, with a resultant increase in transmission of solar ultraviolet radiation in the 290 to 320nm waveband (UV-B), via space shuttle operations through the stratosphere is considered. It is shown that simulated solar ultraviolet radiation can, under experimental conditions, detrimentally affect the marine organisms that form the base of the food web of oceanic and estuarine ecosystems. Whether a small increase in biologically harmful ultraviolet radiation might overwhelm these mechanisms and produce changes that will have damaging consequences to the biosphere is discussed. The potential for irreversible damage to the productivity, structure and/or functioning of a model estuarine ecosystem by increased UV-B radiation and whether these ecosystems are highly stable or amenable to adaptive change is studied. Data are provided to assess the potential impact upon marine ecosystems if space shuttle operations contribute to a reduction of the stratospheric ozone layer and the sensitivity of key community components to increased UV-B radiation is examined.

  11. Establishing a ultraviolet radiation observational network and enhancing the study on ultraviolet radiation

    NASA Astrophysics Data System (ADS)

    Bai, Jianhui; Wang, Gengchen

    2003-09-01

    On the basis of analyzing observational data on solar radiation, meteorological parameters, and total ozone amount for the period of January 1990 to December 1991 in the Beijing area, an empirical calculation method for ultraviolet radiation (UV) in clear sky is obtained. The results show that the calculated values agree well with the observed, with maximum relative bias of 6.2% and mean relative bias for 24 months of 1.9%. Good results are also obtained when this method is applied in Guangzhou and Mohe districts. The long-term variation of UV radiation in clear sky over the Beijing area from 1979 to 1998 is calculated, and the UV variation trends and causes are discussed: direct and indirect UV energy absorption by increasing pollutants in the troposphere may have caused the UV decrease in clear sky in the last 20 years. With the enhancement of people’s quality of life and awareness of health, it will be valuable and practical to provid UV forecasts for typical cities and rural areas. So, we should develop and enhance UV study in systematic monitoring, forecasting, and developing a good and feasible method for UV radiation reporting in China, especially for big cities.

  12. Driving down defect density in composite EUV patterning film stacks

    NASA Astrophysics Data System (ADS)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  13. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  14. SOHO/CDS Measurements of Coronal EUV Polarization above the Limb

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2002-01-01

    Attempts to measure polarization in coronal extreme ultraviolet (EUV) emission above the solar limb have been made using the SOHO/CDS normal-incidence spectrometer which has a polarization sensitivity of about 50%, a property that causes variations in intensity response as a function of the spacecraft's roll angle for polarized light. Such observations were made on the disk and up to 0.22 solar radii above the solar limb in a number of EUV lines during two special roll-maneuvers of the SOHO spacecraft. Measurements of intensity gradients were made above a modestly active equatorial region in 1997 and above a relatively cool polar region in 2001. Observed emission lines include He I 584 A, He II 304 A, O IV 555+610 A, O V 630 A, Mg IX 368 A, Mg X 610+625 A, and Si XI 303 A, formed at temperatures that evenly cover the range in log T from 4.1 to 6.2. Near the disk, measured intensities of all lines fall off exponentially at different rates that can be used to determine the density scale-heights of the emitting plasma, since this emission is dominated by collisional excitation with an Ne-squared dependence. Assuming hydrostatic equilibrium, the intensity gradient for each line can then be converted into a 'scale-height temperature', which is found to be closely related to the ionization temperature of each line over the wide range of lines and solar conditions observed. Thus the large-scale corona is remarkably uniform, even though clearly displaying a great deal of structure and non-uniformity on smaller spatial scales. Beyond a certain distance, intensity gradients of the cooler lines switch over to a flatter exponential slope, suggesting that this radiation is dominated by resonance scattering which varies as Ne to the first power. Such radiation should also be linearly polarized in the plane containing the line-of-sight and the solar center, a signature that would strongly confirm this interpretation.

  15. EUVS Sounding Rocket Payload

    NASA Technical Reports Server (NTRS)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  16. EUV spectroscopy in astrophysics: The role of compact objects

    NASA Astrophysics Data System (ADS)

    Wood, K. S.; Kowalski, M. P.; Cruddace, R. G.; Barstow, M. A.

    2006-01-01

    The bulk of radiation from million-degree plasmas is emitted at EUV wavelengths. Such plasmas are ubiquitous in astrophysics, and examples include the atmospheres of white dwarfs, accretion phenomena in cataclysmic variables (CVs) and some active galactic nuclei (AGN), the coronae of active stars, and the interstellar medium (ISM) of our own galaxy as well as of others. Internally, white dwarfs are formally analogous to neutron stars, being stellar configurations where the thermal contribution to support is secondary. Both stellar types have various intrinsic and environmental parameters. Comparison of such analogous systems using scaled parameters can be fruitful. Source class characterization is mature enough that such analogies can be used to compare theoretical ideas across a wide dynamic range in parameters, one example being theories of quasiperiodic oscillations. However, the white dwarf side of this program is limited by the available photometry and spectroscopy at EUV wavelengths, where there exist critical spectral features that contain diagnostic information often not available at other wavelengths. Moreover, interstellar absorption makes EUV observations challenging. Results from an observation of the hot white dwarf G191-B2B are presented to demonstrate the promise of high-resolution EUV spectroscopy. Two types of CVs, exemplified by AM Her and EX Hya, are used to illustrate blending of spectroscopy and timing measurements. Dynamical timescales and envisioned performance parameters of next-generation EUV satellites (effective area >20 cm 2, spectral resolution >10,000) make possible a new level of source modeling. The importance of the EUV cannot be overlooked given that observations are continually being pushed to cosmological distances, where the spectral energy distributions of X-ray bright AGNs, for example, will have their maxima redshifted into the EUV. Sometimes wrongly dismissed for limitations of small bandwidth or local view from optical

  17. INFRARED STUDY OF UV/EUV IRRADIATION OF NAPHTHALENE IN H2O+NH3 ICE

    NASA Astrophysics Data System (ADS)

    Chen, Y.-J.; Nuevo, M.; Yeh, F.-C.; Yih, T.-S.; Sun, W.-H.; Ip, W.-H.; Fung, H.-S.; Lee, Y.-Y.; Wu, C.-Y. R.

    We have carried out photon irradiation study of naphthalene (C10H8), the smallest polycyclic aromatic hydrocarbon (PAH) in water and ammonia ice mixtures. Photons provided by a synchrotron radiation light source in two broad-band energy ranges in the ultraviolet/near extreme ultraviolet (4-20 eV) and the extreme ultraviolet (13-45 eV) ranges were used for the irradiation of H2O+NH3+C10H8 = 1:1:1 ice mixtures at 15K. We could identify several photo-products, namely CH4, C2H6, C3H8, CO, CO2, HNCO, OCN-, and probably quinoline (C9H7N) and phenanthridine (C13H9N). We found that the light hydrocarbons are preferably produced for the ice mixture subjected to 4-20 eV photons. However, the production yields of CO, CO2, and OCN- species seem to be higher for the mixture subjected to EUV photons (13-45 eV). Therefore, naphthalene and its photo-products appear to be more efficiently destroyed when high energy photons (E > 20 eV) are used. This has important consequences on the photochemical evolution of PAHs in astrophysical environments.

  18. Examining Dermatologist Use and Opinions of Ultraviolet Radiation for Cosmetic and Medical Purposes.

    PubMed

    Sampson, Blake P; Secrest, Aaron M; Hansen, Christopher B; Geller, Alan C

    2018-02-01

    BACKGROUND: The commercial tanning industry has opposed efforts to educate the public on the risks of tanning as well as attempts to restrict minors' access to tanning services. Despite a paucity of supporting literature, statements from the tanning industry claiming that dermatologists routinely use in-office phototherapy for cosmetic treatments and refer patients to tanning salons have successfully derailed and defeated legislation in many states. OBJECTIVE: This study aims to evaluate dermatologist referrals for ultraviolet radiation for cosmetic and medical purposes via tanning beds or phototherapy, as well as their opinions on tanning, legislation, and ultraviolet radiation counseling practices. DESIGN: The study was conducted using a 10-question anonymous survey. SETTING: The participants were surveyed during meetings of three regional dermatologic societies. PARTICIPANTS: One hundred and fifty-two dermatologists attending society meetings participated in the study. MEASURES: The authors measured physician referrals, opinions, and recommendations regarding ultraviolet exposure. RESULTS: Zero physicians (0/152) recommended tanning salons for cosmetic reasons. These 152 dermatologists referred 458 (417 adult, 41 pediatric) out of an estimated 809,369 patients (0.057%) to tanning salons for medical treatment. Of these physicians, 76 out of 152 and 15 out of 152 reported referring at least one adult or one pediatric patient, respectively, within the last year. All respondents supported ultraviolet tanning legislation and discouraged cosmetic tanning. CONCLUSION: These findings directly contradict the assertion that dermatologists use ultraviolet radiation for cosmetic purposes or routinely refer patients to tanning salons. This study underscores the complex nature of ultraviolet radiation, as dermatologists infrequently utilize ultraviolet radiation for medical purposes and unanimously support restrictive legislation. In addition, these dermatologists counsel

  19. Examining Dermatologist Use and Opinions of Ultraviolet Radiation for Cosmetic and Medical Purposes

    PubMed Central

    Secrest, Aaron M.; Hansen, Christopher B.; Geller, Alan C.

    2018-01-01

    BACKGROUND: The commercial tanning industry has opposed efforts to educate the public on the risks of tanning as well as attempts to restrict minors’ access to tanning services. Despite a paucity of supporting literature, statements from the tanning industry claiming that dermatologists routinely use in-office phototherapy for cosmetic treatments and refer patients to tanning salons have successfully derailed and defeated legislation in many states. OBJECTIVE: This study aims to evaluate dermatologist referrals for ultraviolet radiation for cosmetic and medical purposes via tanning beds or phototherapy, as well as their opinions on tanning, legislation, and ultraviolet radiation counseling practices. DESIGN: The study was conducted using a 10-question anonymous survey. SETTING: The participants were surveyed during meetings of three regional dermatologic societies. PARTICIPANTS: One hundred and fifty-two dermatologists attending society meetings participated in the study. MEASURES: The authors measured physician referrals, opinions, and recommendations regarding ultraviolet exposure. RESULTS: Zero physicians (0/152) recommended tanning salons for cosmetic reasons. These 152 dermatologists referred 458 (417 adult, 41 pediatric) out of an estimated 809,369 patients (0.057%) to tanning salons for medical treatment. Of these physicians, 76 out of 152 and 15 out of 152 reported referring at least one adult or one pediatric patient, respectively, within the last year. All respondents supported ultraviolet tanning legislation and discouraged cosmetic tanning. CONCLUSION: These findings directly contradict the assertion that dermatologists use ultraviolet radiation for cosmetic purposes or routinely refer patients to tanning salons. This study underscores the complex nature of ultraviolet radiation, as dermatologists infrequently utilize ultraviolet radiation for medical purposes and unanimously support restrictive legislation. In addition, these dermatologists counsel

  20. Testing the Interstellar Wind Helium Flow Direction with Galileo Euvs Data

    NASA Astrophysics Data System (ADS)

    Pryor, W. R.; Simmons, K. E.; Ajello, J. M.; Tobiska, W. K.; Retherford, K. D.; Stern, S. A.; Feldman, P. D.; Frisch, P. C.; Bzowski, M.; Grava, C.

    2014-12-01

    Forty years of measurements of the flow of interstellar helium through the heliosphere suggest that variations of the flow direction with time are possible. We will model Galileo Extreme Ultraviolet Spectrometer (EUVS) data to determine the best-fitting flow direction and compare it to values obtained by other spacecraft. The Galileo EUVS (Hord et al., 1992) was mounted on the spinning part of the spacecraft and obtained interstellar wind hydrogen Lyman-alpha 121.6 nm and helium 58.4 nm data on great circles passing near the ecliptic poles during the interplanetary cruise phase of the mission and also during the Jupiter orbital phase of the mission. The Galileo hydrogen cruise data have been previously published (Hord et al., 1991, Pryor et al., 1992; 1996; 2001), but the helium data have not. Our model was previously used by Ajello et al., 1978, 1979 to model Mariner 10 interstellar wind helium data, and by Stern et al., 2012 and Feldman et al., 2012 to model the interplanetary helium background near the moon in Lunar Reconnaissance Orbiter (LRO) Lyman-alpha Mapping Project (LAMP) data. The model has been updated to include recent determinations of daily helium 58.4 nm solar flux variations and helium losses due to EUV photoionization and electron impact ionization.

  1. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    NASA Technical Reports Server (NTRS)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  2. Spectral lines and characteristic of temporal variations in photoionized plasmas induced with laser-produced plasma extreme ultraviolet source

    NASA Astrophysics Data System (ADS)

    Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.

    2017-11-01

    Spectral lines for Kr/Ne/H2 photoionized plasma in the ultraviolet and visible (UV/Vis) wavelength ranges have been created using a laser-produced plasma (LPP) EUV source. The source is based on a double-stream gas puff target irradiated with a commercial Nd:YAG laser. The laser pulses were focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Spectral lines from photoionization in neutral Kr/Ne/H2 and up to few charged states were observed. The intense emission lines were associated with the Kr transition lines. Experimental and theoretical investigations on intensity variations for some ionic lines are presented. A decrease in the intensity with the delay time between the laser pulse and the spectrum acquisition was revealed. Electron temperature and electron density in the photoionized plasma have been estimated from the characteristic emission lines. Temperature was obtained using Boltzmann plot method, assuming that the population density of atoms and ions are considered in a local thermodynamic equilibrium (LTE). Electron density was calculated from the Stark broadening profile. The temporal evaluation of the plasma and the way of optimizing the radiation intensity of LPP EUV sources is discussed.

  3. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  4. Some Thoughts on Teaching about Ultraviolet Radiation

    ERIC Educational Resources Information Center

    Thumm, Walter

    1975-01-01

    Describes the major obstacles in the study of ultraviolet radiation (UV). Presents the beneficial aspects of UV such as vitamin O production, sterilization, clinical treatment of diseases and wounds, and the marking of patients for radiotherapy. Warns of the dangers of UV exposure such as skin cancer and early aging. (GS)

  5. Inter-Comparison between July 24, 2014 EUV Data from NASA Sounding Rocket 36.289 and Concurrent Measurements from Orbital Solar Observatories

    NASA Astrophysics Data System (ADS)

    Didkovsky, L. V.; Wieman, S. R.; Judge, D. L.

    2014-12-01

    Sounding rocket mission NASA 36.289 Didkovsky provided solar EUV irradiance measurements from four instruments built at the USC Space Sciences Center: the Rare Gas Ionization Cell (RGIC), the Solar Extreme ultraviolet Monitor (SEM), the Dual Grating Spectrometer (DGS), and the Optics-Free Spectrometer (OFS), thus meeting the mission comprehensive success criteria. These sounding rocket data allow us to inter-compare the observed absolute EUV irradiance with the data taken at the same time from the SOHO and SDO solar observatories. The sounding rocket data from the two degradation-free instruments (DGS and OFS) can be used to verify the degradation rates of SOHO and SDO EUV channels and serve as a flight-proven prototypes for future improvements of degradation-free instrumentation for solar physics.

  6. EUVE observations of Algol: Detection of a continuum and implications for the coronal (Fe/H) abundance

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.; Lemen, James R.; Schmitt, Jurgen H. M. M.; Pye, John P.

    1995-01-01

    We report results from the first extreme ultraviolet spectrum of the prototypical eclipsing binary Algol (beta Per), obtained with the spectrometers on the Extreme Ultraviolet Explorer (EUVE). The Algol spectrum in the 80-350 A range is dominated by emission lines of Fe XVI-XXIV, and the He II 304 A line. The Fe emission is characteristic of high-temperature plasma at temperatures up to at least log T approximately 7.3 K. We have successfully modeled the observed quiescent spectrum using a continuous emission measure distribution with the bulk of the emitting material at log T greater than 6.5. We are able to adequately fit both the coronal lines and continuum data with a cosmic abundance plasma, but only if Algol's quiescent corona is dominated by material at log T greater than 7.5, which is physically ruled out by prior X-ray observations of the quiescent Algol spectrum. Since the coronal (Fe/H) abundance is the principal determinant of the line-to-continuum ratio in the EUV, allowing the abundance to be a free parameter results in models with a range of best-fit abundances approximately = 15%-40% of solar photospheric (Fe/H). Since Algol's photospheric (Fe/H) appears to be near-solar, the anomalous EUV line-to-continuum ratio could either be the result of element segregation in the coronal formation process, or other, less likely mechanisms that may enhance the continuum with respect to the lines.

  7. Slowly varying component of extreme ultraviolet solar radiation and its relation to solar radio radiation

    NASA Technical Reports Server (NTRS)

    Chapman, R. D.; Neupert, W. M.

    1974-01-01

    A study of the correlations between solar EUV line fluxes and solar radio fluxes has been carried out. A calibration for the Goddard Space Flight Center EUV spectrum is suggested. The results are used to obtain an equation for the absolute EUV flux for several lines in the 150- to 400-A region and the total flux of 81 intense lines in the region, the 2800-MHz radio flux being used as independent variable.

  8. Ultraviolet Radiation Dose National Standard of México

    NASA Astrophysics Data System (ADS)

    Cardoso, R.; Rosas, E.

    2006-09-01

    We present the Ultraviolet (UV) Radiation Dose National Standard for México. The establishment of this measurement reference at Centro Nacional de Metrología (CENAM) eliminates the need of contacting foreign suppliers in the search for traceability towards the SI units when calibrating instruments at 365 nm. Further more, the UV Radiation Dose National Standard constitutes a highly accurate and reliable source for the UV radiation dose measurements performed in medical and cosmetic treatments as in the the food and pharmaceutics disinfection processes, among other.

  9. Research on vacuum utraviolet calibration technology

    NASA Astrophysics Data System (ADS)

    Wang, Jiapeng; Gao, Shumin; Sun, Hongsheng; Chen, Yinghang; Wei, Jianqiang

    2014-11-01

    Importance of extreme ultraviolet (EUV) and far ultraviolet (FUV) calibration is growing fast as vacuum ultraviolet payloads are wildly used in national space plan. A calibration device is established especially for the requirement of EUV and FUV metrology and measurement. Spectral radiation and detector relative spectral response at EUV and FUV wavelengths can be calibrated with accuracy of 26% and 20%, respectively. The setup of the device, theoretical model and value retroactive method are introduced and measurement of detector relative spectral response from 30 nm to 200 nm is presented in this paper. The calibration device plays an important role in national space research.

  10. Ultraviolet Radiation: Human Exposure and Health Risks.

    ERIC Educational Resources Information Center

    Tenkate, Thomas D.

    1998-01-01

    Provides an overview of human exposure to ultraviolet radiation and associated health effects as well as risk estimates for acute and chronic conditions resulting from such exposure. Demonstrates substantial reductions in health risk that can be achieved through preventive actions. Also includes a risk assessment model for skin cancer. Contains 36…

  11. ASSESSMENT OF THE RISK OF SOLAR ULTRAVIOLET RADIATION TO AMPHIBIANS. II: IN SITU CHARACTERIZATION OF SOLAR ULTRAVIOLET RADIATION IN AMPHIBIAN HABITATS

    EPA Science Inventory

    Ultraviolet B (UVB) radiation has been hypothesized as a potential cause of amphibian population declines and increased incidences of malformations. Realistic studies documenting UV irradiance or dose have rarely been conducted in wetlands used by amphibians. We demonstrate that ...

  12. Thermal conduction properties of Mo/Si multilayers for extreme ultraviolet optics

    NASA Astrophysics Data System (ADS)

    Bozorg-Grayeli, Elah; Li, Zijian; Asheghi, Mehdi; Delgado, Gil; Pokrovsky, Alexander; Panzer, Matthew; Wack, Daniel; Goodson, Kenneth E.

    2012-10-01

    Extreme ultraviolet (EUV) lithography requires nanostructured optical components, whose reliability can be influenced by radiation absorption and thermal conduction. Thermal conduction analysis is complicated by sub-continuum electron and phonon transport and the lack of thermal property data. This paper measures and interprets thermal property data, and their evolution due to heating exposure, for Mo/Si EUV mirrors with 6.9 nm period and Mo/Si thickness ratios of 0.4/0.6 and 0.6/0.4. We use time-domain thermoreflectance and the 3ω method to estimate the thermal resistance between the Ru capping layer and the Mo/Si multilayers (RRu-Mo/Si = 1.5 m2 K GW-1), as well as the out-of-plane thermal conductivity (kMo/Si 1.1 W m-1 K-1) and thermal anisotropy (η = 13). This work also reports the impact of annealing on thermal conduction in a co-deposited MoSi2 layer, increasing the thermal conductivity from 1.7 W m-1 K-1 in the amorphous phase to 2.8 W m-1 K-1 in the crystalline phase.

  13. Effects of ultraviolet radiation, visible light, and infrared radiation on erythema and pigmentation: a review.

    PubMed

    Sklar, Lindsay R; Almutawa, Fahad; Lim, Henry W; Hamzavi, Iltefat

    2013-01-01

    The effects of ultraviolet radiation, visible light, and infrared radiation on cutaneous erythema, immediate pigment darkening, persistent pigment darkening, and delayed tanning are affected by a variety of factors. Some of these factors include the depth of cutaneous penetration of the specific wavelength, the individual skin type, and the absorption spectra of the different chromophores in the skin. UVB is an effective spectrum to induce erythema, which is followed by delayed tanning. UVA induces immediate pigment darkening, persistent pigment darkening, and delayed tanning. At high doses, UVA (primarily UVA2) can also induce erythema in individuals with skin types I-II. Visible light has been shown to induce erythema and a tanning response in dark skin, but not in fair skinned individuals. Infrared radiation produces erythema, which is probably a thermal effect. In this article we reviewed the available literature on the effects of ultraviolet radiation, visible light, and infrared radiation on the skin in regards to erythema and pigmentation. Much remains to be learned on the cutaneous effects of visible light and infrared radiation.

  14. Effects of solar ultraviolet radiations on Bacillus subtilis spores and T-7 bacteriophage

    NASA Technical Reports Server (NTRS)

    Spizizen, J.; Isherwood, J. E.; Taylor, G. R.

    1975-01-01

    Spores of Bacillus subtilis HA 101 and the DNA polymerase I-defective mutant HA 101 (59)F were exposed to selected wavelengths of solar ultraviolet light and space vacuum during the return of Apollo 16. In addition, coliphage T-7 suspensions were exposed to solar ultraviolet radiation as part of the Microbial Response to Space Environment Experiment. Optical filters were employed to provide different energy levels at wavelengths 254 nm and 280 nm. Dose-response curves for lethal and mutagenic effects were compared with ground-based data. A close parallel was observed between the results of solar radiation and ground tests with spores of the two strains. However, significantly greater inactivation of T-7 bacteriophage was observed after exposure to solar ultraviolet radiation.

  15. ULTRAVIOLET RADIATION AND ARSENIC INTERACTIONS: EFFECTS ON CLADOCERANS

    EPA Science Inventory

    The effects of arsenic and ultraviolet radiation (UV) on cladocerans have been examined separately, however the interaction of these two stresses has not been explored. Potential synergism between these two stresses is possible as arsenic is known to inhibit repair of UV induced ...

  16. Key issues of ultraviolet radiation of OH at high altitudes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yuhuai; Wan, Tian; Jiang, Jianzheng

    2014-12-09

    Ultraviolet (UV) emissions radiated by hydroxyl (OH) is one of the fundamental elements in the prediction of radiation signature of high-altitude and high-speed vehicle. In this work, the OH A{sup 2}Σ{sup +}→X{sup 2}Π ultraviolet emission band behind the bow shock is computed under the experimental condition of the second bow-shock ultraviolet flight (BSUV-2). Four related key issues are discussed, namely, the source of hydrogen element in the high-altitude atmosphere, the formation mechanism of OH species, efficient computational algorithm of trace species in rarefied flows, and accurate calculation of OH emission spectra. Firstly, by analyzing the typical atmospheric model, the verticalmore » distributions of the number densities of different species containing hydrogen element are given. According to the different dominating species containing hydrogen element, the atmosphere is divided into three zones, and the formation mechanism of OH species is analyzed in the different zones. The direct simulation Monte Carlo (DSMC) method and the Navier-Stokes equations are employed to compute the number densities of the different OH electronically and vibrationally excited states. Different to the previous work, the trace species separation (TSS) algorithm is applied twice in order to accurately calculate the densities of OH species and its excited states. Using a non-equilibrium radiation model, the OH ultraviolet emission spectra and intensity at different altitudes are computed, and good agreement is obtained with the flight measured data.« less

  17. Key issues of ultraviolet radiation of OH at high altitudes

    NASA Astrophysics Data System (ADS)

    Zhang, Yuhuai; Wan, Tian; Jiang, Jianzheng; Fan, Jing

    2014-12-01

    Ultraviolet (UV) emissions radiated by hydroxyl (OH) is one of the fundamental elements in the prediction of radiation signature of high-altitude and high-speed vehicle. In this work, the OH A2Σ+→ X2Π ultraviolet emission band behind the bow shock is computed under the experimental condition of the second bow-shock ultraviolet flight (BSUV-2). Four related key issues are discussed, namely, the source of hydrogen element in the high-altitude atmosphere, the formation mechanism of OH species, efficient computational algorithm of trace species in rarefied flows, and accurate calculation of OH emission spectra. Firstly, by analyzing the typical atmospheric model, the vertical distributions of the number densities of different species containing hydrogen element are given. According to the different dominating species containing hydrogen element, the atmosphere is divided into three zones, and the formation mechanism of OH species is analyzed in the different zones. The direct simulation Monte Carlo (DSMC) method and the Navier-Stokes equations are employed to compute the number densities of the different OH electronically and vibrationally excited states. Different to the previous work, the trace species separation (TSS) algorithm is applied twice in order to accurately calculate the densities of OH species and its excited states. Using a non-equilibrium radiation model, the OH ultraviolet emission spectra and intensity at different altitudes are computed, and good agreement is obtained with the flight measured data.

  18. EUV/soft x-ray spectra for low B neutron stars

    NASA Technical Reports Server (NTRS)

    Romani, Roger W.; Rajagopal, Mohan; Rogers, Forrest J.; Iglesias, Carlos A.

    1995-01-01

    Recent ROSAT and EUVE detections of spin-powered neutron stars suggest that many emit 'thermal' radiation, peaking in the EUV/soft X-ray band. These data constrain the neutron stars' thermal history, but interpretation requires comparison with model atmosphere computations, since emergent spectra depend strongly on the surface composition and magnetic field. As recent opacity computations show substantial change to absorption cross sections at neutron star photospheric conditions, we report here on new model atmosphere computations employing such data. The results are compared with magnetic atmosphere models and applied to PSR J0437-4715, a low field neutron star.

  19. Development of extreme ultraviolet and soft x-ray multilayer optics for scientific studies with femtosecond/attosecond sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aquila, Andrew Lee

    The development of multilayer optics for extreme ultraviolet (EUV) radiation has led to advancements in many areas of science and technology, including materials studies, EUV lithography, water window microscopy, plasma imaging, and orbiting solar physics imaging. Recent developments in femtosecond and attosecond EUV pulse generation from sources such as high harmonic generation lasers, combined with the elemental and chemical specificity provided by EUV radiation, are opening new opportunities to study fundamental dynamic processes in materials. Critical to these efforts is the design and fabrication of multilayer optics to transport, focus, shape and image these ultra-fast pulses This thesis describes themore » design, fabrication, characterization, and application of multilayer optics for EUV femtosecond and attosecond scientific studies. Multilayer mirrors for bandwidth control, pulse shaping and compression, tri-material multilayers, and multilayers for polarization control are described. Characterization of multilayer optics, including measurement of material optical constants, reflectivity of multilayer mirrors, and metrology of reflected phases of the multilayer, which is critical to maintaining pulse size and shape, were performed. Two applications of these multilayer mirrors are detailed in the thesis. In the first application, broad bandwidth multilayers were used to characterize and measure sub-100 attosecond pulses from a high harmonic generation source and was performed in collaboration with the Max-Planck institute for Quantum Optics and Ludwig- Maximilians University in Garching, Germany, with Professors Krausz and Kleineberg. In the second application, multilayer mirrors with polarization control are useful to study femtosecond spin dynamics in an ongoing collaboration with the T-REX group of Professor Parmigiani at Elettra in Trieste, Italy. As new ultrafast x-ray sources become available, for example free electron lasers, the multilayer designs

  20. The development and test of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. Gethyn; Walker, A. B. C., Jr.; Morgan, J. S.; Huber, M. C. E.; Tondello, G.

    1992-01-01

    The objectives were to address currently unanswered fundamental questions concerning the fine scale structure of the chromosphere, transition region, and corona. The unique characteristics of the spectroheliometer was used in combination with plasma diagnostic techniques to study the temperature, density, and velocity structures of specific features in the solar outer atmosphere. A unified understanding was sought of the interplay between the time dependent geometry of the magnetic field structure and the associated flows of mass and energy, the key to which lies in the smallest spatial scales that are unobservable with current EUV instruments. Toroidal diffraction gratings were fabricated and tested by a new technique using an elastically deformable substrate. The toroidal diffraction gratings was procured and tested to be used for the evaluation of the Multi-Anode Microchannel Array (MAMA) detector systems for the Solar Ultraviolet Measurements of Emitted Radiation (SUMER) and UV Coronagraph Spectrometer (UVCS) instruments on the SOHO mission.

  1. EUV multilayer mirrors with enhanced stability

    NASA Astrophysics Data System (ADS)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  2. Evidence for a New Class of Extreme Ultraviolet Sources

    NASA Technical Reports Server (NTRS)

    Maoz, Dan; Ofek, Eran O.; Shemi, Amotz

    1997-01-01

    Most of the sources detected in the extreme ultraviolet (EUV; 100-600 A) by the ROSAT/WFC and EUVE all-sky surveys have been identified with active late-type stars and hot white dwarfs that are near enough to the Earth to escape absorption by interstellar gas. However, about 15 per cent of EUV sources are as yet unidentified with any optical counterparts. We examine whether the unidentified EUV sources may consist of the same population of late-type stars and white dwarfs. We present B and R photometry of stars in the fields of seven of the unidentified EUV sources. We detect in the optical the entire main-sequence and white dwarf population out to the greatest distances where they could still avoid absorption. We use color-magnitude diagrams to demonstrate that, in most of the fields, none of the observed stars has the colours and magnitudes of late-type dwarfs at distances less than 100 pc. Similarly, none of the observed stars is a white dwarf within 500 pc that is hot enough to be a EUV emitter. The unidentified EUV sources we study are not detected in X-rays, while cataclysmic variables, X-ray binaries, and active galactic nuclei generally are. We conclude that some of the EUV sources may be a new class of nearby objects, which are either very faint at optical bands or which mimic the colours and magnitudes of distant late-type stars or cool white dwarfs. One candidate for optically faint objects is isolated old neutron stars, slowly accreting interstellar matter. Such neutron stars are expected to be abundant in the Galaxy, and have not been unambiguously detected.

  3. Optical coating technology for the EUV

    NASA Astrophysics Data System (ADS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    Adavaces in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  4. Optical coating technology for the EUV

    NASA Technical Reports Server (NTRS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    1991-01-01

    Advances in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  5. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  6. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source.

    PubMed

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 microm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2 x 10(11) Wcm(2) with a spot diameter of 175 microm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  7. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 μm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2×1011 W/cm2 with a spot diameter of 175 μm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  8. Photosynthetically active radiation (PAR) x ultraviolet radiation (UV) interact to initiate solar injury in apple

    USDA-ARS?s Scientific Manuscript database

    Sunburn or solar injury (SI) in apple is associated with high temperature, high visible light and ultraviolet radiation (UV). Fruit surface temperature (FST) thresholds for SI related disorders have been developed but there are no thresholds established for solar radiation. The objectives of the s...

  9. DELAY OF CLEAVAGE OF THE ARBACIA EGG BY ULTRAVIOLET RADIATION

    PubMed Central

    Blum, Harold F.; Price, Judith P.

    1950-01-01

    While our data do not permit us to state the exact locus or mode of action of ultraviolet radiation in the Arbacia egg, certain general conclusions may be reached. The amount of delay of cleavage of these eggs is determined by two principal factors: (1) The extent of an effect, resulting from photochemical action induced by ultraviolet radiation, which is reversible in a biological sense, the reversibility not being directly dependent upon the process of cell division. (2) The sensitivity of the cell division process to the effects of the ultraviolet-induced photochemical reaction. This factor varies with the stage of cell division, the cell being insensitive during a period corresponding to most of mitosis. It seems likely that these findings may apply to cell division in general, but, since the quantitative relationships observed must, in this case, reflect the integration of two semi-independent factors, the over-all picture may appear quite different for different kinds of cells. PMID:15410486

  10. Extreme Ultraviolet Spectra of Few-Times Ionized Tungsten for Divertor Plasma Diagnostics

    DOE PAGES

    Clementson, Joel; Lennartsson, Thomas; Beiersdorfer, Peter

    2015-09-09

    The extreme ultraviolet (EUV) emission from few-times ionized tungsten atoms has been experimentally studied at the Livermore electron beam ion trap facility. The ions were produced and confined during low-energy operations of the EBIT-I electron beam ion trap. By varying the electron-beam energy from around 30–300 eV, tungsten ions in charge states expected to be abundant in tokamak divertor plasmas were excited, and the resulting EUV emission was studied using a survey spectrometer covering 120–320 Å. It is found that the emission strongly depends on the excitation energy; below 150 eV, it is relatively simple, consisting of strong isolated linesmore » from a few charge states, whereas at higher energies, it becomes very complex. For divertor plasmas with tungsten impurity ions, this emission should prove useful for diagnostics of tungsten flux rates and charge balance, as well as for radiative cooling of the divertor volume. Several lines in the 194–223 Å interval belonging to the spectra of five- and seven-times ionized tungsten (Tm-like W VI and Ho-like W VIII) were also measured using a high-resolution spectrometer.« less

  11. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  12. EXTREME ULTRAVIOLET EXPLORER OBSERVATIONS OF HERCULES X-1 OVER A 35 DAY CYCLE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leahy, D. A.; Dupuis, Jean, E-mail: leahy@ucalgary.c

    2010-06-01

    Observations of Hercules X-1 by the Extreme Ultraviolet Explorer covering most of the 35 day cycle are reported here. This is the only long extreme ultraviolet (EUV) observation of Her X-1. Simultaneous X-ray observations with the Rossi X-ray Timing Explorer All-Sky Monitor (RXTE/ASM) X-ray show that Her X-1 is in an X-ray anomalous low state. The first 4 days are also observed with the RXTE proportional counter array (PCA), which shows that the X-ray properties are nearly the same as for normal low states in Her X-1 with flux reduced by a factor of 2. In contrast, the EUV emissionmore » from Her X-1 is reduced by a factor of {approx}4 compared to normal low states. The twisted-tilted accretion disk responsible for the normal 35 day X-ray cycle can be modified to explain this behavior. An increased disk twist reduces the X-ray illumination of HZ Her by a factor of {approx}2 and of the disk surface by a somewhat larger factor, leading to a larger reduction in EUV flux compared to X-ray flux.« less

  13. NEW Fe IX LINE IDENTIFICATIONS USING SOLAR AND HELIOSPHERIC OBSERVATORY/SOLAR ULTRAVIOLET MEASUREMENT OF EMITTED RADIATION AND HINODE/EIS JOINT OBSERVATIONS OF THE QUIET SUN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Landi, E.; Young, P. R.

    2009-12-20

    In this work, we study joint observations of Hinode/EUV Imaging Spectrometer (EIS) and Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation of Fe IX lines emitted by the same level of the high energy configuration 3s {sup 2}3p {sup 5}4p. The intensity ratios of these lines are dependent on atomic physics parameters only and not on the physical parameters of the emitting plasma, so that they are excellent tools to verify the relative intensity calibration of high-resolution spectrometers that work in the 170-200 A and 700-850 A wavelength ranges. We carry out extensive atomic physics calculations to improve themore » accuracy of the predicted intensity ratio, and compare the results with simultaneous EIS-SUMER observations of an off-disk quiet Sun region. We were able to identify two ultraviolet lines in the SUMER spectrum that are emitted by the same level that emits one bright line in the EIS wavelength range. Comparison between predicted and measured intensity ratios, wavelengths and energy separation of Fe IX levels confirms the identifications we make. Blending and calibration uncertainties are discussed. The results of this work are important for cross-calibrating EIS and SUMER, as well as future instrumentation.« less

  14. Characterization and control of EUV scanner dose uniformity and stability

    NASA Astrophysics Data System (ADS)

    Robinson, Chris; Corliss, Dan; Meli, Luciana; Johnson, Rick

    2018-03-01

    The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability. Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.

  15. Comprehensive Review of Ultraviolet Radiation and the Current Status on Sunscreens

    PubMed Central

    Moon, Summer; Armstrong, Frank

    2012-01-01

    In the past, manufacturers’ labeling of sunscreen varied greatly, confusing the consumers regarding efficacy and the appropriate photoprotection provided by their products. Therefore, in June 2011, the United States Food and Drug Administration issued new guidelines for sunscreen labeling. Sunscreen products are over-the-counter drugs; therefore, they are regulated by the United States Food and Drug Administration to determine safety, efficacy, and labeling. This article discusses ultraviolet radiation and the positive and negative effects of ultraviolet radiation, provides a review of sunscreens, and discusses the new United States Food and Drug Administration regulations for sunscreens. PMID:23050030

  16. Detection of ultraviolet radiation using tissue equivalent radiochromic gel materials

    NASA Astrophysics Data System (ADS)

    Bero, M. A.; Abukassem, I.

    2009-05-01

    Ferrous Xylenol-orange Gelatin gel (FXG) is known to be sensitive to ionising radiation such as γ and X-rays. The effect of ionising radiation is to produce an increase in the absorption over a wide region of the visible spectrum, which is proportional to the absorbed dose. This study demonstrates that FXG gel is sensitive to ultraviolet radiation and therefore it could functions as UV detector. Short exposure to UV radiation produces linear increase in absorption measured at 550nm, however high doses of UV cause the ion indicator colour to fad away in a manner proportional to the incident UV energy. Light absorbance increase at the rate of 1.1% per minute of irradiation was monitored. The exposure level at which the detector has linear response is comparable to the natural summer UV radiation. Evaluating the UV ability to pass through tissue equivalent gel materials shows that most of the UV gets absorbed in the first 5mm of the gel materials, which demonstrate the damaging effects of this radiation type on human skin and eyes. It was concluded that FXG gel dosimeter has the potential to offer a simple, passive ultraviolet radiation detector with sensitivity suitable to measure and visualises the natural sunlight UV exposure directly by watching the materials colour changes.

  17. Pathways to Earth-like atmospheres. Extreme ultraviolet (EUV)-powered escape of hydrogen-rich protoatmospheres.

    PubMed

    Lammer, Helmut; Kislyakova, K G; Odert, P; Leitzinger, M; Schwarz, R; Pilat-Lohinger, E; Kulikov, Yu N; Khodachenko, M L; Güdel, M; Hanslmeier, M

    2011-12-01

    We discuss the evolution of the atmosphere of early Earth and of terrestrial exoplanets which may be capable of sustaining liquid water oceans and continents where life may originate. The formation age of a terrestrial planet, its mass and size, as well as the lifetime in the EUV-saturated early phase of its host star play a significant role in its atmosphere evolution. We show that planets even in orbits within the habitable zone of their host stars might not lose nebular- or catastrophically outgassed initial protoatmospheres completely and could end up as water worlds with CO2 and hydrogen- or oxygen-rich upper atmospheres. If an atmosphere of a terrestrial planet evolves to an N2-rich atmosphere too early in its lifetime, the atmosphere may be lost. We show that the initial conditions set up by the formation of a terrestrial planet and by the evolution of the host star's EUV and plasma environment are very important factors owing to which a planet may evolve to a habitable world. Finally we present a method for studying the discussed atmosphere evolution hypotheses by future UV transit observations of terrestrial exoplanets.

  18. CHARACTERIZATION OF RELATIVE SENSITIVITY OF AMPHIBIANS TO ULTRAVIOLET RADIATION

    EPA Science Inventory

    Different studies have demonstrated that solar ultraviolet (UV) radiation can adversely affect survival and development of embryonic and larval amphibians. However, because of among-laboratory variations in exposure profiles (artificial vs. natural sunlight; natural sunlight at d...

  19. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mirkarimi, Paul B.; Bajt, Sasa; Wall, Mark A.

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decreasemore » more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar. (c) 2000 Optical Society of America.« less

  20. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography.

    PubMed

    Mirkarimi, P B; Bajt, S; Wall, M A

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.

  1. Structural properties of Al/Mo/SiC multilayers with high reflectivity for extreme ultraviolet light.

    PubMed

    Hu, Min-Hui; Le Guen, Karine; André, Jean-Michel; Jonnard, Philippe; Meltchakov, Evgueni; Delmotte, Franck; Galtayries, Anouk

    2010-09-13

    We present the results of an optical and chemical, depth and surface study of Al/Mo/SiC periodic multilayers, designed as high reflectivity coatings for the extreme ultra-violet (EUV) range. In comparison to the previously studied Al/SiC system, the introduction of Mo as a third material in the multilayer structure allows us to decrease In comparison to the previously studied Al/SiC system with a reflectance of 37% at near normal incidence around 17 nm, the introduction of Mo as a third material in the multilayer structure allows us to decrease the interfacial roughness and achieve an EUV reflectivity of 53.4%, measured with synchrotron radiation. This is the first report of a reflectivity higher than 50% around 17 nm. Time-of-flight secondary ion mass spectrometry (ToF-SIMS) and x-ray photoelectron spectroscopy (XPS) measurements are performed on the Al/Mo/SiC system in order to analyze the individual layers within the stack. ToF-SIMS and XPS results give evidence that the first SiC layer is partially oxidized, but the O atoms do not reach the first Mo and Al layers. We use these results to properly describe the multilayer stack and discuss the possible reasons for the difference between the measured and simulated EUV reflectivity values.

  2. The budget of biologically active ultraviolet radiation in the earth-atmosphere system

    NASA Technical Reports Server (NTRS)

    Frederick, John E.; Lubin, Dan

    1988-01-01

    This study applies the concept of a budget to describe the interaction of solar ultraviolet (UV) radiation with the earth-atmosphere system. The wavelength ranges of interest are the biologically relevant UV-B between 280 and 320 nm and the UV-A from 32000 to 400 nm. The Nimbus 7 solar backscattered ultraviolet (SBUV) instrument provides measurements of total column ozone and information concerning cloud cover which, in combination with a simple model of radiation transfer, define the fractions of incident solar irradiance absorbed in the atmosphere, reflected to space, and absorbed at the ground. Results for the month of July quantify the contribution of fractional cloud cover and cloud optical thickness to the radiation budget's three components. Scattering within a thick cloud layer makes the downward radiation field at the cloud base more isotropic than is the case for clear skies. For small solar zenith angles, typical of summer midday conditions, the effective pathlength of this diffuse irradiance through tropospheric ozone is greater than that under clear-sky conditions. The result is an enhanced absorption of UV-B radiation in the troposphere during cloud-covered conditions. Major changes in global cloud cover or cloud optical thicknesses could alter the ultraviolet radiation received by the biosphere by an amount comparable to that predicted for long-term trends in ozone.

  3. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    NASA Astrophysics Data System (ADS)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  4. Phase measurements of EUV mask defects

    DOE PAGES

    Claus, Rene A.; Wang, Yow-Gwo; Wojdyla, Antoine; ...

    2015-02-22

    Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than themore » conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.« less

  5. Monitoring of solar far ultraviolet radiation from the OSO-5 satellite

    NASA Technical Reports Server (NTRS)

    Rense, W. A.; Parker, R.

    1972-01-01

    A spectrophotometer for monitoring the solar EUV in three broad wavelength bands is described. The kind of data obtained, along with sources of error, are presented. The content of the tape library which contains the data is outlined. The scientific results are discussed. These include the following: solar flares in the EUV, solar eclipse observations in the EUV, SFD's and relationship to solar flares, and the application of satellite sunrise and sunset data for the study of model upper atmospheres for the earth.

  6. Ultraviolet radiation properties as applied to photoclimatherapy at the Dead Sea.

    PubMed

    Kudish, A I; Abels, D; Harari, M

    2003-05-01

    The Dead Sea basin, the lowest terrestrial point on earth, is recognized as a natural treatment center for patients with various cutaneous and rheumatic diseases. Psoriasis is the major skin disease treated at the Dead Sea with excellent improvement to complete clearance exceeding 85% after 4 weeks of treatment. These results were postulated to be associated with a unique spectrum of ultraviolet radiation present in the Dead Sea area. The UVB and UVA radiation at two sites is measured continuously by identical sets of broad-band Solar Light Co. Inc. meters (Philadelphia, PA). The spectral selectivity within the UVB and UVA spectrum was determined using a narrow-band spectroradiometer, UV-Optronics 742 (Orlando, FL). The optimum exposure time intervals for photoclimatherapy, defined as the minimum ratio of erythema to therapeutic radiation intensities, were also determined using a Solar Light Co. Inc. Microtops II, Ozone Monitor-Sunphotometer. The ultraviolet radiation at the Dead Sea is attenuated relative to Beer Sheva as a result of the increased optical path length and consequent enhanced scattering. The UVB radiation is attenuated to a greater extent than UVA and the shorter erythema UVB spectral range decreased significantly compared with the longer therapeutic UVB wavelengths. It was demonstrated that the relative attenuation within the UVB spectral range is greatest for the shorter erythema rays and less for the longer therapeutic UVB wavelengths, thus producing a greater proportion of the longer therapeutic UVB wavelengths in the ultraviolet spectrum. These measurements can be utilized to minimize the exposure to solar radiation by correlating the cumulative UVB radiation dose to treatment efficacy and by formulating a patient sun exposure treatment protocol for Dead Sea photoclimatherapy.

  7. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  8. The Extreme Ultraviolet Explorer science instruments development - Lessons learned

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Battel, S.

    1991-01-01

    The science instruments development project for the Extreme Ultraviolet Explorer (EUVE) satellite is reviewed. Issues discussed include the philosophical basis of the program, the establishment of a tight development team, the approach to planning and phasing activities, the handling of the most difficult technical problems, and the assessment of the work done during the preimplemntation period of the project.

  9. Measurements of Solar Ultraviolet Radiation Exposure at Work and at Leisure in Danish Workers.

    PubMed

    Grandahl, Kasper; Eriksen, Paul; Ibler, Kristina Sophie; Bonde, Jens Peter; Mortensen, Ole Steen

    2018-03-30

    Exposure to solar ultraviolet radiation is the main cause of skin cancer and may well present an occupational health and safety problem. In Denmark, skin cancer is a common disease in the general population, but detailed data on solar ultraviolet radiation exposure among outdoor workers are lacking. The aim of this study was to provide objective measurements of solar ultraviolet radiation exposure on working days and at leisure and compare levels of exposure between groups of mainly outdoor, equal-parts-outdoor-and-indoor and indoor workers. To this end, UV-B dosimeters with an aluminum gallium nitride (AlGaN) photodiode detector were used to measure the solar ultraviolet radiation exposure of 457 workers in the Danish summer season. Presented as semi-annual standard erythemal dose (SED) on working days, respectively, at leisure, the results are for mainly outdoor workers 214.2 SED and 64.8 SED, equal-parts-outdoor-and-indoor workers 131.4 SED and 64.8 SED, indoor workers 55.8 SED and 57.6 SED. The daily SED by month is significantly different (α = 0.05) between mainly outdoor, equal-parts-outdoor-and-indoor and indoor workers and across professional groups; some of which are exposed at very high levels that is roofers 361.8 SED. These findings substantiate that exposure to solar ultraviolet radiation is indeed an occupational health and safety problem in Denmark. © 2018 The Authors. Photochemistry and Photobiology published by Wiley Periodicals, Inc. on behalf of American Society for Photobiology.

  10. An operations and command systems for the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Muscettola, Nicola; Korsmeyer, David J.; Olson, Eric C.; Wong, Gary

    1994-01-01

    About 40% of the budget of a scientific spacecraft mission is usually consumed by Mission Operations & Data Analysis (MO&DA) with MO driving these costs. In the current practice, MO is separated from spacecraft design and comes in focus relatively late in the mission life cycle. As a result, spacecraft may be designed that are very difficult to operate. NASA centers have extensive MO expertise but often lessons learned in one mission are not exploited for other parallel or future missions. A significant reduction of MO costs is essential to ensure a continuing and growing access to space for the scientific community. We are addressing some of these issues with a highly automated payload operations and command system for an existing mission, the Extreme Ultraviolet Explorer (EUVE). EUVE is currently operated jointly by the Goddard Space Flight Center (GSFC), responsible for spacecraft operations, and the Center for Extreme Ultraviolet Astrophysics (CEA) of the University of California, Berkeley, which controls the telescopes and scientific instruments aboard the satellite. The new automated system is being developed by a team including personnel from the NASA Ames Research Center (ARC), the Jet Propulsion Laboratory (JPL) and the Center for EUV Astrophysics (CEA). An important goal of the project is to provide AI-based technology that can be easily operated by nonspecialists in AI. Another important goal is the reusability of the techniques for other missions. Models of the EUVE spacecraft need to be built both for planning/scheduling and for monitoring. In both cases, our modeling tools allow the assembly of a spacecraft model from separate sub-models of the various spacecraft subsystems. These sub-models are reusable; therefore, building mission operations systems for another small satellite mission will require choosing pre-existing modules, reparametrizing them with respect to the actual satellite telemetry information, and reassembling them in a new model. We

  11. Stage-dependent teratogenic and lethal effects exerted by ultraviolet B radiation on Rhinella (Bufo) arenarum embryos.

    PubMed

    Castañaga, Luis A; Asorey, Cynthia M; Sandoval, María T; Pérez-Coll, Cristina S; Argibay, Teresa I; Herkovits, Jorge

    2009-02-01

    The adverse effects of ultraviolet B radiation from 547.2 to 30,096 J/m2 on morphogenesis, cell differentiation, and lethality of amphibian embryos at six developmental stages were evaluated from 24 up to 168 h postexposure. The ultraviolet B radiation lethal dose 10, 50, and 90 values were obtained for all developmental stages evaluated. The lethal dose 50 values, considered as the dose causing lethality in the 50% of the organisms exposed, in J/m2 at 168 h postexposure, ranged from 2,307 to 18,930; gill circulation and blastula were the most susceptible and resistant stages, respectively. Ultraviolet B radiation caused malformations in all developmental stages but was significantly more teratogenic at the gill circulation and complete operculum stages. Moreover, at the gill circulation stage, even the lowest dose (547.2 J/m2) resulted in malformations to 100% of embryos. The most common malformations were persistent yolk plug, bifid spine, reduced body size, delayed development, asymmetry, microcephaly and anencephaly, tail and body flexures toward the irradiated side, agenesia or partial gill development, abnormal pigment distribution, and hypermotility. The stage-dependent susceptibility to ultraviolet B radiation during amphibian embryogenesis could be explained in the framework of evoecotoxicology, considering ontogenic features as biomarkers of environmental signatures of living forms ancestors during the evolutionary process. The stage-dependent susceptibility to ultraviolet B radiation on Rhinella (Bufo) arenarum embryos for both lethal and teratogenic effects could contribute to a better understanding of the role of the increased ultraviolet B radiation on worldwide amphibian populations decline.

  12. Ultimate waveform reproducibility of extreme-ultraviolet pulses by high-harmonic generation in quartz

    NASA Astrophysics Data System (ADS)

    Garg, M.; Kim, H. Y.; Goulielmakis, E.

    2018-05-01

    Optical waveforms of light reproducible with subcycle precision underlie applications of lasers in ultrafast spectroscopies, quantum control of matter and light-based signal processing. Nonlinear upconversion of optical pulses via high-harmonic generation in gas media extends these capabilities to the extreme ultraviolet (EUV). However, the waveform reproducibility of the generated EUV pulses in gases is inherently sensitive to intensity and phase fluctuations of the driving field. We used photoelectron interferometry to study the effects of intensity and carrier-envelope phase of an intense single-cycle optical pulse on the field waveform of EUV pulses generated in quartz nanofilms, and contrasted the results with those obtained in gas argon. The EUV waveforms generated in quartz were found to be virtually immune to the intensity and phase of the driving field, implying a non-recollisional character of the underlying emission mechanism. Waveform-sensitive photonic applications and precision measurements of fundamental processes in optics will benefit from these findings.

  13. Progress on EUV mask fabrication for 32-nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Zhang, Guojing; Yan, Pei-Yang; Liang, Ted; Park, Seh-jin; Sanchez, Peter; Shu, Emily Y.; Ultanir, Erdem A.; Henrichs, Sven; Stivers, Alan; Vandentop, Gilroy; Lieberman, Barry; Qu, Ping

    2007-05-01

    Extreme ultraviolet lithography (EUVL) tool development achieved a big milestone last year as two full-field Alpha Demo Tools (ADT) were shipped to customers by ASML. In the future horizon, a full field "EUV1" exposure tool from Nikon will be available by the end of 20071 and the pre-production EUV exposure tools from ASML are targeted for 20092. It is essential that high quality EUVL masks can be made and delivered to the EUVL tool users to support the technology development. In the past year, we have demonstrated mask fabrication with low stress absorber deposition and good etch process control yielding a vertical etch profile and a mask CD control of 5.7 nm for 32 nm (1x) space and 7.4 nm for 32 nm (1x) lines. Mask pattern resolution of 15 nm (1x) dense lines was achieved. Full field reflective mask die-to-die inspection at a 125nm pixel size was demonstrated after low defect multilayer blanks became available. In this paper, we will present details of the Intel EUVL Mask Pilot Line progress in EUVL mask defect reduction, pattern CD performance, program defect mask design and inspection, in-house absorber film development and its performance, and EUVL metrology tool development. We will demonstrate an overall improvement in EUV mask manufacturing readiness due to our Pilot Line activities.

  14. SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Balachandran, Dave; He, Long; Kearney, Patrick; Karumuri, Anil; Goodwin, Frank; Cummings, Kevin

    2015-03-01

    Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.

  15. Exploring the readiness of EUV photo materials for patterning advanced technology nodes

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Vesters, Yannick; Shehzad, Atif; Vandenberghe, Geert; Foubert, Philippe; Beral, Christophe; Van Den Heuvel, Dieter; Mao, Ming; Lazzarino, Fred

    2017-03-01

    Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.

  16. Increased exposure of Southern Ocean phytoplankton to ultraviolet radiation

    NASA Astrophysics Data System (ADS)

    Lubin, Dan; Arrigo, Kevin R.; van Dijken, Gert L.

    2004-05-01

    Satellite remote sensing of both surface solar ultraviolet radiation (UVR) and chlorophyll over two decades shows that biologically significant ultraviolet radiation increases began to occur over the Southern Ocean three years before the ozone ``hole'' was discovered. Beginning in October 1983, the most frequent occurrences of enhanced UVR over phytoplankton-rich waters occurred in the Weddell Sea and Indian Ocean sectors of the Southern Ocean, impacting 60% of the surface biomass by the late 1990s. These results suggest two reasons why more serious impacts to the base of the marine food web may not have been detected by field experiments: (1) the onset of UVR increases several years before dedicated field work began may have impacted the most sensitive organisms long before such damage could be detected, and (2) most biological field work has so far not taken place in Antarctic waters most extensively subjected to enhanced UVR.

  17. Protection of extreme ultraviolet lithography masks. II. Showerhead flow mitigation of nanoscale particulate contamination [Protection of EUV lithography masks II: Showerhead flow mitigation of nanoscale particulate contamination

    DOE PAGES

    Klebanoff, Leonard E.; Torczynski, John R.; Geller, Anthony S.; ...

    2015-03-27

    An analysis is presented of a method to protect the reticle (mask) in an extreme ultraviolet (EUV) mask inspection tool using a showerhead plenum to provide a continuous flow of clean gas over the surface of a reticle. The reticle is suspended in an inverted fashion (face down) within a stage/holder that moves back and forth over the showerhead plenum as the reticle is inspected. It is essential that no particles of 10-nm diameter or larger be deposited on the reticle during inspection. Particles can originate from multiple sources in the system, and mask protection from each source is explicitlymore » analyzed. The showerhead plate has an internal plenum with a solid conical wall isolating the aperture. The upper and lower surfaces of the plate are thin flat sheets of porous-metal material. These porous sheets form the top and bottom showerheads that supply the region between the showerhead plate and the reticle and the region between the conical aperture and the Optics Zone box with continuous flows of clean gas. The model studies show that the top showerhead provides robust reticle protection from particles of 10-nm diameter or larger originating from the Reticle Zone and from plenum surfaces contaminated by exposure to the Reticle Zone. Protection is achieved with negligible effect on EUV transmission. Furthermore, the bottom showerhead efficiently protects the reticle from nanoscale particles originating from the Optics Zone.« less

  18. Studies of EUV contamination mitigation

    NASA Astrophysics Data System (ADS)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  19. Effects of near ultraviolet and green radiations on plant growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klein, R.M.; Edsall, P.C.; Gentile, A.C.

    Selective removal of near ultraviolet and green wavelengths from white light permitted enhanced growth of marigold, tomato, corn, and Impatiens plants, Chlamydomonas cells and the mycelium of Sordaria. Additions of near ultraviolet and green radiations caused repressions in the growth of marigold and Sordaria. These wavelengths do not alter the oxidative mechanisms of mitochondria, intact algal cells or marigold leaf tissues. The capacity for chlorophyll and carotenoid synthesis by Euglena cells was unaffected by these wavelengths. 23 references, 2 figures, 4 tables.

  20. Observed ozone response to variations in solar ultraviolet radiation

    NASA Technical Reports Server (NTRS)

    Gille, J. C.; Smythe, C. M.; Heath, D. F.

    1984-01-01

    During the winter of 1979, the solar ultraviolet irradiance varied with a period of 13.5 days and an amplitude of 1 percent. The zonal mean ozone values in the tropics varied with the solar irradiance, with an amplitude of 0.25 to 0.60 percent. This observation agrees with earlier calculations, although the response may be overestimated. These results imply changes in ozone at an altitude of 48 kilometers of up to 12 percent over an 11-year solar cycle. Interpretation of ozone changes in the upper stratosphere will require measurements of solar ultraviolet radiation at wavelengths near 200 nanometers.

  1. ULTRAVIOLET RADIATION DOSE AND AMPHIBIAN DISTRIBUTIONS IN NATIONAL PARKS

    EPA Science Inventory

    Ultraviolet Radiation Dose and Amphibian Distributions in National Parks. Diamond, S. A., Detenbeck, N. E., USEPA, Duluth, MN, USA, Bradford, D. F., USEPA, Las Vegas, NV, USA, Trenham, P. C., University of California, Davis, CA., USA, Adams, M. J., Corn, P. S., Hossack, B., USGS,...

  2. CCD imaging system for the EUV solar telescope

    NASA Astrophysics Data System (ADS)

    Gong, Yan; Song, Qian; Ye, Bing-Xun

    2006-01-01

    In order to develop the detector adapted to the space solar telescope, we have built a CCD camera system capable of working in the extra ultraviolet (EUV) band, which is composed of one phosphor screen, one intensified system using a photocathode/micro-channel plate(MCP)/ phosphor, one optical taper and one chip of front-illuminated (FI) CCD without screen windows. All of them were stuck one by one with optical glue. The working principle of the camera system is presented; moreover we have employed the mesh experiment to calibrate and test the CCD camera system in 15~24nm, the position resolution of about 19 μm is obtained at the wavelength of 17.1nm and 19.5nm.

  3. Sources and measurement of ultraviolet radiation.

    PubMed

    Diffey, Brian L

    2002-09-01

    Ultraviolet (UV) radiation is part of the electromagnetic spectrum. The biological effects of UV radiation vary enormously with wavelength and for this reason the UV spectrum is further subdivided into three regions: UVA, UVB, and UVC. Quantities of UV radiation are expressed using radiometric terminology. A particularly important term in clinical photobiology is the standard erythema dose (SED), which is a measure of the erythemal effectiveness of a UV exposure. UV radiation is produced either by heating a body to an incandescent temperature, as is the case with solar UV, or by passing an electric current through a gas, usually vaporized mercury. The latter process is the mechanism whereby UV radiation is produced artificially. Both the quality (spectrum) and quantity (intensity) of terrestrial UV radiation vary with factors including the elevation of the sun above the horizon and absorption and scattering by molecules in the atmosphere, notably ozone, and by clouds. For many experimental studies in photobiology it is simply not practicable to use natural sunlight and so artificial sources of UV radiation designed to simulate the UV component of sunlight are employed; these are based on either optically filtered xenon arc lamps or fluorescent lamps. The complete way to characterize an UV source is by spectroradiometry, although for most practical purposes a detector optically filtered to respond to a limited portion of the UV spectrum normally suffices.

  4. The Development of a New Model of Solar EUV Irradiance Variability

    NASA Technical Reports Server (NTRS)

    Warren, Harry; Wagner, William J. (Technical Monitor)

    2002-01-01

    The goal of this research project is the development of a new model of solar EUV (Extreme Ultraviolet) irradiance variability. The model is based on combining differential emission measure distributions derived from spatially and spectrally resolved observations of active regions, coronal holes, and the quiet Sun with full-disk solar images. An initial version of this model was developed with earlier funding from NASA. The new version of the model developed with this research grant will incorporate observations from SoHO as well as updated compilations of atomic data. These improvements will make the model calculations much more accurate.

  5. The Extreme Ultraviolet Explorer - Optics fabrication and performance

    NASA Technical Reports Server (NTRS)

    Green, J.; Finley, D.; Bowyer, S.; Malina, R. F.

    1986-01-01

    The fabrication methods, testing and evaluation techniques, and performance results are presented for the mirrors for the Extreme Ultraviolet Explorer (EUVE). The finest mirror produced to date has a measured half energy width of 8 arcsec at optical wavelengths. With a polished nickel surface, the telescope throughput was 35 percent at 44 A and 60 percent at 256 A. The surface roughness is 20 A rms.

  6. Extreme ultraviolet explorer satellite observation of Jupiter's Io plasma torus

    NASA Technical Reports Server (NTRS)

    Hall, D. T; Gladstone, G. R.; Moos, H. W.; Bagenal, F.; Clarke, J. T.; Feldman, P. D.; Mcgrath, M. A.; Schneider, N. M.; Shemansky, D. E.; Strobel, D. F.

    1994-01-01

    We present the first Extreme Ultraviolet Explorer (EUVE) satellite observation of the Jupiter system, obtained during the 2 day period 1993 March 30 through April 1, which shows a rich emission-line spectrum from the Io plasma torus spanning wavelengths 370 to 735 A. The emission features correspond primarily to known multiplets of oxygen and sulfur ions, but a blended feature near 372 A is a plausible Na II transition. The summed detected energy flux of (7.2 +/- 0.2) x 10(exp -11) ergs/sq cm(s) corresponds to a radiated power of approximately equal to 4 x 10(exp 11) W in this spectral range. All ansa emissions show a distinct dawn-dusk brightness asymmetry and the measured dusk/dawn ratio of the bright S III lambda-680 feature is 2.3 +/- 0.3, significantly larger than the ratio measured by the Voyager spacecraft ultraviolet (UV) instruments. A preliminary estimate of ion partitioning indicates that the oxygen/sulfur ion ratio is approximately equal to 2, compared to the value approximately equal to 1.3 measured by Voyager, and that (Na(+))/(e) greater than 0.01.

  7. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    NASA Astrophysics Data System (ADS)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  8. Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography

    DOEpatents

    Montcalm, Claude; Stearns, Daniel G.; Vernon, Stephen P.

    1999-01-01

    A passivating overcoat bilayer is used for multilayer reflective coatings for extreme ultraviolet (EUV) or soft x-ray applications to prevent oxidation and corrosion of the multilayer coating, thereby improving the EUV optical performance. The overcoat bilayer comprises a layer of silicon or beryllium underneath at least one top layer of an elemental or a compound material that resists oxidation and corrosion. Materials for the top layer include carbon, palladium, carbides, borides, nitrides, and oxides. The thicknesses of the two layers that make up the overcoat bilayer are optimized to produce the highest reflectance at the wavelength range of operation. Protective overcoat systems comprising three or more layers are also possible.

  9. The extreme ultraviolet spectra of low-redshift radio-loud quasars

    NASA Astrophysics Data System (ADS)

    Punsly, Brian; Reynolds, Cormac; Marziani, Paola; O'Dea, Christopher P.

    2016-07-01

    This paper reports on the extreme ultraviolet (EUV) spectrum of three low-redshift (z ˜ 0.6) radio-loud quasars, 3C 95, 3C 57 and PKS 0405-123. The spectra were obtained with the Cosmic Origins Spectrograph of the Hubble Space Telescope. The bolometric thermal emission, Lbol, associated with the accretion flow is a large fraction of the Eddington limit for all of these sources. We estimate the long-term time-averaged jet power, overline{Q}, for the three sources. overline{Q}/L_{bol}, is shown to lie along the correlation of overline{Q}/L_{bol}, and αEUV found in previous studies of the EUV continuum of intermediate and high-redshift quasars, where the EUV continuum flux density between 1100 and 700 Å is defined by F_{ν } ˜ ν ^{-α _{EUV}}. The high Eddington ratios of the three quasars extend the analysis into a wider parameter space. Selecting quasars with high Eddington ratios has accentuated the statistical significance of the partial correlation analysis of the data. Namely, the correlation of overline{Q}/L_{bol} and αEUV is fundamental, and the correlation of overline{Q} and αEUV is spurious at a very high statistical significance level (99.8 per cent). This supports the regulating role of ram pressure of the accretion flow in magnetically arrested accretion models of jet production. In the process of this study, we use multifrequency and multiresolution Very Large Array radio observations to determine that one of the bipolar jets in 3C 57 is likely frustrated by galactic gas that keeps the jet from propagating outside the host galaxy.

  10. The solar flare extreme ultraviolet to hard X-ray ratio

    NASA Technical Reports Server (NTRS)

    Mcclymont, A. N.; Canfield, R. C.

    1986-01-01

    Simultaneous measurements of the peak 10-1030 A extreme ultraviolet (EUV) flux enhancement and more than 10 keV hard X-ray (HXR) peak flux of many solar flare bursts, ranging over about four orders of magnitude in HXR intensity, are studied. A real departure from linearity is found in the relationship between the peak EUV and HXR fluxes in impulsive flare bursts. This relationship is well described by a given power law. Comparison of the predictions of the impulsive nonthermal thick-target electron beam model with observations shows that the model satisfactorily predicts the observed time differences between the HXR and EUV peaks and explains the data very well under given specific assumptions. It is concluded that the high-energy fluxes implied by the invariant area thick-target model cannot be completely ruled out, while the invariant area model with smaller low cutoff requires impossibly large beam densities. A later alternative thick-target model is suggested.

  11. Extreme ultraviolet interferometry of warm dense matter in laser plasmas.

    PubMed

    Gartside, L M R; Tallents, G J; Rossall, A K; Wagenaars, E; Whittaker, D S; Kozlová, M; Nejdl, J; Sawicka, M; Polan, J; Kalal, M; Rus, B

    2010-11-15

    We demonstrate that interferometric probing with extreme ultraviolet (EUV) laser light enables determination of the degree of ionization of the "warm dense matter" produced between the critical and ablation surfaces of laser plasmas. Interferometry has been utilized to measure both transmission and phase information for an EUV laser beam at the photon energy of 58.5 eV, probing longitudinally through laser-irradiated plastic (parylene-N) targets (thickness 350 nm) irradiated by a 300 ps duration pulse of wavelength 438 nm and peak irradiance 10(12) W cm(-2). The transmission of the EUV probe beam provides a measure of the rate of target ablation, as ablated plasma becomes close to transparent when the photon energy is less than the ionization energy of the predominant ion species. We show that refractive indices η below the solid parylene N (η(solid) = 0.946) and expected plasma values are produced in the warm dense plasma created by laser irradiation due to bound-free absorption in C(+).

  12. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  13. An Extreme-ultraviolet Wave Generating Upward Secondary Waves in a Streamer-like Solar Structure

    NASA Astrophysics Data System (ADS)

    Zheng, Ruisheng; Chen, Yao; Feng, Shiwei; Wang, Bing; Song, Hongqiang

    2018-05-01

    Extreme-ultraviolet (EUV) waves, spectacular horizontally propagating disturbances in the low solar corona, always trigger horizontal secondary waves (SWs) when they encounter the ambient coronal structure. We present the first example of upward SWs in a streamer-like structure after the passing of an EUV wave. This event occurred on 2017 June 1. The EUV wave happened during a typical solar eruption including a filament eruption, a coronal mass ejection (CME), and a C6.6 flare. The EUV wave was associated with quasi-periodic fast propagating (QFP) wave trains and a type II radio burst that represented the existence of a coronal shock. The EUV wave had a fast initial velocity of ∼1000 km s‑1, comparable to high speeds of the shock and the QFP wave trains. Intriguingly, upward SWs rose slowly (∼80 km s‑1) in the streamer-like structure after the sweeping of the EUV wave. The upward SWs seemed to originate from limb brightenings that were caused by the EUV wave. All of the results show that the EUV wave is a fast-mode magnetohydrodynamic (MHD) shock wave, likely triggered by the flare impulses. We suggest that part of the EUV wave was probably trapped in the closed magnetic fields of the streamer-like structure, and upward SWs possibly resulted from the release of slow-mode trapped waves. It is believed that the interplay of the strong compression of the coronal shock and the configuration of the streamer-like structure is crucial for the formation of upward SWs.

  14. Solar Activity, Ultraviolet Radiation and Consequences in Birds in Mexico City, 2001- 2002

    NASA Astrophysics Data System (ADS)

    Valdes, M.; Velasco, V.

    2008-12-01

    Anomalous behavior in commercial and pet birds in Mexico City was reported during 2002 by veterinarians at the Universidad Nacional Autonoma de Mexico. This was attributed to variations in the surrounding luminosity. The solar components, direct, diffuse, global, ultraviolet band A and B, as well as some meteorological parameters, temperature, relative humidity, and precipitation, were then analyzed at the Solar Radiation Laboratory. Although the total annual radiance of the previously mentioned radiation components did not show important changes, ultraviolet Band-B solar radiation did vary significantly. During 2001 the total annual irradiance , 61.05 Hjcm² to 58.32 Hjcm², was 1.6 standard deviations lower than one year later, in 2002 and increased above the mean total annual irradiance, to 65.75 Hjcm², 2.04 standard deviations, giving a total of 3.73 standard deviations for 2001-2002. Since these differences did not show up clearly in the other solar radiation components, daily extra-atmosphere irradiance was analyzed and used to calculate the total annual extra-atmosphere irradiance, which showed a descent for 2001. Our conclusions imply that Ultraviolet Band-B solar radiation is representative of solar activity and has an important impact on commercial activity related with birds.

  15. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  16. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  17. EUV Solar Instrument Development at the Marshall Space Flight Center

    NASA Astrophysics Data System (ADS)

    Kobayashi, K.; Cirtain, J. W.; Davis, J. M.; West, E.; Golub, L.; Korreck, K. E.; Tsuneta, S.; Bando, T.

    2009-12-01

    The three sounding rocket instrument programs currently underway at the NASA Marshall Space Flight Center represent major advances in solar observations, made possible by improvements in EUV optics and detector technology. The Solar Ultraviolet Magnetograph Instrument (SUMI) is an EUV spectropolarimeter designed to measure the Zeeman splitting of two chromospheric EUV lines, the 280 nm MgII and 155 nm CIV lines. SUMI directly observes the magnetic field in the low-beta region where most energetic phenomena are though to originate. In conjunction with visible-light magnetographs, this observation allows us to track the evolution of the magnetic field as it evolves from the photosphere to the upper chromosphere. SUMI incorporates a normal incidence Cassegrain telescope, a MgF2 double-Wollaston polarizing beam splitter and two TVLS (toroidal varied line space) gratings, and is capable of observing two orthogonal polarizations in two wavelength bands simultaneously. SUMI has been fully assembled and tested, and currently scheduled for launch in summer of 2010. The High-resolution Coronal Imager is a normal-incidence EUV imaging telescope designed to achieve 0.2 arcsecond resolution, with a pixel size of 0.1 arcsecond. This is a factor of 25 improvement in aerial resolution over the Transition Region And Coronal Explorer (TRACE). Images obtained by TRACE indicate presence of unresolved structures; higher resolution images will reveal the scale and topology of structures that make up the corona. The telescope mirrors are currently being fabricated, and the instrument has been funded for flight. In addition, a Lyman alpha spectropolarimeter is under development in collaboration with the National Astronomical Observatory of Japan. This aims to detect the linear polarization in the chromosphere caused by the Hanle effect. Horizontal magnetic fields in the chromosphere are expected to be detectable as polarization near disk center, and off-limb observations will reveal the

  18. Active galaxies observed during the Extreme Ultraviolet Explorer all-sky survey

    NASA Technical Reports Server (NTRS)

    Marshall, H. L.; Fruscione, A.; Carone, T. E.

    1995-01-01

    We present observations of active galactic nuclei (AGNs) obtained with the Extreme Ultraviolet Explorer (EUVE) during the all-sky survey. A total of 13 sources were detected at a significance of 2.5 sigma or better: seven Seyfert galaxies, five BL Lac objects, and one quasar. The fraction of BL Lac objects is higher in our sample than in hard X-ray surveys but is consistent with the soft X-ray Einstein Slew Survey, indicating that the main reason for the large number of BL Lac objects in the extreme ulktraviolet (EUV) and soft X-ray bands is their steeper X-ray spectra. We show that the number of AGNs observed in both the EUVE and ROSAT Wide Field Camera surveys can readily be explained by modelling the EUV spectra with a simple power law in the case of BL Lac objects and with an additional EUV excess in the case of Seyferts and quasars. Allowing for cold matter absorption in Seyfert galaxy hosts drive up the inferred average continuum slope to 2.0 +/- 0.5 (at 90% confidence), compared to a slope of 1.0 usually found from soft X-ray data. If Seyfert galaxies without EUV excesses form a significant fraction of the population, then the average spectrum of those with bumps should be even steeper. We place a conservative limit on neutral gas in BL Lac objects: N(sub H) less than 10(exp 20)/sq cm.

  19. Apollo-Soyuz survey of the extreme-ultraviolet/soft X-ray background

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stern, R.; Bowyer, S.

    1979-06-15

    The results of an extensive sky survey of the extreme-ultraviolet (EUV)/soft X-ray background are reported. The data were obtained with a telescope, designed and calibrated at the University of California at Berkeley, which observed EUV sources and the diffuse background as part of the Apollo-Soyuz mission in 1975 July. With a primary field of view of 2 /sup 0/.3 +- 0 /sup 0/.1 FWHM and four EUV bandpass filters (16--25, 20--73, 80--108, and 80--250 eV), the EUV telescope obtained useful background data for 21 sky points, 11 large angle scans, and an additional group of short observations of both types.more » Analysis of the data reveals an intense 80--108 eV diffuse flux of 4.0 +- 1.3 photons cm/sup -2/ sr/sup -1/ eV/sup -1/ (broad-band weighted average). This is roughly a factor of 10 higher than the corresponding 150--280 eV average intensity and confirms the earlier results of Cash, Malina, and Stern. Galactic contributions to the background intensity at still lower energies are most likely masked by large fluxes of geocoronal or interplanetary solar-scattered resonance radiation; however, we drive upper limits to the local galactic background of 2 x 10/sup 4/ and 6 x 10/sup 2/ photons cm/sup -2/ sr/sup -1/ eV/sup -1/ averaged over the 16--25 eV and 20--73 eV bands, respectively. The uniformity of the background flux is uncertain due to limitations in the statistical accuracy of the data; we discuss probable upper limits to any spatial anisotropy. No evidence is found for a correlation between the telescope count rate and Earth-based parameters (zenith angle, Sun angle, etc.) for E> or approx. =80 eV. Unlike some previous claims for the soft X-ray background, no simple dependence upon galactic latitude is seen.Fitting models of thermal emission to the Apollo-Soyuz data yields constraints on model parameters that are consistent for a limited range of temperatures with the EUV results of Cash, Malina, and Stern and the soft X-ray data of Burstein et al.« less

  20. Solar UV Radiation and the Origin of Life On Earth

    NASA Technical Reports Server (NTRS)

    Heap, S. R.; Lanz, T.; Hubeny, I.; Gaidos, E.; Oegerle, William R. (Technical Monitor)

    2002-01-01

    We have embarked on a program aimed at understanding the atmosphere of the early Earth, because of its importance as a greenhouse, radiation shield and energy source for life. Here, we give a progress report on the first phase of this program to establish the UV radiation from the early Sun. We have obtained ultraviolet spectra (STIS, FUSE, EUVE) of carefully selected nearby, young solar-type stars, which act as surrogates for the early Sun We are making detailed non-LTE analyses of the spectra and constructing models of their photospheres + chromospheres. Once validated, these models will allow us to extrapolate our theoretical spectra to other metallicities and to unobserved spectral regions.

  1. Patterning highly ordered arrays of complex nanofeatures through EUV directed polarity switching of non chemically amplified photoresist

    PubMed Central

    Ghosh, Subrata; Satyanarayana, V. S. V.; Pramanick, Bulti; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Morales-Reyes, Israel; Batina, Nikola; Gonsalves, Kenneth E.

    2016-01-01

    Given the importance of complex nanofeatures in the filed of micro-/nanoelectronics particularly in the area of high-density magnetic recording, photonic crystals, information storage, micro-lens arrays, tissue engineering and catalysis, the present work demonstrates the development of new methodology for patterning complex nanofeatures using a recently developed non-chemically amplified photoresist (n-CARs) poly(4-(methacryloyloxy)phenyl)dimethylsulfoniumtriflate) (polyMAPDST) with the help of extreme ultraviolet lithography (EUVL) as patterning tool. The photosensitivity of polyMAPDST is mainly due to the presence of radiation sensitive trifluoromethanesulfonate unit (triflate group) which undergoes photodegradation upon exposure with EUV photons, and thus brings in polarity change in the polymer structure. Integration of such radiation sensitive unit into polymer network avoids the need of chemical amplification which is otherwise needed for polarity switching in the case of chemically amplified photoresists (CARs). Indeed, we successfully patterned highly ordered wide-raging dense nanofeatures that include nanodots, nanowaves, nanoboats, star-elbow etc. All these developed nanopatterns have been well characterized by FESEM and AFM techniques. Finally, the potential of polyMAPDST has been established by successful transfer of patterns into silicon substrate through adaptation of compatible etch recipes. PMID:26975782

  2. Study on the lifetime of Mo/Si multilayer optics with pulsed EUV-source at the ETS

    NASA Astrophysics Data System (ADS)

    Schürmann, Mark; Yulin, Sergiy; Nesterenko, Viatcheslav; Feigl, Torsten; Kaiser, Norbert; Tkachenko, Boris; Schürmann, Max C.

    2011-06-01

    As EUV lithography is on its way into production stage, studies of optics contamination and cleaning under realistic conditions become more and more important. Due to this fact an Exposure Test Stand (ETS) has been constructed at XTREME technologies GmbH in collaboration with Fraunhofer IOF and with financial support of Intel Corporation. This test stand is equipped with a pulsed DPP source and allows for the simultaneous exposure of several samples. In the standard set-up four samples with an exposed area larger than 35 mm2 per sample can be exposed at a homogeneous intensity of 0.25 mW/mm2. A recent update of the ETS allows for simultaneous exposures of two samples with intensities up to 1.0 mW/mm2. The first application of this alternative set-up was a comparative study of carbon contamination rates induced by EUV radiation from the pulsed source with contamination rates induced by quasicontinuous synchrotron radiation. A modified gas-inlet system allows for the introduction of a second gas to the exposure chamber. This possibility was applied to investigate the efficiency of EUV-induced cleaning with different gas mixtures. In particular the enhancement of EUV-induced cleaning by addition of a second gas to the cleaning gas was studied.

  3. State-of-the-art EUV materials and processes for the 7nm node and beyond

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Vockenhuber, Michaela; Mochi, Iacopo; Fallica, Roberto; Tasdemir, Zuhal; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the

  4. Ultraviolet absorption hygrometer

    DOEpatents

    Gersh, M.E.; Bien, F.; Bernstein, L.S.

    1986-12-09

    An ultraviolet absorption hygrometer is provided including a source of pulsed ultraviolet radiation for providing radiation in a first wavelength region where water absorbs significantly and in a second proximate wavelength region where water absorbs weakly. Ultraviolet radiation in the first and second regions which has been transmitted through a sample path of atmosphere is detected. The intensity of the radiation transmitted in each of the first and second regions is compared and from this comparison the amount of water in the sample path is determined. 5 figs.

  5. Interventions to prevent skin cancer by reducing exposure to ultraviolet radiation: a systematic review.

    PubMed

    Saraiya, Mona; Glanz, Karen; Briss, Peter A; Nichols, Phyllis; White, Cornelia; Das, Debjani; Smith, S Jay; Tannor, Bernice; Hutchinson, Angela B; Wilson, Katherine M; Gandhi, Nisha; Lee, Nancy C; Rimer, Barbara; Coates, Ralph C; Kerner, Jon F; Hiatt, Robert A; Buffler, Patricia; Rochester, Phyllis

    2004-12-01

    The relationship between skin cancer and ultraviolet radiation is well established. Behaviors such as seeking shade, avoiding sun exposure during peak hours of radiation, wearing protective clothing, or some combination of these behaviors can provide protection. Sunscreen use alone is not considered an adequate protection against ultraviolet radiation. This report presents the results of systematic reviews of effectiveness, applicability, other harms or benefits, economic evaluations, and barriers to use of selected interventions to prevent skin cancer by reducing exposure to ultraviolet radiation. The Task Force on Community Preventive Services found that education and policy approaches to increasing sun-protective behaviors were effective when implemented in primary schools and in recreational or tourism settings, but found insufficient evidence to determine effectiveness when implemented in other settings, such as child care centers, secondary schools and colleges, and occupational settings. They also found insufficient evidence to determine the effectiveness of interventions oriented to healthcare settings and providers, media campaigns alone, interventions oriented to parents or caregivers of children, and community-wide multicomponent interventions. The report also provides suggestions for areas for future research.

  6. Update on EUV radiometry at PTB

    NASA Astrophysics Data System (ADS)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  7. Disinfection of Airborne Organisms by Ultraviolet-C Radiation and Sunlight

    DTIC Science & Technology

    2012-07-01

    organisms deposited on surfaces, suspended in water , and contaminating food, all of which have been discussed elsewhere (Block, 2001). In contrast, the... water . Therefore, the primary means for organism inactivation in aerosols is ultraviolet (UV) radiation. Radiation from the sunlight is used as a...cortex is essential for reduction of the water content in the spore core and formation of a dormant spore. The cortex is degraded in spore

  8. Research in extreme ultraviolet and far ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Labov, S. E.

    1985-01-01

    Instruments designed to explore different aspects of far and extreme ultraviolet cosmic radiation were studied. The far ultraviolet imager (FUVI) was flown on the Aries sounding rocket. Its unique large format 75mm detector mapped out the far ultraviolet background radiation with a resolution of only a few arc minutes. Analysis of this data indicates to what extent the FUVI background is extra galactic in origin. A power spectrum of the spatial fluctuations will have direct consequences for galactic evolution.

  9. Melanocortin 1 receptor genotype: an important determinant of the damage response of melanocytes to ultraviolet radiation

    PubMed Central

    Kadekaro, Ana Luisa; Leachman, Sancy; Kavanagh, Renny J.; Swope, Viki; Cassidy, Pamela; Supp, Dorothy; Sartor, Maureen; Schwemberger, Sandy; Babcock, George; Wakamatsu, Kazumasa; Ito, Shosuke; Koshoffer, Amy; Boissy, Raymond E.; Manga, Prashiela; Sturm, Richard A.; Abdel-Malek, Zalfa A.

    2010-01-01

    The melanocortin 1 receptor gene is a main determinant of human pigmentation, and a melanoma susceptibility gene, because its variants that are strongly associated with red hair color increase melanoma risk. To test experimentally the association between melanocortin 1 receptor genotype and melanoma susceptibility, we compared the responses of primary human melanocyte cultures naturally expressing different melanocortin 1 receptor variants to α-melanocortin and ultraviolet radiation. We found that expression of 2 red hair variants abolished the response to α-melanocortin and its photoprotective effects, evidenced by lack of functional coupling of the receptor, and absence of reduction in ultraviolet radiation-induced hydrogen peroxide generation or enhancement of repair of DNA photoproducts, respectively. These variants had different heterozygous effects on receptor function. Microarray data confirmed the observed differences in responses of melanocytes with functional vs. nonfunctional receptor to α-melanocortin and ultraviolet radiation, and identified DNA repair and antioxidant genes that are modulated by α-melanocortin. Our findings highlight the molecular mechanisms by which the melanocortin 1 receptor genotype controls genomic stability of and the mutagenic effect of ultraviolet radiation on human melanocytes.—Kadekaro, A. L., Leachman, S., Kavanagh, R. J., Swope, V., Cassidy, P., Supp, D., Sartor, M., Schwemberger, S., Babcock, G., Wakamatsu, K., Ito, S., Koshoffer, A., Boissy, R. E., Manga, P., Sturm, R. A., Abdel-Malek, Z. A. Melanocortin 1 receptor genotype: an important determinant of the damage response of melanocytes to ultraviolet radiation. PMID:20519635

  10. Ultraviolet absorption by highly ionized halo gas near the Galactic center

    NASA Technical Reports Server (NTRS)

    Savage, B. D.; Massa, D.

    1985-01-01

    Initial results are presented for a program to survey highly ionized gas in the Milky Way disk and halo. High-resolution IUE (International Ultraviolet Explorer) far-UV spectra were obtained for 12 stars at galactocentric distances less than 6 kpc. The stars are 0.7-2.2 kpc away from the plane. Most of the spectra contain exceedingly strong and broad interstellar absorption lines of weakly and highly ionized atoms. In addition to the normally strong lines of Si IV and C IV, strong interstellar NV lines have been detected in the spectra of eight stars. The detection of NV absorption (amounting to more than 10 times the predicted NV) provides an important new constraint on models for the origin of Galactic halo gas. A Galactic fountain operating in the presence of known UV and EUV radiation might explain the observations.

  11. Study of extreme-ultraviolet emission and properties of a coronal streamer from PROBA2/SWAP, HINODE/EIS and Mauna Loa Mk4 observations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goryaev, F.; Slemzin, V.; Vainshtein, L.

    2014-02-01

    Wide-field extreme-ultraviolet (EUV) telescopes imaging in spectral bands sensitive to 1 MK plasma on the Sun often observe extended, ray-like coronal structures stretching radially from active regions to distances of 1.5-2 R {sub ☉}, which represent the EUV counterparts of white-light streamers. To explain this phenomenon, we investigated the properties of a streamer observed on 2010 October 20 and 21, by the PROBA2/SWAP EUV telescope together with the Hinode/EIS (HOP 165) and the Mauna Loa Mk4 white-light coronagraph. In the SWAP 174 Å band comprising the Fe IX-Fe XI lines, the streamer was detected to a distance of 2 Rmore » {sub ☉}. We assume that the EUV emission is dominated by collisional excitation and resonant scattering of monochromatic radiation coming from the underlying corona. Below 1.2 R {sub ☉}, the plasma density and temperature were derived from the Hinode/EIS data by a line-ratio method. Plasma conditions in the streamer and in the background corona above 1.2 R {sub ☉} from the disk center were determined by forward-modeling the emission that best fit the observational data in both EUV and white light. It was found that the plasma in the streamer above 1.2 R {sub ☉} is nearly isothermal, with a temperature of T = 1.43 ± 0.08 MK. The hydrostatic scale-height temperature determined from the evaluated density distribution was significantly higher (1.72 ± 0.08 MK), which suggests the existence of outward plasma flow along the streamer. We conclude that, inside the streamer, collisional excitation provided more than 90% of the observed EUV emission, whereas, in the background corona, the contribution of resonance scattering became comparable with that of collisions at R ≳ 2 R {sub ☉}.« less

  12. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    NASA Astrophysics Data System (ADS)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  13. Improving Assessment of Lifetime Solar Ultraviolet Radiation Exposure in Epidemiologic Studies: Comparison of Ultraviolet Exposure Assessment Methods in a Nationwide United States Occupational Cohort.

    PubMed

    Little, Mark P; Tatalovich, Zaria; Linet, Martha S; Fang, Michelle; Kendall, Gerald M; Kimlin, Michael G

    2018-06-13

    Solar ultraviolet radiation is the primary risk factor for skin cancers and sun-related eye disorders. Estimates of individual ambient ultraviolet irradiance derived from ground-based solar measurements and from satellite measurements have rarely been compared. Using self-reported residential history from 67,189 persons in a nationwide occupational US radiologic technologists cohort, we estimated ambient solar irradiance using data from ground-based meters and noontime satellite measurements. The mean distance-moved from city of longest residence in childhood increased from 137.6 km at ages 13-19 to 870.3 km at ages ≥65, with corresponding increases in absolute latitude-difference moved. At ages 20/40/60/80, the Pearson/Spearman correlation coefficients of ground-based and satellite-derived solar potential ultraviolet exposure, using irradiance and cumulative radiant-exposure metrics, were high (=0.87-0.92). There was also moderate correlation (Pearson/Spearman correlation coefficients=0.51-0.60) between irradiance at birth and at last-known address, for ground-based and satellite data. Satellite-based lifetime estimates of ultraviolet radiation were generally 14-15% lower than ground-based estimates, albeit with substantial uncertainties, possibly because ground-based estimates incorporate fluctuations in cloud and ozone, which are incompletely incorporated in the single noontime satellite-overpass ultraviolet value. If confirmed elsewhere, the findings suggest that ground-based estimates may improve exposure-assessment accuracy and potentially provide new insights into ultraviolet-radiation-disease relationships in epidemiologic studies. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  14. Extreme Ultraviolet Fractional Orbital Angular Momentum Beams from High Harmonic Generation

    PubMed Central

    Turpin, Alex; Rego, Laura; Picón, Antonio; San Román, Julio; Hernández-García, Carlos

    2017-01-01

    We investigate theoretically the generation of extreme-ultraviolet (EUV) beams carrying fractional orbital angular momentum. To this end, we drive high-order harmonic generation with infrared conical refraction (CR) beams. We show that the high-order harmonic beams emitted in the EUV/soft x-ray regime preserve the characteristic signatures of the driving beam, namely ringlike transverse intensity profile and CR-like polarization distribution. As a result, through orbital and spin angular momentum conservation, harmonic beams are emitted with fractional orbital angular momentum, and they can be synthesized into structured attosecond helical beams –or “structured attosecond light springs”– with rotating linear polarization along the azimuth. Our proposal overcomes the state of the art limitations for the generation of light beams far from the visible domain carrying non-integer orbital angular momentum and could be applied in fields such as diffraction imaging, EUV lithography, particle trapping, and super-resolution imaging. PMID:28281655

  15. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  16. Estimated Ultraviolet Radiation Doses in Wetlands in Six National Parks

    EPA Science Inventory

    Ultraviolet radiation (UVR) has been suggested as a potential cause of population declines and increases in malformations in amphibians. This study indicates that the present distributions of amphibians in four western U.S. National Parks are not related to UVR exposure, and sugg...

  17. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  18. Microfabrication of through holes in polydimethylsiloxane (PDMS) sheets using a laser plasma EUV source (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Makimura, Tetsuya; Urai, Hikari; Niino, Hiroyuki

    2017-03-01

    Polydimethylsiloxane (PDMS) is a material used for cell culture substrates / bio-chips and micro total analysis systems / lab-on-chips due to its flexibility, chemical / thermo-dynamic stability, bio-compatibility, transparency and moldability. For further development, it is inevitable to develop a technique to fabricate precise three dimensional structures on micrometer-scale at high aspect ratio. In the previous works, we reported a technique for high-quality micromachining of PDMS without chemical modification, by means of photo direct machining using laser plasma EUV sources. In the present work, we have investigated fabrication of through holes. The EUV radiations around 10 nm were generated by irradiation of Ta targets with Nd:YAG laser light (10 ns, 500 mJ/pulse). The generated EUV radiations were focused using an ellipsoidal mirror. It has a narrower incident angle than those in the previous works in order to form a EUV beam with higher directivity, so that higher aspect structures can be fabricated. The focused EUV beam was incident on PDMS sheets with a thickness of 15 micrometers, through holes in a contact mask placed on top of them. Using a contact mask with holes with a diameter of three micrometers, complete through holes with a diameter of two micrometers are fabricated in the PDMS sheet. Using a contact mask with two micrometer holes, however, ablation holes almost reaches to the back side of the PDMS sheet. The fabricated structures can be explained in terms of geometrical optics. Thus, we have developed a technique for micromachining of PDMS sheets at high aspect ratios.

  19. Channel catfish response to ultraviolet-B radiation

    USGS Publications Warehouse

    Ewing, M.S.; Blazer, V.S.; Fabacher, D.L.; Little, E.E.; Kocan, K.M.

    1999-01-01

    Fingerling channel catfish Ictalurus punctatus exposed to simulated ultraviolet-B radiation at an average daily dose of 2.9 J/cm2 were quite sensitive to the radiation. After a 24-h exposure, thinning of the most dorsal epidermis frequently was accompanied by edema. Compared with epidermis of unexposed fish, mucous cells in exposed fish were less superficial and club cells were less numerous both dorsally and high on the lateral surface of the body. Sunburn cells with pyknotic nuclei were evident in the epidermis of exposed fish. Among fish exposed for 48 h, focal necrosis and sloughing of the outer epidermal layer were widespread. A methanol-extractable skin substance that is associated with resistance to sunburn in other fish species was not detected in channel catfish.

  20. Tolerance of an albino fish to ultraviolet-B radiation

    USGS Publications Warehouse

    Fabacher, David L.; Little, Edward E.; Ostrander, Gary K.

    1999-01-01

    We exposed albino and pigmented medakaOryzias latipes to simulated solar ultraviolet-B (UVB) radiation to determine if albino medaka were less tolerant of UVB radiation than medaka pigmented with melanin. There was no difference in the number of albino and pigmented medaka that died during the exposure period. Spectrophotometric analyses of the outer dorsal skin layers from albino and pigmented medaka indicated that, prior to exposure, both groups of fish had similar amounts of an apparent colorless non-melanin photoprotective substance that appears to protect other fish species from UVB radiation. Our results indicate that albino medaka were as tolerant of UVB radiation as pigmented medaka because they had similar amounts of this photoprotective substance in the outer layers of the skin.

  1. Ionospheric Change and Solar EUV Irradiance

    NASA Astrophysics Data System (ADS)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  2. Experimental Determination of Ultraviolet Radiation Protection of Common Materials

    ERIC Educational Resources Information Center

    Tavares, Susana C. A.; da Silva, Joaquim C. G. Esteves; Paiva, Joao

    2007-01-01

    Aiming at a better understanding of the problems associated with the depletion of the ozone layer, we propose several experiments to be performed by students of different levels: secondary and first-year undergraduate students. The oxidation of iodide induced by ultraviolet (UV) radiation, generated by a mercury lamp, is used as an indicator for…

  3. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory.

    PubMed

    Soufli, Regina; Baker, Sherry L; Windt, David L; Gullikson, Eric M; Robinson, Jeff C; Podgorski, William A; Golub, Leon

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV) wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement with EUV reflectance measurements of the mirrors after multilayer coating.

  4. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, Regina; Baker, Sherry L.; Windt, David L.

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV)wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement withmore » EUV reflectance measurements of the mirrors after multilayer coating.« less

  5. Honing the accuracy of extreme-ultraviolet optical system testing: at-wavelength and visible-light measurements of the ETS Set-2 projection optic

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Bokor, Jeffrey; Chapman, Henry N.

    2002-07-01

    As the quality of optical systems for extreme ultraviolet lithography improves, high-accuracy wavefront metrology for alignment and qualification becomes ever more important. To enable the development of diffraction-limited EUV projection optics, visible-light and EUV interferometries must work in close collaboration. We present a detailed comparison of EUV and visible-light wavefront measurements performed across the field of view of a lithographic-quality EUV projection optical system designed for use in the Engineering Test Stand developed by the Virtual National Laboratory and the EUV Limited Liability Company. The comparisons reveal that the present level of RMS agreement lies in the 0.3-0.4-nm range. Astigmatism is the most significant aberration component for the alignment of this optical system; it is also the dominant term in the discrepancy, and the aberration with the highest measurement uncertainty. With EUV optical systems requiring total wavefront quality in the (lambda) EUV/50 range, and even higher surface-figure quality for the individual mirror elements, improved accuracy through future comparisons, and additional studies, are required.

  6. The ultraviolet radiation environment of pollen and its effect on pollen germination

    NASA Technical Reports Server (NTRS)

    1981-01-01

    The damage to pollen caused by natural ultraviolet radiation was investigated. Experimental and literature research into the UV radiation environment is reported. Viability and germination of wind and insect pollinated species were determined. Physiological, developmental, and protective factors influencing UV sensitivity of binucleate, advanced binucleate, and trinucleate pollen grains are compared.

  7. Amorphous silicon carbide coatings for extreme ultraviolet optics

    NASA Technical Reports Server (NTRS)

    Kortright, J. B.; Windt, David L.

    1988-01-01

    Amorphous silicon carbide films formed by sputtering techniques are shown to have high reflectance in the extreme ultraviolet spectral region. X-ray scattering verifies that the atomic arrangements in these films are amorphous, while Auger electron spectroscopy and Rutherford backscattering spectroscopy show that the films have composition close to stoichiometric SiC, although slightly C-rich, with low impurity levels. Reflectance vs incidence angle measurements from 24 to 1216 A were used to derive optical constants of this material, which are presented here. Additionally, the measured extreme ultraviolet efficiency of a diffraction grating overcoated with sputtered amorphous silicon carbide is presented, demonstrating the feasibility of using these films as coatings for EUV optics.

  8. MECHANISMS OF PLANT RESISTANCE TO INCREASED SOLAR ULTRAVIOLET-B RADIATION

    EPA Science Inventory

    Since the major conclusions of the project are being disseminated via the scientific literature, the final report consists of a compilation of 11 articles and manuscripts on the effects of ultraviolet-B radiation (UVB) on soybean growth and yield, stress interactions with UVB, an...

  9. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers

    NASA Astrophysics Data System (ADS)

    Larivière, Stéphane; Wilson, Christopher J.; Kutrzeba Kotowska, Bogumila; Versluijs, Janko; Decoster, Stefan; Mao, Ming; van der Veen, Marleen H.; Jourdan, Nicolas; El-Mekki, Zaid; Heylen, Nancy; Kesters, Els; Verdonck, Patrick; Béral, Christophe; Van den Heuvel, Dieter; De Bisschop, Peter; Bekaert, Joost; Blanco, Victor; Ciofi, Ivan; Wan, Danny; Briggs, Basoene; Mallik, Arindam; Hendrickx, Eric; Kim, Ryoung-han; McIntyre, Greg; Ronse, Kurt; Bömmels, Jürgen; Tőkei, Zsolt; Mocuta, Dan

    2018-03-01

    The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore's law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations. Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers. In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.

  10. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    NASA Astrophysics Data System (ADS)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  11. Ultrahigh resolution photographic films for X-ray/EUV/FUV astronomy

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B.; Walker, Arthur B. C., Jr.; Deforest, Craig E.; Watts, Richard; Tarrio, Charles

    1993-01-01

    The quest for ultrahigh resolution full-disk images of the sun at soft X-ray/EUV/FUV wavelengths has increased the demand for photographic films with broad spectral sensitivity, high spatial resolution, and wide dynamic range. These requirements were made more stringent by the recent development of multilayer telescopes and coronagraphs capable of operating at normal incidence at soft X-ray/EUV wavelengths. Photographic films are the only detectors now available with the information storage capacity and dynamic range such as is required for recording images of the solar disk and corona simultaneously with sub arc second spatial resolution. During the Stanford/MSFC/LLNL Rocket X-Ray Spectroheliograph and Multi-Spectral Solar Telescope Array (MSSTA) programs, we utilized photographic films to obtain high resolution full-disk images of the sun at selected soft X-ray/EUV/FUV wavelengths. In order to calibrate our instrumentation for quantitative analysis of our solar data and to select the best emulsions and processing conditions for the MSSTA reflight, we recently tested several photographic films. These studies were carried out at the NIST SURF II synchrotron and the Stanford Synchrotron Radiation Laboratory. In this paper, we provide the results of those investigations.

  12. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    NASA Astrophysics Data System (ADS)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  13. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    NASA Astrophysics Data System (ADS)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  14. X-ray And EUV Spectroscopy Of Highly Charged Tungsten Ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Biedermann, Christoph; Radtke, Rainer

    2009-09-10

    The Berlin EBIT has been established by the Max-Planck-Institut fuer Plasmaphysik to generate atomic physics data in support of research in the field of controlled nuclear fusion, by measuring the radiation from highly charged ions in the x-ray, extreme ultraviolet and visible spectral ranges and providing valuable diagnostics for high temperature plasmas. In future fusion devices, for example ITER, currently being constructed at Cadarache, France, the plasma facing components will be armored with high-Z materials, most likely tungsten, due to the favorable properties of this element. At the same time the tremendous radiation cooling of these high-Z materials represents amore » threat to fusion and obliges one to monitor carefully the radiation. With EBIT a selected ensemble of ions in specific charge states can be produced, stored and excited for spectroscopic investigations. Employing this technique, we have for example resolved the wide structure observed around 5 nm at the ASDEX Upgrade tokamak as originating from E1-transitions into the open 4d shell of tungsten ions in charge states 25+ to 37+ producing a band-like emission pattern. Further, these ions emit well-separated M1 lines in the EUV range around 65 nm suitable for plasma diagnostics. Kr-like to Cr-like tungsten ions (38+ to 50+) show strong soft-x-ray lines in the range 0.5 to 2 and 5 to 15 nm. Lines of even higher charged tungsten ions, up to Ne-like W{sup 64+}, abundant in the core plasma of present and future fusion test devices, have been investigated with high resolution Bragg-crystal spectroscopy at 0.13 nm. Recently, x-ray spectroscopic measurements of the dielectronic recombination LMn resonances of W{sup 60+} to W{sup 67+} ions have been preformed and compare well with atomic structure calculations.« less

  15. Solar EUV irradiance for space weather applications

    NASA Astrophysics Data System (ADS)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  16. High efficiency spectrographs for the EUV and soft X-rays

    NASA Technical Reports Server (NTRS)

    Cash, W.

    1983-01-01

    The use of grazing incidence optics and reflection grating designs is shown to be a method that improves the performance of spectrographs at wavelengths shorter than 1200 A. Emphasis is laid on spectroscopic designs for X ray and EUV astronomy, with sample designs for an objective reflection grating spectrograph (ORGS) and an echelle spectrograph for wavelengths longer than 100 A. Conical diffraction allows operations at grazing incidence in the echelle spectrograph. In ORGS, the extreme distance of X ray objects aids in collimating the source radiation, which encounters conical diffraction within the instrument, proceeds parallel to the optical axis, and arrives at the detector. A series of gratings is used to achieve the effect. A grazing echelle is employed for EUV observations, and offers a resolution of 20,000 over a 300 A bandpass.

  17. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  18. Studies of the extreme ultraviolet/soft x-ray background

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stern, R.A.

    1978-01-01

    The results of an extensive sky survey of the extreme ultraviolet (EUV)/soft x-ray background are reported. The data were obtained with a focusing telescope designed and calibrated at U.C. Berkeley which observed EUV sources and the diffuse background as part of the Apollo-Soyuz mission in July, 1975. With a primary field-of-view of 2.3 + 0.1/sup 0/ FWHM and four EUV bandpass filters (16 to 25, 20 to 73, 80 to 108, and 80 to 250 eV) the EUV telescope obtained background data included in the final observational sample for 21 discrete sky locations and 11 large angular scans, as wellmore » as for a number of shorter observations. Analysis of the data reveals as intense flux above 80 eV energy, with upper limits to the background intensity given for the lower energy filters Ca 2 x 10/sup 4/ and 6 x 10/sup 2/ ph cm/sup -2/ sec/sup -1/ ster/sup -1/ eV/sup -1/ at 21 and 45 eV respectively). The 80 to 108 eV flux agrees within statistical errors with the earlier results of Cash, Malina and Stern (1976): the Apollo-Soyuz average reported intensity is 4.0 +- 1.3 ph cm/sup -2/ sec/sup -1/ ster/sup -1/ eV/sup -1/ at Ca 100 eV, or roughly a factor of ten higher than the corresponding 250 eV intensity. The uniformity of the background flux is uncertain due to limitations in the statistical accuracy of the data; upper limits to the point-to-point standard deviation of the background intensity are (..delta..I/I approximately less than 0.8 +- 0.4 (80 to 108 eV) and approximately less than 0.4 +- 0.2 (80 to 250 eV). No evidence is found for a correlation between the telescope count rate and earth-based parameters (zenith angle, sun angle, etc.) for E approximately greater than 80 eV (the lower energy bandpasses are significantly affected by scattered solar radiation. Unlike some previous claims for the soft x-ray background, no simple dependence upon galactic latitude is seen.« less

  19. Novel EUV mask black border suppressing EUV and DUV OoB light reflection

    NASA Astrophysics Data System (ADS)

    Ito, Shin; Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Maruyama, Shingo; Watanabe, Genta; Yoshida, Itaru; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-05-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel BB called `Hybrid Black Border' (HBB) has been developed to eliminate EUV and DUV OOB light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern, defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the corners of the die. A CD uniformity budget including

  20. Effect of Lot Variability on Ultraviolet Radiation Inactivation Kinetics of Cryptosporidium parvum Oocysts

    EPA Science Inventory

    Numerous studies have demonstrated the efficiency of ultraviolet (UV) radiation for the inactivation of oocysts of Cryptosporidium parvum. In these studies inactivation is measured as reduction in oocysts. A primary goal is to estimate the UV radiation required to achiev...

  1. The extreme ultraviolet spectrograph: A radial groove grating, sounding rocket-borne, astronomical instrument

    NASA Technical Reports Server (NTRS)

    Wilkinson, Erik; Green, James C.; Cash, Webster

    1993-01-01

    The design, calibration, and sounding rocket flight performance of a novel spectrograph suitable for moderate-resolution EUV spectroscopy are presented. The sounding rocket-borne instrument uses a radial groove grating to maintain a high system efficiency while controlling the aberrations induced when doing spectroscopy in a converging beam. The instrument has a resolution of approximately 2 A across the 200-330 A bandpass with an average effective area of 2 sq cm. The instrument, called the Extreme Ultraviolet Spectrograph, acquired the first EUV spectra in this wavelength region of the hot white dwarf G191-B2B and the late-type star Capella.

  2. Biological Effects of Sunlight, Ultraviolet Radiation, Visible Light, Infrared Radiation and Vitamin D for Health.

    PubMed

    Holick, Michael F

    2016-03-01

    Humans evolved in sunlight and had depended on sunlight for its life giving properties that was appreciated by our early ancestors. However, for more than 40 years the lay press and various medical and dermatology associations have denounced sun exposure because of its association with increased risk for skin cancer. The goal of this review is to put into perspective the many health benefits that have been associated with exposure to sunlight, ultraviolet A (UVA) ultraviolet B (UVB), visible and infrared radiation. Copyright© 2016 International Institute of Anticancer Research (Dr. John G. Delinassios), All rights reserved.

  3. Coordinated XTE/EUVE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, ASCA, and XTE observed the eclipsing binary Algol (Beta Per) from 1-7 Feb. 96. The coordinated observation covered approximately 2 binary orbits of the system, with a net exposure of approximately 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointing), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the Fe abundance in the Algol system.

  4. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  5. The relationship between ultraviolet radiation exposure and vitamin D status.

    PubMed

    Engelsen, Ola

    2010-05-01

    This paper reviews the main factors influencing the synthesis of vitamin D, with particular focus on ultraviolet radiation exposure. On the global level, the main source of vitamin D is the sun. The effect of solar radiation on vitamin D synthesis depends to some extent on the initial vitamin D levels. At moderate to high latitudes, diet becomes an increasingly important source of vitamin D due to decreased solar intensity and cold temperatures, which discourage skin exposure. During the mid-winter season, these factors result in decreased solar radiation exposure, hindering extensively the synthesis of vitamin D in these populations.

  6. Ocean acidification alters the photosynthetic responses of a coccolithophorid to fluctuating ultraviolet and visible radiation.

    PubMed

    Jin, Peng; Gao, Kunshan; Villafañe, Virginia E; Campbell, Douglas A; Helbling, E Walter

    2013-08-01

    Mixing of seawater subjects phytoplankton to fluctuations in photosynthetically active radiation (400-700 nm) and ultraviolet radiation (UVR; 280-400 nm). These irradiance fluctuations are now superimposed upon ocean acidification and thinning of the upper mixing layer through stratification, which alters mixing regimes. Therefore, we examined the photosynthetic carbon fixation and photochemical performance of a coccolithophore, Gephyrocapsa oceanica, grown under high, future (1,000 μatm) and low, current (390 μatm) CO₂ levels, under regimes of fluctuating irradiances with or without UVR. Under both CO₂ levels, fluctuating irradiances, as compared with constant irradiance, led to lower nonphotochemical quenching and less UVR-induced inhibition of carbon fixation and photosystem II electron transport. The cells grown under high CO₂ showed a lower photosynthetic carbon fixation rate but lower nonphotochemical quenching and less ultraviolet B (280-315 nm)-induced inhibition. Ultraviolet A (315-400 nm) led to less enhancement of the photosynthetic carbon fixation in the high-CO₂-grown cells under fluctuating irradiance. Our data suggest that ocean acidification and fast mixing or fluctuation of solar radiation will act synergistically to lower carbon fixation by G. oceanica, although ocean acidification may decrease ultraviolet B-related photochemical inhibition.

  7. Note: Enhancement of the extreme ultraviolet emission from a potassium plasma by dual laser irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Yamaguchi, Mami; Otsuka, Takamitsu

    2014-09-15

    Emission spectra from multiply charged potassium ions ranging from K{sup 3+} to K{sup 5+} have been obtained in the extreme ultraviolet (EUV) spectral region. A strong emission feature peaking around 38 nm, corresponding to a photon energy of 32.6 eV, is the dominant spectral feature at time-averaged electron temperatures in the range of 8−12 eV. The variation of this emission with laser intensity and the effects of pre-pulses on the relative conversion efficiency (CE) have been explored experimentally and indicate that an enhancement of about 30% in EUV CE is readily attainable.

  8. Materials Properties and Solvated Electron Dynamics of Isolated Nanoparticles and Nanodroplets Probed with Ultrafast Extreme Ultraviolet Beams.

    PubMed

    Ellis, Jennifer L; Hickstein, Daniel D; Xiong, Wei; Dollar, Franklin; Palm, Brett B; Keister, K Ellen; Dorney, Kevin M; Ding, Chengyuan; Fan, Tingting; Wilker, Molly B; Schnitzenbaumer, Kyle J; Dukovic, Gordana; Jimenez, Jose L; Kapteyn, Henry C; Murnane, Margaret M

    2016-02-18

    We present ultrafast photoemission measurements of isolated nanoparticles in vacuum using extreme ultraviolet (EUV) light produced through high harmonic generation. Surface-selective static EUV photoemission measurements were performed on nanoparticles with a wide array of compositions, ranging from ionic crystals to nanodroplets of organic material. We find that the total photoelectron yield varies greatly with nanoparticle composition and provides insight into material properties such as the electron mean free path and effective mass. Additionally, we conduct time-resolved photoelectron yield measurements of isolated oleylamine nanodroplets, observing that EUV photons can create solvated electrons in liquid nanodroplets. Using photoemission from a time-delayed 790 nm pulse, we observe that a solvated electron is produced in an excited state and subsequently relaxes to its ground state with a lifetime of 151 ± 31 fs. This work demonstrates that femotosecond EUV photoemission is a versatile surface-sensitive probe of the properties and ultrafast dynamics of isolated nanoparticles.

  9. Undercover EUV Solar Jets Observed by the Interface Region Imaging Spectrograph

    NASA Astrophysics Data System (ADS)

    Chen, N.-H.; Innes, D. E.

    2016-12-01

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si IV spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg II line profiles produced by the jets spires: reversed and non-reversed. Mg II lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (108 erg cm-2 s-1) toward the corona and the downward component is less than 3%.

  10. Generation of coherent magnons in NiO stimulated by EUV pulses from a seeded free-electron laser

    NASA Astrophysics Data System (ADS)

    Simoncig, A.; Mincigrucci, R.; Principi, E.; Bencivenga, F.; Calvi, A.; Foglia, L.; Kurdi, G.; Matruglio, A.; Dal Zilio, S.; Masciotti, V.; Lazzarino, M.; Masciovecchio, C.

    2017-12-01

    The full comprehension of magnetic phenomena at the femtosecond (fs) time scale is of high demand for current material science and technology. Here we report the observation of coherent collective modes in the antiferromagnetic insulator nickel oxide (NiO) identified by a frequency of 0.86 THz, which matches the expected out-of-plane single-mode magnon resonance. Such collective excitations are inelastically stimulated by extreme ultraviolet (EUV) pulses delivered by a seeded free-electron laser (FEL) and subsequently revealed probing the transient optical activity of NiO looking at the Faraday effect. Moreover, the unique capability of the employed FEL source to deliver circularly polarized pulses allows us to demonstrate optomagnetic control of such collective modes at EUV photon energies. These results may set a starting point for future investigations of magnetic materials at time scales comparable or faster than those typical of exchange interactions.

  11. Extreme Ultraviolet Emission Spectrum of CO_2 Induced by Electron Impact at 200 eV

    NASA Technical Reports Server (NTRS)

    Kanik, I.; Ajello, J. M.; James, G. K.

    1993-01-01

    We present the extreme ultraviolet (EUV) emission spectrum of CO_2 induced by electronimpact at 200 eV. There are 36 spectral features which are identified with a resolution of 0.5 nmover the wavelength range of 40 to 125 nm. Absolute emission cross sections were obtained for eachof these features. The EUV emission spectrum induced by electron impact consist of atomicmultiplets of CI,II and OI,II,III as well as CO and CO^+ molecular band systems produced bydissociative excitation. The CI (119.4 nm) multiplet is the strongest feature of CI with a peak crosssection of 3.61 x 10^(-19) cm^2 at 200 eV. The strongest feature of OI in the EUV spectrum is theOI (99.0 nm) multiplet with a peak cross section of 3.59 x 10^(-19) cm^2 at 200 eV.

  12. Alignment of a multilayer-coated imaging system using extreme ultraviolet Foucault and Ronchi interferometric testing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ray-Chaudhuri, A.K.; Ng, W.; Cerrina, F.

    1995-11-01

    Multilayer-coated imaging systems for extreme ultraviolet (EUV) lithography at 13 nm represent a significant challenge for alignment and characterization. The standard practice of utilizing visible light interferometry fundamentally provides an incomplete picture since this technique fails to account for phase effects induced by the multilayer coating. Thus the development of optical techniques at the functional EUV wavelength is required. We present the development of two EUV optical tests based on Foucault and Ronchi techniques. These relatively simple techniques are extremely sensitive due to the factor of 50 reduction in wavelength. Both techniques were utilized to align a Mo--Si multilayer-coated Schwarzschildmore » camera. By varying the illumination wavelength, phase shift effects due to the interplay of multilayer coating and incident angle were uniquely detected. {copyright} {ital 1995} {ital American} {ital Vacuum} {ital Society}« less

  13. Observations and Operational Products from the Special Sensor Ultraviolet Limb Imager (SSULI)

    NASA Astrophysics Data System (ADS)

    Dandenault, Patrick; Nicholas, Andrew C.; Coker, Clayton; Budzien, Scott A.; Chua, Damien H.; Finne, Ted T.; Metzler, Christopher A.; Dymond, Kenneth F.

    The Naval Research Laboratory (NRL) has developed five ultraviolet remote sensing instru-ments for the Air Force Defense Meteorological Satellite Program (DMSP). These instruments known as SSULI (Special Sensor Ultraviolet Limb Imager) are on the DMSP block of 5D3 satellites, which first launched in 2003. The DMSP satellites are launched in a near-polar, sun-synchronous orbit at an altitude of approximately 830 km. SSULI measures vertical profiles of the natural airglow radiation from atoms, molecules and ions in the upper atmosphere and ionosphere by viewing the earth's limb at a tangent altitude of approximately 50 km to 750 km. Limb observations are made from the extreme ultraviolet (EUV) to the far ultraviolet (FUV) over the wavelength range of 80 nm to 170 nm, with 1.8 nm resolution. An extensive operational data processing system, the SSULI Ground Data Analysis Software (GDAS), has been developed to generate environmental data products from SSULI spectral data in near-real time for use at the Air Force Weather Agency (AFWA). The operational software uses advanced science algorithms developed at NRL and was designed to calibrate data from USAF Raw Sensor Data Records (RSDR) and generate Environmental Data Records (EDRs). Data products from SSULI observations include vertical profiles of electron (Ne) densities, N2, O2, O, O+, Temperature and also vertical Total Electron Content (TEC). On October 18, 2009, the third SSULI sensor launched from Vandenberg Air Force Base, aboard the DMSP F18 spacecraft. An overview of the SSULI operational program and the status of the F18 sensor will be discussed.

  14. INTERACTIONS OF SOLAR ULTRAVIOLET RADIATION AND DISSOLVED ORGANIC MATTER IN FRESHWATER AND MARINE ENVIRONMENTS

    EPA Science Inventory

    Solar radiation provides the primary driving force for the biogeochemical cycles upon which life and climate depend. Recent studies have demonstrated that the absorption of solar radiation, especially 'm the ultraviolet spectral region, results in photochemical reactions that can...

  15. Line analysis of EUV Spectra from Molybdenum and Tungsten Injected with Impurity Pellets in LHD

    NASA Astrophysics Data System (ADS)

    Chowdhuri, Malay Bikas; Morita, Shigeru; Goto, Motoshi; Nishimura, Hiroaki; Nagai, Keiji; Fujioka, Shinsuke

    Spectroscopic data on high-Z materials for impurity diagnostics are important due to its possible use as a plasma facing component in the next generation fusion device. For this purpose molybdenum and tungsten are injected by an impurity pellet injector into the large helical device (LHD) plasmas. Emissions from such highly ionized elements mostly fall in extreme ultraviolet (EUV) and soft X-ray ranges. The EUV spectra in a range of 20-500 Å are recorded using a flat-field EUV spectrometer. The observed emissions are identified with the help of its temporal evolution and detailed analysis is done with electron temperature profiles. At high central electron temperature (˜2.2 keV) molybdenum appears as an Al-, Mg- and Na-like ionization stages. Typical examples of identified transitions are Mo XXXI 190.46 Å (3 s2 1S-3s3p 3P) and Mo XXXII 176.63 Å (3s 2S-3p 2P). For tungsten, on the other hand, three well-separated bands appear in wavelength range of 24-80 Å. The transitions around 33 Å have been tentatively identified with the help of calculated values. Most of the isolated lines on the top of pseudo-continuum bands around 50 and 60 Å are identified, and the wavelengths are compared with previous experimental studies and also with calculated values.

  16. Lifetime estimation of extreme-ultraviolet pellicle at 500 W source power by thermal stress analysis

    NASA Astrophysics Data System (ADS)

    Park, Eun-Sang; Ban, Chung-Hyun; Park, Jae-Hun; Oh, Hye-Keun

    2017-10-01

    The analysis of the thermal stress and the extreme-ultraviolet (EUV) pellicle is important since the pellicle could be easily damaged since the thickness of the pellicle is 50 nm thin due to 90% required EUV transmission. One of the solution is using a high emissivity metallic material on the both sides of the pellicle and it can lower the thermal stress. However, using a metallic coating on pellicle core which is usually consist of silicon group can decrease the EUV transmission compared to using a single core layer pellicle only. Therefore, we optimized thermal and optical properties of the pellicle and elect three types of the pellicle. In this paper we simulated our optimized pellicles with 500W source power. The result shows that the difference of the thermal stress is small for each case. Therefore, our result also shows that using a high emissivity coating is necessary since the cooling of the pellicle strongly depends on emissivity and it can lower the stress effectively even at high EUV source power.

  17. Design, fabrication and performance of two grazing incidence telescopes for celestial extreme ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Lampton, M.; Cash, W.; Malina, R. F.; Bowyer, S.

    1977-01-01

    The design and performance of grazing incidence telescopes for celestial extreme ultraviolet (EUV) astronomy are described. The telescopes basically consist of a star tracker, collimator, grazing incidence mirror, vacuum box lid, vacuum housing, filters, a ranicon detector, an electronics box, and an aspect camera. For the survey mirror a Wolter-Schwarzschild type II configuration was selected. Diamond-turning was used for mirror fabrication, a technique which machines surfaces to the order of 10 microns over the required dimensions. The design of the EUV spectrometer is discussed with particular reference to the optics for a primarily spectroscopic application and the fabrication of the f/10 optics.

  18. Absolute measurement of undulator radiation in the extreme ultraviolet

    NASA Astrophysics Data System (ADS)

    Maezawa, H.; Mitani, S.; Suzuki, Y.; Kanamori, H.; Tamamushi, S.; Mikuni, A.; Kitamura, H.; Sasaki, T.

    1983-04-01

    The spectral brightness of undulator radiation emitted by the model PMU-1 incorporated in the SOR-RING, the dedicated synchrotron radiation source in Tokyo, has been studied in the extreme ultraviolet region from 21.6 to 72.9 eV as a function of the electron energy γ, the field parameter K, and the angle of observation ϴ in the absolute scale. A series of measurements covering the first and the second harmonic component of undulator radiation was compared with the fundamental formula λ n= {λ 0}/{2nγ 2}( {1+K 2}/{2}+γϴ 2 and the effects of finite emittance were studied. The brightness at the first peak was smaller than the theoretical value, while an enhanced second harmonic component was observed.

  19. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    NASA Astrophysics Data System (ADS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A. K.; Mohan, Man

    2015-08-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac-Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications.

  20. SOLAR ULTRAVIOLET RADIATION AND AQUATIC CARBON, NITROGEN, SULFUR AND METALS CYCLES

    EPA Science Inventory

    Solar ultraviolet radiation (290-400 nm) has a wide-ranging impact on biological and chemical processes that affect the cycling of elements in aquatic environments. This chapter uses recent field and laboratory observations along with models to assess these impacts on carbon, nit...

  1. SIMULATED SOLAR ULTRAVIOLET RADIATION EFFECTS ON 5 SPECIES OF SCLERACTINIAN CORALS

    EPA Science Inventory

    The impact of global climate change factors such as increased temperature and ultraviolet radiation (UVR) on coral bleaching are of continued interest to the USEPA. Coral bleaching occurs when symbiotic zooxanthellae and/or their pigments are depleted in response to stressors suc...

  2. Coordinated Studies of Ultraviolet Radiation and Amphibians in Lentic Wetland Habitats

    EPA Science Inventory

    Ultraviolet radiation (UVR) has been suggested as a potential cause of population declines and increases in malformations in amphibians. This study indicates that the present distributions of amphibians in four western U.S. National Parks are not related to UVR exposure, and sugg...

  3. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thompson, B. J.; Young, C. A., E-mail: barbara.j.thompson@nasa.gov

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using themore » following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.« less

  4. Persistence Mapping Using EUV Solar Imager Data

    NASA Technical Reports Server (NTRS)

    Thompson, B. J.; Young, C. A.

    2016-01-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call "Persistence Mapping," to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or "time-lapse" imaging uses the full sample (of size N ), Persistence Mapping rejects (N - 1)/N of the data set and identifies the most relevant 1/N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  5. Ultraviolet radiation effects on the infrared damage rate of a thermal control coating

    NASA Technical Reports Server (NTRS)

    Bass, J. A.

    1972-01-01

    The effects of ultraviolet radiation on the infrared reflectance of ZnO silicone white thermal coatings were investigated. Narrow band ultraviolet radiation for wavelengths in the 2200A to 3500A range by a monochromator and a high pressure, 150-W Eimac xenon lamp. The sample was irradiated while in a vacuum of at least 0.000001 torr, and infrared reflectance was measured in situ with a spectroreflectometer at 19,500A. Reflectance degradation was studied as a function of wavelength, time, intensity, and dose. Damage was wavelength dependent at constant exposure, but no maximum was evident above the shortest wavelength investigated here. The degradation rate at constant intensity was an exponential function of time and varies with intensity.

  6. Ultraviolet-radiation-induced methane emissions from meteorites and the Martian atmosphere.

    PubMed

    Keppler, Frank; Vigano, Ivan; McLeod, Andy; Ott, Ulrich; Früchtl, Marion; Röckmann, Thomas

    2012-05-30

    Almost a decade after methane was first reported in the atmosphere of Mars there is an intensive discussion about both the reliability of the observations--particularly the suggested seasonal and latitudinal variations--and the sources of methane on Mars. Given that the lifetime of methane in the Martian atmosphere is limited, a process on or below the planet's surface would need to be continuously producing methane. A biological source would provide support for the potential existence of life on Mars, whereas a chemical origin would imply that there are unexpected geological processes. Methane release from carbonaceous meteorites associated with ablation during atmospheric entry is considered negligible. Here we show that methane is produced in much larger quantities from the Murchison meteorite (a type CM2 carbonaceous chondrite) when exposed to ultraviolet radiation under conditions similar to those expected at the Martian surface. Meteorites containing several per cent of intact organic matter reach the Martian surface at high rates, and our experiments suggest that a significant fraction of the organic matter accessible to ultraviolet radiation is converted to methane. Ultraviolet-radiation-induced methane formation from meteorites could explain a substantial fraction of the most recently estimated atmospheric methane mixing ratios. Stable hydrogen isotope analysis unambiguously confirms that the methane released from Murchison is of extraterrestrial origin. The stable carbon isotope composition, in contrast, is similar to that of terrestrial microbial origin; hence, measurements of this signature in future Mars missions may not enable an unambiguous identification of biogenic methane.

  7. Ultraviolet Radiations: Skin Defense-Damage Mechanism.

    PubMed

    Mohania, Dheeraj; Chandel, Shikha; Kumar, Parveen; Verma, Vivek; Digvijay, Kumar; Tripathi, Deepika; Choudhury, Khushboo; Mitten, Sandeep Kumar; Shah, Dilip

    2017-01-01

    UV-radiations are the invisible part of light spectra having a wavelength between visible rays and X-rays. Based on wavelength, UV rays are subdivided into UV-A (320-400 nm), UV-B (280-320 nm) and UV-C (200-280 nm). Ultraviolet rays can have both harmful and beneficial effects. UV-C has the property of ionization thus acting as a strong mutagen, which can cause immune-mediated disease and cancer in adverse cases. Numbers of genetic factors have been identified in human involved in inducing skin cancer from UV-radiations. Certain heredity diseases have been found susceptible to UV-induced skin cancer. UV radiations activate the cutaneous immune system, which led to an inflammatory response by different mechanisms. The first line of defense mechanism against UV radiation is melanin (an epidermal pigment), and UV absorbing pigment of skin, which dissipate UV radiation as heat. Cell surface death receptor (e.g. Fas) of keratinocytes responds to UV-induced injury and elicits apoptosis to avoid malignant transformation. In addition to the formation of photo-dimers in the genome, UV also can induce mutation by generating ROS and nucleotides are highly susceptible to these free radical injuries. Melanocortin 1 receptor (MC1R) has been known to be implicated in different UV-induced damages such as pigmentation, adaptive tanning, and skin cancer. UV-B induces the formation of pre-vitamin D3 in the epidermal layer of skin. UV-induced tans act as a photoprotection by providing a sun protection factor (SPF) of 3-4 and epidermal hyperplasia. There is a need to prevent the harmful effects and harness the useful effects of UV radiations.

  8. Protective mechanisms and acclimation to solar ultraviolet-B radiation in Oenothera stricta

    NASA Technical Reports Server (NTRS)

    Robberecht, R.; Caldwell, M. M.

    1981-01-01

    Plant adaptations ameliorating or repairing the damaging effects of ultraviolet-B (UV-B) radiation on plant tissue were investigated. The degree of phenotype plasticity in UV protective mechanisms and acclimation in relation to the natural solar UV-B radiation flux and in an enhanced UV-B irradiance environment was also examined. Mechanisms by which plants avoid radiation, adaptations altering the path of radiation incident on the leaf, and repair processes were considered. Attenuation of UV-B by tissues, UV-B irradiation into the leaf, and the effects of UV-B on photosynthesis were investigated.

  9. Characterization of a smartphone camera's response to ultraviolet A radiation.

    PubMed

    Igoe, Damien; Parisi, Alfio; Carter, Brad

    2013-01-01

    As part of a wider study into the use of smartphones as solar ultraviolet radiation monitors, this article characterizes the ultraviolet A (UVA; 320-400 nm) response of a consumer complementary metal oxide semiconductor (CMOS)-based smartphone image sensor in a controlled laboratory environment. The CMOS image sensor in the camera possesses inherent sensitivity to UVA, and despite the attenuation due to the lens and neutral density and wavelength-specific bandpass filters, the measured relative UVA irradiances relative to the incident irradiances range from 0.0065% at 380 nm to 0.0051% at 340 nm. In addition, the sensor demonstrates a predictable response to low-intensity discrete UVA stimuli that can be modelled using the ratio of recorded digital values to the incident UVA irradiance for a given automatic exposure time, and resulting in measurement errors that are typically less than 5%. Our results support the idea that smartphones can be used for scientific monitoring of UVA radiation. © 2012 Wiley Periodicals, Inc. Photochemistry and Photobiology © 2012 The American Society of Photobiology.

  10. Million Degree Plasmas in Extreme Ultraviolet (EUV) Astrophysics. White Paper in Response to Astro2010 Science Call

    DTIC Science & Technology

    2010-01-01

    photometry , timing measurements of suitable cadence, and advanced theory are the keys to understanding the physics of million degree plasmas in...Disentangling these components requires time - and phase- resolved spectroscopic observations of a sample that spans a range of mass accretion rates...many narrow lines, or a continuum with strong, broad absorption features. Key Objective: Obtaining time - and phase- resolved high-resolution EUV

  11. In-Flight Ultraviolet Radiation on Commercial Airplanes.

    PubMed

    Cadilhac, Pascal; Bouton, Marie-Christine; Cantegril, Monique; Cardines, Catherine; Gisquet, Alain; Kaufman, Noël; Klerlein, Michel

    2017-10-01

    Epidemiological studies suggest that pilots and cabin crew have higher incidences and mortality rates of cutaneous malignant melanoma than those of the general population. Exposure to UV radiation is one of the main risk factors for this type of cancer. The aim of this study was to evaluate the level of UV radiation in an airliner in flight. Measurements were taken with a three sensor-integrated electronics UV radiometer (A, B, and C) during 14 flights from July to October 2016. They were performed during daylight hours once the airliner had reached cruising altitude. We failed to find UVC radiation. The measurements detected neither UV A nor B in any parts of the cabins of the planes tested, nor in the Airbus cockpits. UVA radiation was however found in the cockpit of Boeing 777s. But UVA levels remained well below the values found at ground level and they were also strongly reduced (more than 10 times) by cockpit sun visors. Few studies have assessed the level of UV radiation in an airplane. They suggested that the cockpit windshields reduced this type of radiation to some degree (according mainly to the wavelength of the radiation and the nature of the windshield). Our study strongly confirms these results and suggests that increased incidence of melanoma and mortality by this type of illness found among pilots and airline cabin crews may not be related to in-flight UV radiation exposure.Cadilhac P, Bouton M-C, Cantegril M, Cardines C, Gisquet A, Kaufman N, Klerlein M. In-flight ultraviolet radiation on commercial airplanes. Aerosp Med Hum Perform 2017; 88(10):947-951.

  12. Corona And Ultraviolet Equipment For Testing Materials

    NASA Technical Reports Server (NTRS)

    Laue, Eric G.

    1993-01-01

    Two assemblies of laboratory equipment developed for use in testing abilities of polymers, paints, and other materials to withstand ultraviolet radiation and charged particles. One is vacuum ultraviolet source built around commercial deuterium lamp. Other exposes specimen in partial vacuum to both ultraviolet radiation and brush corona discharge. Either or both assemblies used separately or together to simulate approximately combination of solar radiation and charged particles encountered by materials aboard spacecraft in orbit around Earth. Also used to provide rigorous environmental tests of materials exposed to artificial ultraviolet radiation and charged particles in industrial and scientific settings or to natural ultraviolet radiation and charged particles aboard aircraft at high altitudes.

  13. Overlying extreme-ultraviolet arcades preventing eruption of a filament observed by AIA/SDO

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Huadong; Ma, Suli; Zhang, Jun, E-mail: hdchen@upc.edu.cn

    2013-11-20

    Using the multi-wavelength data from the Atmospheric Imaging Assembly/Solar Dynamic Observatory (AIA/SDO) and the Sun Earth Connection Coronal and Heliospheric Investigation/Solar Terrestrial Relations Observatory (SECCHI/STEREO), we report a failed filament eruption in NOAA AR 11339 on 2011 November 3. The eruption was associated with an X1.9 flare, but without any coronal mass ejection (CME), coronal dimming, or extreme ultraviolet (EUV) waves. Some magnetic arcades above the filament were observed distinctly in EUV channels, especially in the AIA 94 Å and 131 Å wavebands, before and during the filament eruption process. Our results show that the overlying arcades expanded along withmore » the ascent of the filament at first until they reached a projected height of about 49 Mm above the Sun's surface, where they stopped. The following filament material was observed to be confined by the stopped EUV arcades and not to escape from the Sun. After the flare, a new filament formed at the low corona where part of the former filament remained before its eruption. These results support that the overlying arcades play an important role in preventing the filament from successfully erupting outward. We also discuss in this paper the EUV emission of the overlying arcades during the flare. It is rare for a failed filament eruption to be associated with an X1.9 class flare, but not with a CME or EUV waves. Therefore, this study also provides valuable insight into the triggering mechanism of the initiation of CMEs and EUV waves.« less

  14. Discovery of superluminal velocities of X-rays and Bharat Radiation challenging the validity of Einstein's formula E= mc2

    NASA Astrophysics Data System (ADS)

    Rao, M. A. Padmanabha

    2013-09-01

    The current paper reports discovery of superluminal velocities of X-rays, and Bharat Radiation in 12.87 to 31 nm range from solar spectra. The discovery challenges the 100 year old Albert Einstein's assertion that nothing can go faster than velocity of light c in vacuum while formulating E = mc2 in his special theory of relativity reported in 1905 [1]. Several solar spectra recorded at various wavelengths by Woods et al in 2011 demonstrated GOES X-rays arriving earlier than 13.5 nm emission, which in turn arriving earlier than 33.5 nm emission [2]. Finally, the investigators faced difficulty in concluding that short wavelengths traveled fast because of lack of information whether all the three emissions originated from the same source and at the same time. Very recently the author has reported GOES X-rays (7.0 nm) cause 13.5 nm (Bharat Radiation), which in turn causes 33.5 nm Extreme ultraviolet (EUV) emission from same excited atoms present in solar flare by Padmanabha Rao Effect [3, 4]. Based on these findings, the author succeeded in explaining how the solar spectral findings provide direct evidences on superluminal velocities of GOES X-ray and 13.5 nm Bharat Radiation emissions, when 33.5 nm EUV emission is considered travelling at velocity of light c. Among X-ray wavelengths, the short wavelength 7.0 nm X-rays traveled faster than 9.4 nm X-rays, while X-rays go at superluminal velocities. Among Bharat radiation wavelengths, short wavelengths showed fast travel, while Bharat Radiation goes at superluminal velocities as compared to 33.5 EUV emission.

  15. Effects of solar ultraviolet radiation on coral reef organisms.

    PubMed

    Banaszak, Anastazia T; Lesser, Michael P

    2009-09-01

    Organisms living in shallow-water tropical coral reef environments are exposed to high UVR irradiances due to the low solar zenith angles (the angle of the sun from the vertical), the natural thinness of the ozone layer over tropical latitudes, and the high transparency of the water column. The hypothesis that solar ultraviolet radiation (UVR, 290-400 nm) is an important factor that affects the biology and ecology of coral reef organisms dates only to about 1980. It has been previously suggested that increased levels of biologically effective ultraviolet B radiation (UVB, 290-320 nm), which is the waveband primarily affected by ozone depletion, would have relatively small effects on corals and coral reefs and that these effects might be observed as changes in the minimum depths of occurrence of important reef taxa such as corals. This conclusion was based on predictions of increases in UVR as well as its attenuation with depth using the available data on UVR irradiances, ozone levels, and optical properties of the water overlying coral reefs. Here, we review the experimental evidence demonstrating the direct and indirect effects of UVR, both UVB and ultraviolet A (UVA, 320-400 nm) on corals and other reef associated biota, with emphasis on those studies conducted since 1996. Additionally, we re-examine the predictions made in 1996 for the increase in UVB on reefs with currently available data, assess whether those predictions were reasonable, and look at what changes might occur on coral reefs in the future as the multiple effects (i.e. increased temperature, hypercapnia, and ocean acidification) of global climate change continue.

  16. QUALITY ASSURANCE AND SITE MANAGEMENT FOR PRIMENET AND URBAN ULTRAVIOLET RADIATION RESEARCH MONITORING PROGRAM.

    EPA Science Inventory

    Because exposure to ultraviolet (UV) radiation is an ecosystem stressor and poses a human health risk, the National Exposure Research Laboratory (NERL) has undertaken a research program to measure the intensity of UV-B radiation at various locations throughout the U.S. In Septem...

  17. Availability of underlayer application to EUV process

    NASA Astrophysics Data System (ADS)

    Kosugi, Hitoshi; Fonseca, Carlos; Iwao, Fumiko; Marumoto, Hiroshi; Kim, Hyun-Woo; Cho, Kyoungyong; Park, Cheol-Hong; Park, Chang-Min; Na, Hai-Sub; Koh, Cha-Won; Cho, Hanku

    2011-04-01

    EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.

  18. Expected scientific performance of the three spectrometers on the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Vallerga, J. V.; Jelinsky, P.; Vedder, P. W.; Malina, R. F.

    1990-01-01

    The expected in-orbit performance of the three spectrometers included on the Extreme Ultraviolet Explorer astronomical satellite is presented. Recent calibrations of the gratings, mirrors and detectors using monochromatic and continuum EUV light sources allow the calculation of the spectral resolution and throughput of the instrument. An effective area range of 0.2 to 2.8 sq cm is achieved over the wavelength range 70-600 A with a peak spectral resolution (FWHM) of 360 assuming a spacecraft pointing knowledge of 10 arc seconds (FWHM). For a 40,000 sec observation, the average 3 sigma sensitivity to a monochromatic line source is 0.003 photons/sq cm s. Simulated observations of known classes of EUV sources, such as hot white dwarfs, and cataclysmic variables are also presented.

  19. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    NASA Astrophysics Data System (ADS)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  20. Extreme ultraviolet observations of HZ 43 and the local H/He ratio with the Hopkins Ultraviolet Telescope

    NASA Technical Reports Server (NTRS)

    Kimble, Randy A.; Davidsen, Arthur F.; Long, Knox S.; Feldman, Paul D.

    1993-01-01

    We present a spectrum of the hot DA white dwarf HZ 43 in the EUV, near the 504-A ionization edge of neutral helium, obtained with the Hopkins Ultraviolet Telescope (HUT) during the 1990 December Astro-1 mission. The interstellar column densities derived from this spectrum rule out the anomalous interstellar absorption model proposed by Heise et al.(1991), which required a greater column density of neutral helium than neutral hydrogen toward HZ 43 in order to explain the low EUV flux from HZ 43 reported by EXOSAT. Instead, we find the interstellar neutral H/He ratio toward HZ 43 to be consistent with the canonical cosmic abundance ratio of 10 or with the 11.6 +/- 1.0 ratio measured by HUT along the line of sight toward another DA white dwarf, G191-B2B. The HUT observations suggest that either there is a substantial calibration error in the EXOSAT spectroscopy of HZ 43, or otherwise undetected metals in the nominally pure hydrogen HZ 43 atmosphere suppress its flux between 150 and 300 A, or both.

  1. Radiation damage effects in far-ultraviolet filters, thin films, and substrates.

    PubMed

    Keffer, C E; Torr, M R; Zukic, M; Spann, J F; Torr, D G; Kim, J

    1994-09-01

    Advances in vacuum ultraviolet thin-film filter technology have been made through the use of filter designs with multilayers of materials such as Al(2)O(3), BaF(2), CaF(2), HfO(2), LaF(3), MgF(2), and SiO(2). Our immediate application for these filters will be in an imaging system to be flown on a satellite where a 2 × 9 R(E) orbit will expose the instrument to approximately 250 krad of radiation. Because to our knowledge no previous studies have been made on the potential radiation damage of these materials in the thin-film format, we report on such an assessment here. Transmittances and reflectances of BaF(2), CaF(2), HfO(2), MgF(2), and SiO(2) thin films on MgF(2) substrates, Al(2)O(3) thin films on fused-silica substrates, uncoated fused silica and MgF(2), and four multilayer filters made from these materials were measured from 120 to 180 nm beforeand after irradiation by 250 krad from a (60)Co gamma radiation source. No radiation-induced losses in transmittance or reflectance occurred in this wavelength range. Additional postradiation measurements from 160 to 300 nm indicates 2-5% radiation-induced absorption near 260 nm in some of the samples with MgF(2) substrates. From these measurements we conclude that far-ultraviolet filters made from the materials tested should experience less than 5% change from exposure to up to 250 krad of high-energy radiation in space applications.

  2. Solar UV Radiation and the Origin of Life on Earth

    NASA Technical Reports Server (NTRS)

    Heap, S. R.; Gaidos, E.; Hubeny, I.; Lanz, T. M.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    We have embarked on a program aimed at understanding the atmosphere of the early Earth, because of its importance as a greenhouse, radiation shield, and energy source for life. Here, we give a progress report on the first phase of this program: to establish the UV radiation from the early Sun. We are presently obtaining ultraviolet spectra (STIS, FUSE, EUVE) of carefully selected nearby, young solar-type stars, which act as surrogates for the early Sun. We are currently making detailed non-LTE analyses of the spectra and constructing models of their photospheres + chromospheres. once validated, these models will allow us to extrapolate our theoretical spectra to unobserved spectral regions, and to proceed to the next step: to develop photochemical models of the pre-biotic and Archean atmosphere of the Earth.

  3. PROBABILISTIC RISK ASSESSMENT FOR THE EFFECTS OF SOLAR ULTRAVIOLET RADIATION ON AMPHIBIANS

    EPA Science Inventory

    Several studies have demonstrated that exposure to solar ultraviolet (UV) radiation can cause elevated mortality and an increased prevalence of eye and limb malformations in developing amphibian larvae. From these observations scientists have hypothesized that recent increases in...

  4. Measurement of the solar ultraviolet radiation at ground level in Bangi, Malaysia

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aljawi, Ohoud; Gopir, Geri; Duay, Abdul Basit

    2015-04-24

    Understanding the amount of ultraviolet (UV) radiation received by human, plant, and animal organisms near the earth’s surface is important to a wide range of fields such as cancer research, agriculture and forestry. The solar ultraviolet spectral irradiance at ground level was measured using the Avantes spectrometer for the period of January to March 2014 at Bangi (2°55´N, 101°46´E, 50 m above sea level) in Malaysia. These data were used to estimate the diurnal variation of UV irradiance (300 – 400 nm). The maximum irradiance of UV radiation was 45 W m{sup −2} on horizontal surface. The maximum irradiance ofmore » UV received in the local noon time, and the minimum values of UV irradiance was received in the local morning time. It is found a bigger value of UV radiation was observed on clear sky in January. The estimation of daily flux average of UV irradiance was (921± 91) kJ m{sup −2}.« less

  5. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, N.-H.; Innes, D. E.

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT tomore » 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.« less

  6. Characterization of photoluminescence spectra from poly allyl diglycol carbonate (CR-39) upon excitation with the ultraviolet radiation of various wavelengths

    NASA Astrophysics Data System (ADS)

    El Ghazaly, M.; Al-Thomali, Talal A.

    2013-04-01

    The induced photoluminescence (PL) from the π-conjugated polymer poly allyl diglycol carbonate (PADC) (CR-39) upon excitation with the ultraviolet radiation of different wavelengths was investigated. The absorption and attenuation coefficients of PADC (CR-39) were recorded using a UV-visible spectrometer. It was found that the absorption and attenuation coefficients of the PADC (CR-39) exhibit a strong dependence on the wavelength of ultraviolet radiation. The PL spectra were measured with a Flormax-4 spectrofluorometer (Horiba). PADC (CR-39) samples were excited by ultraviolet radiation with wavelengths in the range from 260 to 420 nm and the corresponding PL emission bands were recorded. The obtained results show a strong correlation between the PL and the excitation wavelength of ultraviolet radiation. The position of the fluorescence emission band peak was red shifted starting from 300 nm, which was increased with the increase in the excitation wavelength. The PL yield and its band peak height were increased with the increase in the excitation wavelength till 290 nm, thereafter they decreased exponentially with the increase in the ultraviolet radiation wavelength. These new findings should be considered carefully during the use of the PADC (CR-39) in the scientific applications and in using PADC (CR-39) in eyeglasses.

  7. The Origin of the EUV Late Phase: A Case Study of the C8.8 Flare on 2010 May 5

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Klimchuk, J. A.; Eparvier, F. G.; Jones, A. R.

    2012-01-01

    Since the launch of NASA's Solar Dynamics Observatory on 2010 February 11, the Extreme ultraviolet Variability Experiment (EVE) has observed numerous flares. One interesting feature observed by EVE is that a subset of flares exhibit an additional enhancement of the 2-3 million K emission several hours after the flares soft X-ray emission. From the Atmospheric Imaging Assembly (AIA) images, we observe that this secondary emission, dubbed the EUV late phase, occurs in the same active region as the flare but not in the same coronal loops. Here, we examine the C8.8 flare that occurred on 2010 May 5 as a case study of EUV late phase flares. In addition to presenting detailed observations from both AIA and EVE, we develop a physical model of this flare and test it using the Enthalpy Based Thermal Evolution of Loops (EBTEL) model.

  8. Extreme Ultraviolet Explorer observations of the magnetic cataclysmic variable RE 1938-461

    NASA Technical Reports Server (NTRS)

    Warren, John K.; Vallerga, John V.; Mauche, Christopher W.; Mukai, Koji; Siegmund, Oswald H. W.

    1993-01-01

    The magnetic cataclysmic variable RE 1938-461 was observed by the Extreme Ultraviolet Explorer (EUVE) Deep Survey instrument on 1992 July 8-9 during in-orbit calibration. It was detected in the Lexan/ boron (65-190 A) band, with a quiescent count rate of 0.0062 +/- 0.0017/s, and was not detected in the aluminum/carbon (160-360 A) band. The Lexan/boron count rate is lower than the corresponding ROSAT wide-field camera Lexan/boron count rate. This is consistent with the fact that the source was in a low state during an optical observation performed just after the EUVE observation, whereas it was in an optical high state during the ROSAT observation. The quiescent count rates are consistent with a virtual cessation of accretion. Two transient events lasting about 1 hr occurred during the Lexan/boron pointing, the second at a count rate of 0.050 +/- 0.006/s. This appears to be the first detection of an EUV transient during the low state of a magnetic cataclysmic variable. We propose two possible explanations for the transient events.

  9. Dynamical structure of extreme ultraviolet macrospicules

    NASA Technical Reports Server (NTRS)

    Karovska, Margarita; Habbal, Shadia Rifai

    1994-01-01

    We describe the substructures forming the macrospicules and their temporal evolution, as revealed by the application of an image enhancement algorithm to extreme ultraviolet (EUV) observations of macrospicules. The enhanced images uncover, for the first time, the substructures forming the column-like structures within the macrospicules and the low-lying arches at their base. The spatial and temporal evolution of macrospicules clearly show continuous interaction between these substructures with occasional ejection of plasma following a ballistic trajectory. We comment on the importance of these results for planning near future space observations of macrospicules with better temporal and spatial resolution.

  10. Tea, coffee, and cocoa as ultraviolet radiation protectants for beet armyworm nucleopolyhedrovirus

    USDA-ARS?s Scientific Manuscript database

    The addition of 1% (wt/v) aqueous extracts of cocoa (Theobroma cacao L.) (Malvales: Malvaceae), coffee (Coffea arabica L.) (Gentianales: Rubiaceae), green, and black tea (Camellia sinensis L.) (Ericales: Theaceae) provided excellent ultraviolet (UV) radiation protection for the beet armyworm, Spodo...

  11. Fundamental investigation of ultraviolet radiation effects in polymeric film-forming materials

    NASA Technical Reports Server (NTRS)

    Giori, C.; Yamauchi, T.; Llewellen, P.; Gilligan, J.

    1974-01-01

    A literature search from 1958 to present was conducted on the effect of ultraviolet radiation on polymeric materials, with particular emphasis on vacuum photolysis, mechanisms of degradation, and energy transfer phenomena. The literature from 1958 to 1968 was searched manually, while the literature from 1968 to present was searched by using a computerized keyword system. The primary objective was to provide the necessary background information for the design of new or modified materials with improved stability to the vacuum-radiation environment of space.

  12. EUV Spectroscopy of High-redshift X-ray Objects

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.

    2010-03-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGNs for example, will have their maxima redshifted into the EUV waveband ( 90-912 Å/0.1-0.01 keV). Consequently, a wealth of spectral diagnostics, provided by, for example, the Fe L-shell complex ( 60-6 Å/0.2-2.0 keV) and the O VII/VIII lines ( 20 Å/0.5 keV), will be lost to X-ray instruments operating at traditional ( 0.5-10 keV) and higher X-ray energies. There are precedents in other wavebands. For example, HST evolutionary studies will become largely the province of JWST. Despite the successes of EUVE, the ROSAT WFC, and the Chandra LETG, the EUV continues to be unappreciated and under-utilized, partly because of a preconception that absorption by neutral galactic Hydrogen in the ISM prevents any useful extragalactic measurements at all EUV wavelengths and, until recently, by a lack of a suitable enabling technology. Thus, if future planned X-ray missions (e.g., IXO, Gen-X) are optimized again for traditional X-ray energies, their performance (effective area, resolving power) will be cut off at ultrasoft X-ray energies or at best be radically reduced in the EUV. This opens up a critical gap in performance located right at short EUV wavelengths, where the critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nano-laminate fabrication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on

  13. CME Expansion as the Driver of Metric Type II Shock Emission as Revealed by Self-consistent Analysis of High-Cadence EUV Images and Radio Spectrograms

    NASA Astrophysics Data System (ADS)

    Kouloumvakos, A.; Patsourakos, S.; Hillaris, A.; Vourlidas, A.; Preka-Papadema, P.; Moussas, X.; Caroubalos, C.; Tsitsipis, P.; Kontogeorgos, A.

    2014-06-01

    On 13 June 2010, an eruptive event occurred near the solar limb. It included a small filament eruption and the onset of a relatively narrow coronal mass ejection (CME) surrounded by an extreme ultraviolet (EUV) wave front recorded by the Solar Dynamics Observatory's (SDO) Atmospheric Imaging Assembly (AIA) at high cadence. The ejection was accompanied by a GOES M1.0 soft X-ray flare and a Type-II radio burst; high-resolution dynamic spectra of the latter were obtained by the Appareil de Routine pour le Traitement et l'Enregistrement Magnetique de l'Information Spectral (ARTEMIS IV) radio spectrograph. The combined observations enabled a study of the evolution of the ejecta and the EUV wave front and its relationship with the coronal shock manifesting itself as metric Type-II burst. By introducing a novel technique, which deduces a proxy of the EUV compression ratio from AIA imaging data and compares it with the compression ratio deduced from the band-split of the Type-II metric radio burst, we are able to infer the potential source locations of the radio emission of the shock on that AIA images. Our results indicate that the expansion of the CME ejecta is the source for both EUV and radio shock emissions. Early in the CME expansion phase, the Type-II burst seems to originate in the sheath region between the EUV bubble and the EUV shock front in both radial and lateral directions. This suggests that both the nose and the flanks of the expanding bubble could have driven the shock.

  14. First environmental data from the EUV engineering test stand

    NASA Astrophysics Data System (ADS)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  15. How does solar ultraviolet-B radiation improve drought tolerance of silver birch (Betula pendula Roth.) seedlings?

    PubMed

    Robson, T Matthew; Hartikainen, Saara M; Aphalo, Pedro J

    2015-05-01

    We hypothesized that solar ultraviolet (UV) radiation would protect silver birch seedlings from the detrimental effects of water stress through a coordinated suite of trait responses, including morphological acclimation, improved control of water loss through gas exchange and hydraulic sufficiency. To better understand how this synergetic interaction works, plants were grown in an experiment under nine treatment combinations attenuating ultraviolet-A and ultraviolet-B (UVB) from solar radiation together with differential watering to create water-deficit conditions. In seedlings under water deficit, UV attenuation reduced height growth, leaf production and leaf length compared with seedlings receiving the full spectrum of solar radiation, whereas the growth and morphology of well-watered seedlings was largely unaffected by UV attenuation. There was an interactive effect of the treatment combination on water relations, which was more apparent as a change in the water potential at which leaves wilted or plants died than through differences in gas exchange. This suggests that changes occur in the cell wall elastic modulus or accumulation of osmolites in cells under UVB. Overall, the strong negative effects of water deficit are partially ameliorated by solar UV radiation, whereas well-watered silver birch seedlings are slightly disadvantaged by the solar UV radiation they receive. © 2014 John Wiley & Sons Ltd.

  16. Coordinated ASCA/EUVE/XTE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, Advanced Satellite for Cosmology and Astrophysics (ASCA), and X-ray Timing Explorer (XTE) observed the eclipsing binary Algol (Beta Per) from 1-7 Feb 1996. The coordinated observation covered approx. 2 binary orbits of the system, with a net exposure of approx. 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointings), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the abundance in the Algol system.

  17. Solar simulated ultraviolet radiation damages murine neonatal skin and alters Langerhans cell development, but does not induce inflammation.

    PubMed

    McGee, Heather M; Dharmadasa, Thanuja; Woods, Gregory M

    2009-06-01

    Development of melanoma has been linked to excessive childhood exposure to sunlight. As neonates have a relatively underdeveloped immune system, it is likely that the immune system reacts differently to the exposure, leading to alterations in this development. This study was designed to assess changes in development of the skin immune system following neonatal irradiation. Ultraviolet radiation exposure led to relative depletion of Langerhans cells, however this was not due to migration or cell death, but rather restriction of Langerhans cells populating the epidermis. During this time, there was evidence of cellular damage, however there was no induction of an inflammatory response. It therefore appears that neonatal exposure to ultraviolet radiation leads to a skew towards a tolerogenic immune response, which may lead to a reduced ability to respond to ultraviolet radiation-induced tumours.

  18. Three new extreme ultraviolet spectrometers on NSTX-U for impurity monitoring

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weller, M. E., E-mail: weller4@llnl.gov; Beiersdorfer, P.; Soukhanovskii, V. A.

    2016-11-15

    Three extreme ultraviolet (EUV) spectrometers have been mounted on the National Spherical Torus Experiment–Upgrade (NSTX-U). All three are flat-field grazing-incidence spectrometers and are dubbed X-ray and Extreme Ultraviolet Spectrometer (XEUS, 8–70 Å), Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS, 190–440 Å), and Metal Monitor and Lithium Spectrometer Assembly (MonaLisa, 50–220 Å). XEUS and LoWEUS were previously implemented on NSTX to monitor impurities from low- to high-Z sources and to study impurity transport while MonaLisa is new and provides the system increased spectral coverage. The spectrometers will also be a critical diagnostic on the planned laser blow-off system for NSTX-U, which will bemore » used for impurity edge and core ion transport studies, edge-transport code development, and benchmarking atomic physics codes.« less

  19. Design requirements for a stand alone EUV interferometer

    NASA Astrophysics Data System (ADS)

    Michallon, Ph.; Constancias, C.; Lagrange, A.; Dalzotto, B.

    2008-03-01

    EUV lithography is expected to be inserted for the 32/22 nm nodes with possible extension below. EUV resist availability remains one of the main issues to be resolved. There is an urgent need to provide suitable tools to accelerate resist development and to achieve resolution, LER and sensitivity specifications simultaneously. An interferometer lithography tool offers advantages regarding conventional EUV exposure tool. It allows the evaluation of resists, free from the deficiencies of optics and mask which are limiting the achieved resolution. Traditionally, a dedicated beam line from a synchrotron, with limited access, is used as a light source in EUV interference lithography. This paper identifies the technology locks to develop a stand alone EUV interferometer using a compact EUV source. It will describe the theoretical solutions adopted and especially look at the feasibility according to available technologies. EUV sources available on the market have been evaluated in terms of power level, source size, spatial coherency, dose uniformity, accuracy, stability and reproducibility. According to the EUV source characteristics, several optic designs were studied (simple or double gratings). For each of these solutions, the source and collimation optic specifications have been determined. To reduce the exposure time, a new grating technology will also be presented allowing to significantly increasing the transmission system efficiency. The optical grating designs were studied to allow multi-pitch resolution print on the same exposure without any focus adjustment. Finally micro mechanical system supporting the gratings was studied integrating the issues due to vacuum environment, alignment capability, motion precision, automation and metrology to ensure the needed placement control between gratings and wafer. A similar study was carried out for the collimation-optics mechanical support which depends on the source characteristics.

  20. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  1. High sensitivity microchannel plate detectors for space extreme ultraviolet missions.

    PubMed

    Yoshioka, K; Homma, T; Murakami, G; Yoshikawa, I

    2012-08-01

    Microchannel plate (MCP) detectors have been widely used as two-dimensional photon counting devices on numerous space EUV (extreme ultraviolet) missions. Although there are other choices for EUV photon detectors, the characteristic features of MCP detectors such as their light weight, low dark current, and high spatial resolution make them more desirable for space applications than any other detector. In addition, it is known that the photocathode can be tailored to increase the quantum detection efficiency (QDE) especially for longer UV wavelengths (100-150 nm). There are many types of photocathode materials available, typically alkali halides. In this study, we report on the EUV (50-150 nm) QDE evaluations for MCPs that were coated with Au, MgF(2), CsI, and KBr. We confirmed that CsI and KBr show 2-100 times higher QDEs than the bare photocathode MCPs, while Au and MgF(2) show reduced QDEs. In addition, the optimal geometrical parameters for the CsI deposition were also studied experimentally. The best CsI thickness was found to be 150 nm, and it should be deposited on the inner wall of the channels only where the EUV photons initially impinge. We will also discuss the techniques and procedures for reducing the degradation of the photocathode while it is being prepared on the ground before being deployed in space, as adopted by JAXA's EXCEED mission which will be launched in 2013.

  2. Lessons learned from the introduction of autonomous monitoring to the EUVE science operations center

    NASA Technical Reports Server (NTRS)

    Lewis, M.; Girouard, F.; Kronberg, F.; Ringrose, P.; Abedini, A.; Biroscak, D.; Morgan, T.; Malina, R. F.

    1995-01-01

    The University of California at Berkeley's (UCB) Center for Extreme Ultraviolet Astrophysics (CEA), in conjunction with NASA's Ames Research Center (ARC), has implemented an autonomous monitoring system in the Extreme Ultraviolet Explorer (EUVE) science operations center (ESOC). The implementation was driven by a need to reduce operations costs and has allowed the ESOC to move from continuous, three-shift, human-tended monitoring of the science payload to a one-shift operation in which the off shifts are monitored by an autonomous anomaly detection system. This system includes Eworks, an artificial intelligence (AI) payload telemetry monitoring package based on RTworks, and Epage, an automatic paging system to notify ESOC personnel of detected anomalies. In this age of shrinking NASA budgets, the lessons learned on the EUVE project are useful to other NASA missions looking for ways to reduce their operations budgets. The process of knowledge capture, from the payload controllers for implementation in an expert system, is directly applicable to any mission considering a transition to autonomous monitoring in their control center. The collaboration with ARC demonstrates how a project with limited programming resources can expand the breadth of its goals without incurring the high cost of hiring additional, dedicated programmers. This dispersal of expertise across NASA centers allows future missions to easily access experts for collaborative efforts of their own. Even the criterion used to choose an expert system has widespread impacts on the implementation, including the completion time and the final cost. In this paper we discuss, from inception to completion, the areas where our experiences in moving from three shifts to one shift may offer insights for other NASA missions.

  3. Coral Skeletons Defend against Ultraviolet Radiation

    PubMed Central

    Reef, Ruth; Kaniewska, Paulina; Hoegh-Guldberg, Ove

    2009-01-01

    Background Many coral reef organisms are photosynthetic or have evolved in tight symbiosis with photosynthetic symbionts. As such, the tissues of reef organisms are often exposed to intense solar radiation in clear tropical waters and have adapted to trap and harness photosynthetically active radiation (PAR). High levels of ultraviolet radiation (UVR) associated with sunlight, however, represent a potential problem in terms of tissue damage. Methodology/Principal Findings By measuring UVR and PAR reflectance from intact and ground bare coral skeletons we show that the property of calcium carbonate skeletons to absorb downwelling UVR to a significant extent, while reflecting PAR back to the overlying tissue, has biological advantages. We placed cnidarians on top of bare skeletons and a UVR reflective substrate and showed that under ambient UVR levels, UVR transmitted through the tissues of cnidarians placed on top of bare skeletons were four times lower compared to their counterparts placed on a UVR reflective white substrate. In accordance with the lower levels of UVR measured in cnidarians on top of coral skeletons, a similar drop in UVR damage to their DNA was detected. The skeletons emitted absorbed UVR as yellow fluorescence, which allows for safe dissipation of the otherwise harmful radiation. Conclusions/Significance Our study presents a novel defensive role for coral skeletons and reveals that the strong UVR absorbance by the skeleton can contribute to the ability of corals, and potentially other calcifiers, to thrive under UVR levels that are detrimental to most marine life. PMID:19946361

  4. Spectral transmission of the pig lens: effect of ultraviolet A+B radiation.

    PubMed

    Artigas, C; Navea, A; López-Murcia, M-M; Felipe, A; Desco, C; Artigas, J-M

    2014-12-01

    To determine the spectral transmission curve of the crystalline lens of the pig. To analyse how this curve changes when the crystalline lens is irradiated with ultraviolet A+B radiation similar to that of the sun. To compare these results with literature data from the human crystalline lens. We used crystalline lenses of the common pig from a slaughterhouse, i.e. genetically similar pigs, fed with the same diet, and slaughtered at six months old. Spectral transmission was measured with a Perkin-Elmer Lambda 35 UV/VIS spectrometer. The lenses were irradiated using an Asahi Spectra Lax-C100 ultraviolet source, which made it possible to select the spectral emission band as well as the intensity and exposure time. The pig lens transmits all the visible spectrum (95%) and lets part of the ultraviolet A through (15%). Exposure to acute UV (A+B) irradiation causes a decrease in its transmission as the intensity or exposure time increases: this decrease is considerable in the UV region. We were able to determine the mean spectral transmission curve of the pig lens. It appears to be similar to that of the human lens in the visible spectrum, but different in the ultraviolet. Pig lens transmission is reduced by UV (A+B) irradiation and its transmission in the UV region can even disappear as the intensity or exposure time increases. An adequate exposure intensity and time of UV (A+B) radiation always causes an anterior subcapsular cataract (ASC). Copyright © 2014. Published by Elsevier Masson SAS.

  5. A chain of winking (oscillating) filaments triggered by an invisible extreme-ultraviolet wave

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, Yuandeng; Tian, Zhanjun; Zhao, Ruijuan

    2014-05-10

    Winking (oscillating) filaments have been observed for many years. However, observations of successive winking filaments in one event have not yet been reported. In this paper, we present the observations of a chain of winking filaments and a subsequent jet that are observed right after the X2.1 flare in AR11283. The event also produced an extreme-ultraviolet (EUV) wave that has two components: an upward dome-like wave (850 km s{sup –1}) and a lateral surface wave (554 km s{sup –1}) that was very weak (or invisible) in imaging observations. By analyzing the temporal and spatial relationships between the oscillating filaments andmore » the EUV waves, we propose that all the winking filaments and the jet were triggered by the weak (or invisible) lateral surface EUV wave. The oscillation of the filaments last for two or three cycles, and their periods, Doppler velocity amplitudes, and damping times are 11-22 minutes, 6-14 km s{sup –1}, and 25-60 minutes, respectively. We further estimate the radial component magnetic field and the maximum kinetic energy of the filaments, and they are 5-10 G and ∼10{sup 19} J, respectively. The estimated maximum kinetic energy is comparable to the minimum energy of ordinary EUV waves, suggesting that EUV waves can efficiently launch filament oscillations on their path. Based on our analysis results, we conclude that the EUV wave is a good agent for triggering and connecting successive but separated solar activities in the solar atmosphere, and it is also important for producing solar sympathetic eruptions.« less

  6. Effectiveness of eye drops protective against ultraviolet radiation.

    PubMed

    Daxer, A; Blumthaler, M; Schreder, J; Ettl, A

    1998-01-01

    To test the effectiveness of commercially available ultraviolet (UV)-protective eye drops (8-hydroxy-1-methylchinolinium methylsulphate) which are recommended for protection against both solar and artificial UV radiation. The spectral transmission in the wavelength range from 250 to 500 nm was investigated in 1-nm steps using a high-resolution double monochromator with holographic gratings of 2,400 lines/mm and a 1,000-watt halogen lamp as light source. The transmission spectrum was measured for different values of the layer thickness. The transmission of a liquid layer of about 10 microns, which corresponds to the thickness of the human tear film, shows a cut-off at 290 nm with a transmission of about 25-50% at shorter wavelengths. For wavelengths longer than 290 nm the transmission is higher than 90%. The threshold time ratio for keratitis formation with and without eye drops is above 0.93 considering solar radiation on the earth's surface and above 0.65 considering radiation from arc-welding, respectively. The transmission spectrum of the eye drops under realistic conditions does not show a protective effect against solar UV radiation. However, there exists reduction of UVC radiation in the spectral range typical of artificial UV sources such as arc-welding. We cannot recommend the application of these eye drops as an UV-protective aid against eye damage by solar UV radiation.

  7. Comparative lifetesting results for microchannel plates in windowless EUV photon detectors

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Coburn, K. R.

    1984-01-01

    Microchannel plates (MCPs) from seven manufacturers were subjected to a series of tests to determine their suitability for the Extreme Ultraviolet Explorer satellite. Comparative data are presented for sixteen MCP tandem pairs with channel length to diameter ratios (l/d) ranging from 40:1 to 60:1 and for two saturable (curved channel) MCPs with l/d's of 80:1. Results for MCPs with funnelled channel throats are also discussed. Properties of the MCPs which were monitored include: background count rate, output charge pulse height distribution (PHD), modal gain, PHD full width half maximum (FWHM), and extreme ultraviolet (EUV) photon quantum efficiency. Five detectors were chosen for further lifetime testing consisting of a mild bake to 100 C, and charge extraction to 0.01 coulombs, repeated high voltage cycling and reexposure to one atmosphere conditions. The results of these tests and their implications for the flight detectors are discussed. Erratic events in the detector background were recorded, probably due to field emission from high voltage surfaces or the absorption of water vapor into the electrode following exposure to air. The steps taken to control the detector background are discussed.

  8. INFLUENCE OF FLORAL OPTICAL PROPERTIES ON THE ULTRAVIOLET RADIATION ENVIRONMENT OF POLLEN

    EPA Science Inventory

    Pollen in unopened flowers of most species is totally screened from solar ultraviolet-B radiation by imbricated petals that are largely opaque to UV-B. Following flower opening but before another dehiscence, the anther walls of the species investigated filter out over 98% of the ...

  9. Model based high NA anamorphic EUV RET

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Wiaux, Vincent; Fenger, Germain; Clifford, Chris; Liubich, Vlad; Hendrickx, Eric

    2018-03-01

    With the announcement of the extension of the Extreme Ultraviolet (EUV) roadmap to a high NA lithography tool that utilizes anamorphic optics design, an investigation of design tradeoffs unique to the imaging of anamorphic lithography tool is shown. An anamorphic optical proximity correction (OPC) solution has been developed that models fully the EUV near field electromagnetic effects and the anamorphic imaging using the Domain Decomposition Method (DDM). Clips of imec representative for the N3 logic node were used to demonstrate the OPC solutions on critical layers that will benefit from the increased contrast at high NA using anamorphic imaging. However, unlike isomorphic case, from wafer perspective, OPC needs to treat x and y differently. In the paper, we show a design trade-off seen unique to Anamorphic EUV, namely that using a mask rule of 48nm (mask scale), approaching current state of the art, limitations are observed in the available correction that can be applied to the mask. The metal pattern has a pitch of 24nm and CD of 12nm. During OPC, the correction of the metal lines oriented vertically are being limited by the mask rule of 12nm 1X. The horizontally oriented lines do not suffer from this mask rule limitation as the correction is allowed to go to 6nm 1X. For this example, the masks rules will need to be more aggressive to allow complete correction, or design rules and wafer processes (wafer rotation) would need to be created that utilize the orientation that can image more aggressive features. When considering VIA or block level correction, aggressive polygon corner to corner designs can be handled with various solutions, including applying a 45 degree chop. Multiple solutions are discussed with the metrics of edge placement error (EPE) and Process Variation Bands (PVBands), together with all the mask constrains. Noted in anamorphic OPC, the 45 degree chop is maintained at the mask level to meet mask manufacturing constraints, but results in skewed

  10. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  11. The EUV Emission in Comet-Solar Corona Interactions

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William Dean; Schrijver, Carolus J.; Brown, John C.; Battams, Karl; Saint-Hilaire, Pasal; Liu, Wei; Hudson, Hugh S.

    2011-01-01

    The Atmospheric Imaging Assembly (AlA) on the Solar Dynamics Observatory (SDO) viewed a comet as it passed through the solar corona on 2011 July 5. This was the first sighting of a comet by a EUV telescope. For 20 minutes, enhanced emission in several of the AlA wavelength bands marked the path of the comet. We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Water ice in the comet rapidly sublimates as it approaches the Sun. This water vapor is then photodissociated, primarily by Ly-alpha, by the solar radiation field to create atomic Hand O. Other molecules present in the comet also evaporate and dissociate to give atomic Fe and other metals. Subsequent ionization of these atoms can be achieved by a number of means, including photoionization, electron impact, and charge exchange with coronal protons and other highly-charged species. Finally, particles from the cometary atmosphere are thermalized to the background temperature of the corona. Each step could cause emission in the AlA bandpasses. We will report here on their relative contribution to the emission seen in the AlA telescopes.

  12. Lanthanum (III) regulates the nitrogen assimilation in soybean seedlings under ultraviolet-B radiation.

    PubMed

    Huang, Guangrong; Wang, Lihong; Zhou, Qing

    2013-01-01

    Ultraviolet-B (UV-B, 280-320 nm) radiation has seriously affected the growth of plants. Finding the technology/method to alleviate the damage of UV-B radiation has become a frontal topic in the field of environmental science. The pretreatment with rare earth elements (REEs) is an effective method, but the regulation mechanism of REEs is unknown. Here, the regulation effects of lanthanum (La(III)) on nitrogen assimilation in soybean seedlings (Glycine max L.) under ultraviolet-B radiation were investigated to elucidate the regulation mechanism of REEs on plants under UV-B radiation. UV-B radiation led to the inhibition in the activities of the key enzymes (nitrate reductase, glutamine synthetase, glutamate synthase) in the nitrogen assimilation, the decrease in the contents of nitrate and soluble proteins, as well as the increase in the content of amino acid in soybean seedlings. The change degree of UV-B radiation at the high level (0.45 W m(-2)) was higher than that of UV-B radiation at the low level (0.15 W m(-2)). The pretreatment with 20 mg L(-1) La(III) could alleviate the effects of UV-B radiation on the activities of nitrate reductase, glutamine synthetase, glutamate synthase, and glutamate dehydrogenase, promoting amino acid conversion and protein synthesis in soybean seedlings. The regulation effect of La(III) under UV-B radiation at the low level was better than that of UV-B radiation at the high level. The results indicated that the pretreatment with 20 mg L(-1) La(III) could alleviate the inhibition of UV-B radiation on nitrogen assimilation in soybean seedlings.

  13. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  14. Basal cell carcinoma of the eyelids and solar ultraviolet radiation exposure

    PubMed Central

    Lindgren, G.; Diffey, B.; Larko, O.

    1998-01-01

    AIMS—To compare the distribution of eyelid basal cell carcinoma (BCC) with the relative ultraviolet radiation (UVR) exposure to different sites on the eyelids.
METHODS—The location of BCC on the eyelids was allocated to one of seven regions. The UVR exposure was recorded with a polymer film attached to the eyelids at seven sites in a manikin and in human subjects.
RESULTS—Localisation of the 329 tumours was mainly on the lower eyelids (225 tumours), and the medial canthal regions (87 tumours). There was no association between UVR doses at the seven sites of the eyelids and the location of BCCs. The UVR exposure was similar on the upper and lower eyelids, while the number of tumours on the lower eyelids outnumbered the upper lids by a factor of 13 (17 upper, 225 lower)
CONCLUSION—UVR exposure only partially explains the aetiology of periorbital BCC.

 Keywords: polysulphone film; basal cell carcinoma; ultraviolet radiation; eyelid PMID:9930273

  15. A study on resistance to ultraviolet radiation of POSS-TiO2/epoxy nanocomposites

    NASA Astrophysics Data System (ADS)

    Peng, Dequn; Qin, Wei; Wu, Xiaohong

    2015-06-01

    Ultraviolet (UV) radiation is a severe space environmental factor, which is harmful to the durability of the polymeric materials of the spacecraft. For this reason, a novel POSS-TiO2/EP nanocomposite was synthesized by incorporating the POSS-TiO2 organic-inorganic hybrid into the epoxy (EP) resin. The effects of UV radiation on EP resin and on POSS-TiO2/EP nanocomposites were investigated in a ground-based simulator that simulates space radiation conditions. Compared with EP resin, the value of bend strength for 5.0 wt% POSS-TiO2/EP varied in a small range before and after UV radiation. Meanwhile, a typical tough feature was observed from the SEM photo for POSS-TiO2/EP nanocomposite after UV exposure. This result indicated that the POSS-TiO2/EP exhibited the excellent properties of anti-space ultraviolet radiation. The thermo gravimetric (TG) results showed that the addition of POSS-TiO2 improved the thermal-stability of EP resin matrix. The synthesized nanocomposites in this work could be used in the satellites to enhance their adaptability to the space environment and extend their service life.

  16. Micro-Raman spectroscopy study of the effect of Mid-Ultraviolet radiation on erythrocyte membrane.

    PubMed

    Li, N; Li, S X; Guo, Z Y; Zhuang, Z F; Li, R; Xiong, K; Chen, S J; Liu, S H

    2012-07-02

    Mid-Ultraviolet (UVB) has a significant influence on human health. In this study, human erythrocytes were exposed to UVB to investigate the effects of UVB radiation on erythrocytes membrane. And Micro-Raman spectroscopy was employed to detect the damage. Principal component analysis (PCA) was used to classify the control erythrocytes and the irradiated erythrocytes. Results showed that the erythrocytes membrane was damaged by Mid-Ultraviolet (UVB) radiation. The intensity of the Raman peaks at 1126 cm(-1) and 1082 cm(-1) were used to calculate the Longitudinal Order-Parameters in Chains (S(trans)) which can present the liquidity and ionic permeability of erythrocyte membrane. After UVB radiation for 30 min, both the liquidity and ionic permeability decreased. At the same time, the intensity of the peaks at 1302 cm(-1) (α-helix), 1254 cm(-1) (random coil), 1452 cm(-1) and 1430 cm(-1) (CH(2)/CH(3) stretch) have also changed which indicated the membrane protein also been damaged by UVB. In the whole process of radiation, the more UVB radiation dose the more damage on the erythrocyte membrane. Copyright © 2012 Elsevier B.V. All rights reserved.

  17. SOLAR-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2001-01-01

    This Monthly Progress Report covers the reporting period through June 2001, Phase C/D, Detailed Design and Development Through Launch Plus Thirty Days, for selected components and subsystems of the Extreme ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  18. Solar-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2002-01-01

    This Monthly Progress Report covers the reporting period August 2002 of the Detailed Design and Development through Launch plus Thirty Days, Phase C/D, for selected components and subsystems of the Extreme ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  19. SOLAR-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2001-01-01

    This Monthly Progress Report covers the reporting period July 2001 of the Detailed Design and Development through Launch plus Thirty Days, Phase C/D, for selected components and subsystems of the Extreme Ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  20. Outdoor Exposure to Solar Ultraviolet Radiation and Legislation in Brazil.

    PubMed

    Silva, Abel A

    2016-06-01

    The total ozone column of 265 ± 11 Dobson Units in the tropical-equatorial zones and 283 ± 16 Dobson Units in the subtropics of Brazil are among the lowest on Earth, and as a result, the prevalence of skin cancer due to solar ultraviolet radiation is among the highest. Daily erythemal doses in Brazil can be over 7,500 J m. Erythemal dose rates on cloudless days of winter and summer are typically about 0.147 W m and 0.332 W m, respectively. However, radiation enhancement events yielded by clouds have been reported with erythemal dose rates of 0.486 W m. Daily doses of the diffuse component of erythemal radiation have been determined with values of 5,053 J m and diffuse erythemal dose rates of 0.312 W m. Unfortunately, Brazilians still behave in ways that lead to overexposure to the sun. The annual personal ultraviolet radiation ambient dose among Brazilian youths can be about 5.3%. Skin cancer in Brazil is prevalent, with annual rates of 31.6% (non-melanoma) and 1.0% (melanoma). Governmental and non-governmental initiatives have been taken to increase public awareness of photoprotection behaviors. Resolution #56 by the Agência Nacional de Vigilância Sanitária has banned tanning devices in Brazil. In addition, Projects of Law (PL), like PL 3730/2004, propose that the Sistema Único de Saúde should distribute sunscreen to members of the public, while PL 4027/2012 proposes that employers should provide outdoor workers with sunscreen during professional outdoor activities. Similar laws have already been passed in some municipalities. These are presented and discussed in this study.

  1. A geometric ultraviolet-B radiation transfer model applied to vegetation canopies

    Treesearch

    Wei Gao; Richard H. Grant; Gordon M. Heisler; James R. Slusser

    2002-01-01

    The decrease in stratospheric ozone (O3) has prompted continued efforts to assess the potential damage to plant and animal life due to enhanced levels of solar ultraviolet (UV)-B (280-320 nm) radiation. The objective of this study was to develop and evaluate an analytical model to simulate the UV-B irradiance loading on horizontal below- canopy...

  2. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Ohomori, Katsumi; Kozawa, Takahiro

    2015-03-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub 10nm. An anion-bound polymer(ABP), in which at the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using γ and EUV radiolysis. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The protons of acids are considered to be mainly generated through the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through the hole transfer to the decomposition products of onium salts.

  3. Surface characterization of tin-based inorganic EUV resists

    NASA Astrophysics Data System (ADS)

    Frederick, Ryan T.; Diulus, J. Trey; Lyubinetsky, Igor; Hutchison, Danielle C.; Olsen, Morgan R.; Nyman, May; Herman, Gregory S.

    2018-03-01

    Metal oxide nanomaterials have shown promise for use as EUV resists. Recently, significant efforts have focused on tinoxo clusters that have high absorption coefficient Sn centers and radiation sensitive organic ligands. In our studies, we have investigated a β-Keggin butyl-Sn cluster (β-NaSn13), which is charge-neutral and allows studying radiation induced chemistries without interference from counterions. We have used ambient pressure X-ray photoelectron spectroscopy (APXPS) to investigate the contrast properties of the β-NaSn13 in ultrahigh vacuum (UHV) and in the presence of ambient oxygen. These contrast studies indicate that ambient oxygen reduces the dose requirements for the solubility transition of the β-NaSn13 photoresists. APXPS spectra collected before and after the solubility transition shows that ambient oxygen causes a greater loss of butyl ligands from the samples and the formation of more tin oxide for larger doses, suggesting the presence of reactive oxygen species. APXPS was also used to study processes during the post exposure bake, where we compared the differences in film chemistries in ambient oxygen or in UHV. There were only very small differences in the APXPS spectra before exposure and after exposure and the post exposure bake. However, ambient oxygen resulted in some changes for unexposed regions during the post exposure bake; there was a greater ratio of tin oxide to other oxygen species (alkoxy ligands, hydroxyls) for samples annealed in oxygen. These results have significance for EUV and e-beam lithography processing parameters, as well as implications for cluster design and ligand chemistries.

  4. Ocean Acidification Alters the Photosynthetic Responses of a Coccolithophorid to Fluctuating Ultraviolet and Visible Radiation1[OPEN

    PubMed Central

    Jin, Peng; Gao, Kunshan; Villafañe, Virginia E.; Campbell, Douglas A.; Helbling, E. Walter

    2013-01-01

    Mixing of seawater subjects phytoplankton to fluctuations in photosynthetically active radiation (400–700 nm) and ultraviolet radiation (UVR; 280–400 nm). These irradiance fluctuations are now superimposed upon ocean acidification and thinning of the upper mixing layer through stratification, which alters mixing regimes. Therefore, we examined the photosynthetic carbon fixation and photochemical performance of a coccolithophore, Gephyrocapsa oceanica, grown under high, future (1,000 μatm) and low, current (390 μatm) CO2 levels, under regimes of fluctuating irradiances with or without UVR. Under both CO2 levels, fluctuating irradiances, as compared with constant irradiance, led to lower nonphotochemical quenching and less UVR-induced inhibition of carbon fixation and photosystem II electron transport. The cells grown under high CO2 showed a lower photosynthetic carbon fixation rate but lower nonphotochemical quenching and less ultraviolet B (280–315 nm)-induced inhibition. Ultraviolet A (315–400 nm) led to less enhancement of the photosynthetic carbon fixation in the high-CO2-grown cells under fluctuating irradiance. Our data suggest that ocean acidification and fast mixing or fluctuation of solar radiation will act synergistically to lower carbon fixation by G. oceanica, although ocean acidification may decrease ultraviolet B-related photochemical inhibition. PMID:23749851

  5. Ocular ultraviolet radiation exposure of welders.

    PubMed

    Tenkate, Thomas D

    2017-05-01

    I read with interest a recent paper in your journal by Slagor et al on the risk of cataract in relation to metal arc welding (1). The authors highlight that even though welders are exposed to substantial levels of ultraviolet radiation (UVR), "no studies have reported data on how much UVR welders' eyes are exposed to during a working day. Thus, we do not know whether welders are more or less exposed to UVR than outdoor workers" (1, p451). Undertaking accurate exposure assessment of UVR from welding arcs is difficult, however, two studies have reported ocular/facial UVR levels underneath welding helmets (2, 3). In the first paper, UVR levels were measured using polysulphone film dosimeters applied to the cheeks of a patient who suffered from severe facial dermatitis (2). UVR levels of four times the American Conference of Governmental Industrial Hygienists (ACGIH) maximum permissible exposure (MPE) (4) were measured on the workers left cheek and nine times the MPE on the right cheek. The authors concluded that the workers dermatitis was likely to have been due to the UVR exposure received during welding. In the other paper, a comprehensive exposure assessment of personal UVR exposure of workers in a welding environment was reported (3). The study was conducted at a metal fabrication workshop with participants being welders, boilermakers and non-welders (eg, supervisors, fitters, machinists). Polysulphone film dosimeters were again used to measure UVR exposure of the workers, with badges worn on the clothing of workers (in the chest area), on the exterior of welding helmets, attached to 11 locations on the inside of welding helmets, and on the bridge and side-shields of safety spectacles. Dosimeters were also attached to surfaces throughout the workshop to measure ambient UVR levels. For welding subjects, mean 8-hour UVR doses within the welding helmets ranged from around 9 mJ/cm 2 (3×MPE) on the inside of the helmets to around 15 mJ/cm 2 (5×MPE) on the headband (a

  6. SiC-based Photo-detectors for UV, VUV, EUV and Soft X-ray Detection

    NASA Technical Reports Server (NTRS)

    Yan, Feng

    2006-01-01

    A viewgraph presentation describing an ideal Silicon Carbide detector for ultraviolet, vacuum ultraviolet, extreme ultraviolet and soft x-ray detection is shown. The topics include: 1) An ideal photo-detector; 2) Dark current density of SiC photodiodes at room temperature; 3) Dark current in SiC detectors; 4) Resistive and capacitive feedback trans-impedance amplifier; 5) Avalanche gain; 6) Excess noise; 7) SNR in single photon counting mode; 8) Structure of SiC single photon counting APD and testing structure; 9) Single photon counting waveform and testing circuit; 10) Amplitude of SiC single photon counter; 11) Dark count of SiC APD photon counters; 12) Temperature-dependence of dark count rate; 13) Reduce the dark count rate by reducing the breakdown electric field; 14) Spectrum range for SiC detectors; 15) QE curves of Pt/4H-SiC photodiodes; 16) QE curve of SiC; 17) QE curves of SiC photodiode vs. penetration depth; 18) Visible rejection of SiC photodiodes; 19) Advantages of SiC photodiodes; 20) Competitors of SiC detectors; 21) Extraterrestrial solar spectra; 22) Visible-blind EUV detection; 23) Terrestrial solar spectra; and 24) Less than 1KeV soft x-ray detection.

  7. Design of the Extreme Ultraviolet Explorer long-wavelength grazing incidence telescope optics

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Jelinsky, Patrick; Bowyer, Stuart; Malina, Roger F.

    1988-01-01

    Designing optics for photometry in the long-wavelength portion of the EUV spectrum (400-900) A) poses different problems from those arising for optics, operating shortward of 400 A. The available filter materials which transmit radiation longward of 400 A are also highly transparent at wavelengths shortward of 100 A. Conventional EUV optics, with grazing engles of less than about 10 deg, have very high throughput in the EUV, which persists to wavelengths shortward of 100 A. Use of such optics with the longer-wavelength EUV filters thus results in an unacceptably large soft X-ray leak. This problem is overcome by developing a mirror design with larger graze angles of not less than 20 deg, which has high throughput at wavelengths longer than 400 A but at the same time very little throughput shortward of 100 A.

  8. UNLAMINATED GAFCHROMIC EBT3 FILM FOR ULTRAVIOLET RADIATION MONITORING.

    PubMed

    Welch, David; Randers-Pehrson, Gerhard; Spotnitz, Henry M; Brenner, David J

    2017-11-01

    Measurement of ultraviolet (UV) radiation is important for human health, especially with the expanded usage of short wavelength UV for sterilization purposes. This work examines unlaminated Gafchromic EBT3 film for UV radiation monitoring. The authors exposed the film to select wavelengths in the UV spectrum, ranging from 207 to 328 nm, and measured the change in optical density. The response of the film is wavelength dependent, and of the wavelengths tested, the film was most sensitive to 254 nm light, with measurable values as low as 10 µJ/cm2. The film shows a dose-dependent response that extends over more than four orders of magnitude. The response of the film to short wavelength UV is comparable to the daily safe exposure limits for humans, thus making it valuable as a tool for passive UV radiation monitoring. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  9. Extreme ultraviolet spectra of Venusian airglow observed by EXCEED

    NASA Astrophysics Data System (ADS)

    Nara, Yusuke; Yoshikawa, Ichiro; Yoshioka, Kazuo; Murakami, Go; Kimura, Tomoki; Yamazaki, Atsushi; Tsuchiya, Fuminori; Kuwabara, Masaki; Iwagami, Naomoto

    2018-06-01

    Extreme ultraviolet (EUV) spectra of Venus in the wavelength range 520 - 1480 Å with 3 - 4 Å resolutions were obtained in March 2014 by an EUV imaging spectrometer EXCEED (Extreme Ultraviolet Spectroscope for Exospheric Dynamics) on the HISAKI spacecraft. Due to its high sensitivity and long exposure time, many new emission lines and bands were identified. Already known emissions such as the O II 834 Å, O I 989 Å, H ILy - β 1026 Å, and the C I 1277 Å lines (Broadfoot et al., 1974; Bertaux et al., 1980; Feldman et al., 2000) are also detected in the EXCEED spectrum. In addition, N2 band systems such as the Lyman-Birge-Hopfield (a 1Πg - X 1Σg+) (2, 0), (2, 1), (3, 1), (3, 2) and (5, 3) bands, the Birge-Hopfield (b1Πu - X 1 Σg+) (1, 3) band, and the Carroll-Yoshino (c 4‧ 1 Σu+ - X 1Σg+) (0, 0) and (0, 1) bands together are identified for the first time in the Venusian airglow. We also identified the CO Hopfield-Birge (B 1Σ+ - X 1Σ+) (1, 0) band in addition to the already known (0, 0) band, and the CO Hopfield-Birge (C 1Σ+ - X 1Σ+) (0, 1), (0, 2) bands in addition to the already known (0, 0) band (Feldman et al., 2000; Gérard et al., 2011).

  10. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  11. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  12. Epidemiologic evidence for different roles of ultraviolet A and B radiation in melanoma mortality rates.

    PubMed

    Garland, Cedric F; Garland, Frank C; Gorham, Edward D

    2003-07-01

    The action spectrum of ultraviolet radiation mainly responsible for melanoma induction is unknown, but evidence suggests it could be ultraviolet A (UVA), which has a different geographic distribution than ultraviolet B (UVB). This study assessed whether melanoma mortality rates are more closely related to the global distribution of UVA or UVB. UVA and UVB radiation and age-adjusted melanoma mortality rates were obtained for all 45 countries reporting cancer data to the World Health Organization. Stratospheric ozone data were obtained from NASA satellites. Average population skin pigmentation was obtained from skin reflectometry measurements. Paradoxically, melanoma mortality rates decreased with increasing UVB in men (r = -0.48, p < 0.001), and women (r = -0.57, p < 0.001), and with increasing UVA in both sexes. By contrast, rates were positively associated with increasing UVA/UVB ratio in men (r = + 0.49, p < 0.001) and women (r = + 0.55, p < 0.001). After multiple adjustment that included controlling for skin pigmentation, only UVA was associated with melanoma mortality rates in men (p < 0.02) with a suggestive but non-significant trend present in women (p = 0.12). UVA radiation was associated with melanoma mortality rates after controlling for UVB and average pigmentation. The results require confirmation in observational studies.

  13. Ultraviolet radiation-blocking characteristics of contact lenses: relevance to eye protection for psoralen-sensitised patients.

    PubMed

    Anstey, A; Taylor, D; Chalmers, I; Ansari, E

    1999-10-01

    Nine brands of contact lens marketed as "UV protective" were tested for ultraviolet (UV) transmission in order to assess potential suitability for psoralen-sensitised patients. UV-transmission characteristics of hydrated lenses was tested with a Bentham monochromator spectro-radiometer system. All lenses showed minimal transmission loss in the visible band. The performance of the nine lenses was uniform for ultraviolet B radiation with negligible transmission, but showed variation in transmission for ultraviolet A radiation. None of the lenses complied with UV-transmission criteria used previously to assess UV-blocking spectacles. Only two lenses had UV-blocking characteristics which came close to the arbitrary criteria used. The performance of ordinary soft and hard lenses was very similar, with negligible blocking of UV radiation. None of the nine contact lenses marketed as "UV protective" excluded sufficient UVA to comply with criteria in current use to assess UV protection in spectacles for psoralen-sensitised patients. However, the improved UV-blocking characteristics of contact lenses identified in this paper compared to previous studies suggests that such a contact lens will soon become available. Meanwhile, contact lens-wearing systemically sensitised PUVA patients should continue to wear approved spectacles for eye protection whilst photosensitised with psoralen.

  14. Influence of tropospheric ozone control on exposure to ultraviolet radiation at the surface.

    PubMed

    Madronich, Sasha; Wagner, Mark; Groth, Philip

    2011-08-15

    Improving air quality by reducing ambient ozone (O(3)) will likely lower O(3) concentrations throughout the troposphere and increase the transmission of solar ultraviolet (UV) radiation to the surface. The changes in surface UV radiation between two control scenarios (nominally 84 and 70 ppb O(3) for summer 2020) in the Eastern two-thirds of the contiguous U.S. are estimated, using tropospheric O(3) profiles calculated with a chemistry-transport model (Community Multi-Scale Air Quality, CMAQ) as inputs to a detailed model of the transfer of solar radiation through the atmosphere (tropospheric ultraviolet-visible, TUV) for clear skies, weighed for the wavelengths known to induce sunburn and skin cancer. Because the incremental emission controls differ according to region, strong spatial variability in O(3) reductions and in corresponding UV radiation increments is seen. The geographically averaged UV increase is 0.11 ± 0.03%, whereas the population-weighted increase is larger, 0.19 ± 0.06%, because O(3) reductions are greater in more densely populated regions. These relative increments in exposure are non-negligible given the already high incidence of UV-related health effects, but are lower by an order of magnitude or more than previous estimates.

  15. Design considerations of 10 kW-scale extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-05-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry roadmap, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not obvious. The problem of construction of Extreme Ultraviolet (EUV) quantum laser for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant break through in the near future. Nevertheless, there is clear path for optical lithography to take us to sub- 100 nm dimensions. Theoretical and experimental work in free electron laser (FEL) and accelerator physics and technology over the last 10 years has pointed to the possibility of generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain self-amplified spontaneous emission (SASE) FEL at 100 nm wavelength (Andruszkov et al., Phys. Rev. Lett. 85 (2000), 3825). In the SASE FEL powerful, coherent radiation is produced by the electron beam during single-pass of the undulator, thus there are no apparent limitations which would prevent operation at very short wavelength range and to increase the average output power of this device up to 10 kW level. The use of superconducting energy-recovery linac could produce a major, cost-effective facility with wall plug power to output optical power efficiency of about 1%. A 10-kW-scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be an excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy-recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time schedule requirement.

  16. Quantifying the effects of corn growth and physiological responses to Ultraviolet-B radiation for modeling

    USDA-ARS?s Scientific Manuscript database

    To understand the consequences of rising levels of Ultraviolet-B (UV-B) radiation on maize (Zea mays L.), two experiments were conducted using sunlit plant growth chambers at a wide range UV-B radiation. Maize cultivars Terral-2100 and DKC 65-44 were grown in 2003 and 2008, respectively, at four le...

  17. Contamination control approach for the Extreme Ultraviolet Explorer satellite instrumentation

    NASA Technical Reports Server (NTRS)

    Mrowka, Stan; Jelinsky, Sharon; Jelinsky, Patrick; Malina, Roger F.

    1987-01-01

    The Extreme Ultraviolet Explorer will perform an all-sky survey and spectroscopic observations over the wavelength range 80-900A. Hydrocarbon and particulate contamination will potentially affect the throughput and signal to noise ratio of the signal detected by the instruments. A witness sample program is here used to investigate and monitor the effects of specific contaminants on EUV reflectivity. Witness samples were intentionally contaminated with thin layers of pump oil. An oil layer 150 A thick was applied and found to evaporate over 8 hours. The EUV reflectivity and imaging properties were then measured and found to be acceptable for grazing angles between 5 and 30 deg. In a second test, layers 500 A thick were deposited and then allowed to evaporate in vacuum; once the oil had evaporated to at least 350 A, the final sample reflectivity was degraded less than 10 percent, but the image was degraded severely by scattering. An outline of the contamination control program is also presented.

  18. Imaging characteristics of the Extreme Ultraviolet Explorer microchannel plate detectors

    NASA Technical Reports Server (NTRS)

    Vallerga, J. V.; Kaplan, G. C.; Siegmund, O. H. W.; Lampton, M.; Malina, R. F.

    1989-01-01

    The Extreme Ultraviolet Explorer (EUVE) satellite will conduct an all-sky survey over the wavelength range from 70 A to 760 A using four grazing-incidence telescopes and seven microchannel-plate (MCP) detectors. The imaging photon-counting MCP detectors have active areas of 19.6 cm2. Photon arrival position is determined using a wedge-and-strip anode and associated pulse-encoding electronics. The imaging characteristics of the EUVE flight detectors are presented including image distortion, flat-field response, and spatial differential nonlinearity. Also included is a detailed discussion of image distortions due to the detector mechanical assembly, the wedge-and-strip anode, and the electronics. Model predictions of these distortions are compared to preflight calibration images which show distortions less than 1.3 percent rms of the detector diameter of 50 mm before correction. The plans for correcting these residual detector image distortions to less than 0.1 percent rms are also presented.

  19. Extreme ultraviolet (EUV) and FUV calibration facility for special sensor ultraviolet limb imager (SSULI)

    NASA Astrophysics Data System (ADS)

    Boyer, Craig N.; Osterman, Steven N.; Thonnard, Stefan E.; McCoy, Robert P.; Williams, J. Z.; Parker, S. E.

    1994-09-01

    A facility for calibrating far ultraviolet and extreme ultraviolet instruments has recently been completed at the Naval Research Laboratory. Our vacuum calibration vessel is 2-m in length, 1.67-m in diameter, and can accommodate optical test benches up to 1.2-m wide by 1.5-m in length. A kinematically positioned frame with four axis precision pointing capability of 10 microns for linear translation and .01 degrees for rotation is presently used during vacuum optical calibration of SSULI. The chamber was fabricated from 304 stainless steel and polished internally to reduce surface outgassing. A dust-free environment is maintained at the rear of the vacuum chamber by enclosing the 2-m hinged vacuum access door in an 8 ft. by 8 ft. class 100 clean room. Every effort was made to obtain an oil-free environment within the vacuum vessel. Outgassing products are continually monitored with a 1 - 200 amu residual gas analyzer. An oil-free claw and vane pump evacuates the chamber to 10-2 torr through 4 in. diameter stainless steel roughing lines. High vacuum is achieved and maintained with a magnetically levitated 480 l/s turbo pump and a 3000 l/s He4 cryopump. Either of two vacuum monochrometers, a 1-m f/10.4 or a 0.2-m f/4.5 are coaxially aligned with the optical axis of the chamber and are used to select single UV atomic resonance lines from a windowless capillary or penning discharge UV light source. A calibrated channeltron detector is coaxially mounted with the SSULI detector during calibration. All vacuum valves, the cooling system for the cryopump compressor, and the roughing pump are controlled through optical fibers which are interfaced to a computer through a VME board. Optical fibers were chosen to ensure that complete electrical isolation is maintained between the computer and the vacuum system valves-solenoids and relays.

  20. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  1. On the Failure of Standard Emission Measure Analysis for Solar Extreme-Ultraviolet and Ultraviolet Irradiance Spectra

    NASA Astrophysics Data System (ADS)

    Judge, P. G.; Woods, T. N.; Brekke, P.; Rottman, G. J.

    1995-12-01

    We perform emission measure analysis of new and accurate UV ( lambda > 1200 A) and extreme-ultraviolet (EUV) ( lambda <= 1200 A) irradiance ("Sun-as-a-star") emission-line spectra of the Sun. Our data consist of (1) daily averaged UV irradiances from the SOLSTICE on the UARS spacecraft and (2) EUV irradiances obtained on the same date from a \\frac {1}{4} m spectrograph flown on a sounding rocket. Both instruments have a spectral resolution of roughly 1 A. The absolute uncertainties in these data are at most +/-15% (+/-2 sigma ), one of the highest photometric accuracies yet achieved. We find large, highly significant and systematic discrepancies in the emission measure analysis of transition region lines which can only be accounted for by a breakdown of one or more standard assumptions. All strong lines above 1000 A, which are from the Li and Na isoelectronic sequences, are too strong by factors of between 2.5 and 7 compared with their counterparts in the EUV region. Previous studies were tantalizingly close to finding these discrepancies, but those data lacked the wavelength coverage and relative photometric precision necessary for definitive conclusions. We argue that either dynamical effects, inaccurate treatments of atomic processes, and/or Lyman continuum absorption are the culprits. However, we favor the former explanation. In any event, this study should have implications for models of the solar transition region, for observing programs with the CDS and SUMER instruments on SOHO, and for analysis of UV spectra for stars across the cool half of the H-R diagram. Finally, the discrepancy is not seen for the "coronal" Li-like ions.

  2. Wavelength of ultraviolet radiation that enhances onset of clinical infectious bovine keratoconjunctivitis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kopecky, K.E.; Pugh, G.W. Jr.; Hughes, D.E.

    1980-09-01

    Cellulose acetate filtered ultraviolet (uv) radiation and unfiltered uv radiation were used on calves that were subsequently challenge exposed with Moraxella bovis. The onset, course, and severity of infectious bovine keratoconjunctivitis (IBK) were studied. Ten calves irradiated with unfiltered uv had the disease 1 to 2 days after M bovis challenge exposure. Ten calves irradiated with filtered uv and 10 calves not irradiated manifested IBK in a similar manner. Evidence is presented to support the contention that the wavelengths (around 270 nm) which are eliminated by cellulose acetate enhance the course of IBK. The effects on IBK of environmentally increasedmore » solar uv radiation is also discussed.« less

  3. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    NASA Astrophysics Data System (ADS)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-05-01

    We demonstrated efficacy of a CO2-laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5nm at variable laser pulse widths between 200ps and 25ns. The plasma target was a 30μm liquid xenon microjet. To ensure the optimum coupling of CO2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5nm EUV emission for different pulse widths of the CO2 laser. A maximum CE of 0.6% was obtained for a CO2 laser pulse width of 25ns at an intensity of 5×1010W/cm2.

  4. Results from the calibration of the Extreme Ultraviolet Explorer instruments

    NASA Technical Reports Server (NTRS)

    Welsh, Barry Y.; Jelinsky, Pat; Vedder, Peter W.; Vallerga, John V.; Finley, David S.; Malina, Roger F.

    1991-01-01

    The paper describes the main features and selected results of the calibration of the scientific instruments to be flown on the Extreme Ultraviolet Explorer in 1991. The instrument payload includes three grazing incidence scanning telescopes and an EUV spectrometer/deep survey instrument covering the spectral region 70-800 A. The measured imaging characteristics, the effective areas, and the details of spectral responses of the instruments are presented. Diagrams of the cross-sectional views of the scanning telescope and the deep-survey/spectrometer telescope are included.

  5. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Utsumi, Yoshiyuki; Ohomori, Katsumi; Kozawa, Takahiro

    2014-11-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub-10 nm. An anion-bound polymer (ABP), in which the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using electron (pulse), γ, and EUV radiolyses. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The major path for proton generation in the absence of effective proton sources is considered to be the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through hole transfer to the decomposition products of onium salts.

  6. Determination of temperature maps of EUV coronal hole jets

    NASA Astrophysics Data System (ADS)

    Nisticò, Giuseppe; Patsourakos, Spiros; Bothmer, Volker; Zimbardo, Gaetano

    2011-11-01

    Coronal hole jets are fast ejections of plasma occurring within coronal holes, observed at Extreme-UltraViolet (EUV) and X-ray wavelengths. Recent observations of jets by the STEREO and Hinode missions show that they are transient phenomena which occur at much higher rates than large-scale impulsive phenomena like flares and Coronal Mass Ejections (CMEs). In this paper we describe some typical characteristics of coronal jets observed by the SECCHI instruments of STEREO spacecraft. We show an example of 3D reconstruction of the helical structure for a south pole jet, and present how the angular distribution of the jet position angles changes from the Extreme-UltraViolet-Imager (EUVI) field of view to the CORonagraph1 (COR1) (height ∼2.0 R⊙ heliocentric distance) field of view. Then we discuss a preliminary temperature determination for the jet plasma by using the filter ratio method at 171 and 195 Å and applying a technique for subtracting the EUV background radiation. The results show that jets are characterized by electron temperatures ranging between 0.8 and 1.3 MK. We present the thermal structure of the jet as temperature maps and we describe its thermal evolution.

  7. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  8. EUV and X-ray spectroheliograph study

    NASA Technical Reports Server (NTRS)

    Knox, E. D.; Pastor, R. A.; Salamon, A. L.; Sterk, A. A.

    1975-01-01

    The results of a program directed toward the definition of an EUV and X-ray spectroheliograph which has significant performance and operational improvements over the OSO-7 instrument are documented. The program investigated methods of implementing selected changes and incorporated the results of the study into a set of drawings which defines the new instrument. The EUV detector performance degradation observed during the OSO-7 mission was investigated and the most probable cause of the degradation identified.

  9. New advances in protection against solar ultraviolet radiation in textiles for summer clothing.

    PubMed

    Aguilera, José; de Gálvez, María Victoria; Sánchez-Roldán, Cristina; Herrera-Ceballos, Enrique

    2014-01-01

    Clothing is considered one of the most important tools for photoprotection against harmful solar ultraviolet radiation (UVR). The standard for sun-protective clothing is based on erythema despite other biological effects of UVR on the skin. We analyzed the potential protection against UVR in fabrics destined for summer clothing based on several action spectra. We examined 50 garments classified by type of fabric composition, structure of the fiber yarn and color. The ultraviolet protection factor was calculated based on fabric ultraviolet transmittance corrected for erythema according to the EU standard E-13758 as well as the UVA transmittance of fabrics. UVR protection was also analyzed in base of different action spectra as for previtamin D3, nonmelanoma skin cancer, photoimmunosuppression and photoaging. Most knitted fabrics used for sports T-shirts offered excellent ratings for ultraviolet protection while normal shirts showed very low ratings, particularly against photoaging. The cover is the most influential variable in fabric photoprotection, having an exponential relationship with the UPF. The relation between cover and UVA protection was linearly negative. Information about ultraviolet protection in textiles used for summer clothing should be included in labeling as some types of fabrics, especially those used for shirts, offer very low UVR protection. © 2014 The American Society of Photobiology.

  10. EUV spectroscopy of high-redshift x-ray objects

    NASA Astrophysics Data System (ADS)

    Kowalski, M. P.; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.; Barstow, M. A.

    2010-07-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGN for example, will be redshifted into the EUV waveband. Consequently, a wealth of critical spectral diagnostics, provided by, for example, the Fe L-shell complex and the O VII/VIII lines, will be lost to future planned X-ray missions (e.g., IXO, Gen-X) if operated at traditional X-ray energies. This opens up a critical gap in performance located at short EUV wavelengths, where critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nanolaminate replication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on next-generation instrument designs. We conclude with a discussion of a breakthrough technology, nanolaminate replication, which enables such instruments.

  11. SEURAT: SPH scheme extended with ultraviolet line radiative transfer

    NASA Astrophysics Data System (ADS)

    Abe, Makito; Suzuki, Hiroyuki; Hasegawa, Kenji; Semelin, Benoit; Yajima, Hidenobu; Umemura, Masayuki

    2018-05-01

    We present a novel Lyman alpha (Ly α) radiative transfer code, SEURAT (SPH scheme Extended with Ultraviolet line RAdiative Transfer), where line scatterings are solved adaptively with the resolution of the smoothed particle hydrodynamics (SPH). The radiative transfer method implemented in SEURAT is based on a Monte Carlo algorithm in which the scattering and absorption by dust are also incorporated. We perform standard test calculations to verify the validity of the code; (i) emergent spectra from a static uniform sphere, (ii) emergent spectra from an expanding uniform sphere, and (iii) escape fraction from a dusty slab. Thereby, we demonstrate that our code solves the {Ly} α radiative transfer with sufficient accuracy. We emphasize that SEURAT can treat the transfer of {Ly} α photons even in highly complex systems that have significantly inhomogeneous density fields. The high adaptivity of SEURAT is desirable to solve the propagation of {Ly} α photons in the interstellar medium of young star-forming galaxies like {Ly} α emitters (LAEs). Thus, SEURAT provides a powerful tool to model the emergent spectra of {Ly} α emission, which can be compared to the observations of LAEs.

  12. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  13. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  14. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  15. Occupational skin cancer induced by ultraviolet radiation and its prevention.

    PubMed

    Diepgen, T L; Fartasch, M; Drexler, H; Schmitt, J

    2012-08-01

    Skin cancer is by far the most common kind of cancer diagnosed in many western countries and ultraviolet radiation is the most important risk factor for cutaneous squamous cell carcinoma (SCC) and basal cell carcinoma (BCC). Although employees at several workplaces are exposed to increased levels of UV radiation, skin cancer due to long-term intense occupational exposure to UV radiation is often not considered as occupational disease. The actually available evidence in the epidemiological literature clearly indicates that occupational UV radiation exposure is a substantial and robust risk factor for the development of cutaneous SCC and also clearly shows a significant risk for developing BCC. There is enough scientific evidence that outdoor workers have an increased risk of developing work-related occupational skin cancer due to natural UV radiation exposure and adequate prevention strategies must be implemented. The three measures which are successful and of particular importance in the prevention of nonmelanoma skin cancer in outdoor workers are changes in behaviour regarding awareness of health and disease resulting from exposure to natural UV radiation, protection from direct UV radiation by wearing suitable clothing, and regular and correct use of appropriate sunscreens. © 2012 The Authors. BJD © 2012 British Association of Dermatologists.

  16. Method of fabricating reflection-mode EUV diffraction elements

    DOEpatents

    Naulleau, Patrick P.

    2002-01-01

    Techniques for fabricating a well-controlled, quantized-level, engineered surface that serves as substrates for EUV reflection multilayer overcomes problems associated with the fabrication of reflective EUV diffraction elements. The technique when employed to fabricate an EUV diffraction element that includes the steps of: (a) forming an etch stack comprising alternating layers of first and second materials on a substrate surface where the two material can provide relative etch selectivity; (b) creating a relief profile in the etch stack wherein the relief profile has a defined contour; and (c) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. For a typical EUV multilayer, if the features on the substrate are larger than 50 nm, the multilayer will be conformal to the substrate. Thus, the phase imparted to the reflected wavefront will closely match that geometrically set by the surface height profile.

  17. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  18. EUNIS; Extreme-Ultraviolet Normal-Incidence Spectrometer

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.; Davila, Joseph M.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    GSFC is in the process of assembling an Extreme-Ultraviolet Normal Incidence Spectrometer called EUNIS, to be flown as a sounding rocket payload. The instrument builds on the many technical innovations pioneered by our highly successful SERTS experiment, which has now flown a total of ten times, most recently last summer. The new design will have somewhat improved spatial and spectral resolutions, as well as two orders of magnitude greater sensitivity, permitting high signal/noise EUV spectroscopy with a temporal resolution near 1 second for the first time ever. In order to achieve such high time cadence, a novel detector system is being developed, based on Active-Pixel-Sensor electronics, a key component of our design.

  19. High reflectance coatings for space applications in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Gum, Jeffrey S.; Osantowski, John F.; Fleetwood, Charles M.

    1993-01-01

    Advances in optical coating and materials technology have made possible the development of instruments with substantially improved efficiency and made possible to consider more complex optical designs in the EUV. The importance of recent developments in chemical vapor deposited silicon carbide (CVD-SiC), SiC films and multilayer coatings is discussed in the context of EUV instrumentation design. The EUV performance of these coatings as well as some strengths and problem areas for their use in space will be addressed.

  20. Degradation-Free Spectrometers for Solar EUV Measurements: A Progress Report

    NASA Astrophysics Data System (ADS)

    Wieman, S. R.; Judge, D. L.; Didkovsky, L. V.

    2009-12-01

    Solar EUV observations will be made using two new degradation-free EUV spectrometers on a sounding rocket flight scheduled for Summer 2010. The two instruments, a rare gas photoionization-based Optics-Free Spectrometer (OFS) and a Dual Grating Spectrometer (DGS), are filter-free and optics-free. OFS can measure the solar EUV spectrum with a spectral resolution comparable to that of grating-based EUV spectrometers. The DGS is designed to provide solar irradiance at Lyman-alpha and He II to overlap EUV observations from SOHO/SEM and SDO/EVE. Electronic and mechanical designs for the flight prototype instruments and results of tests performed with the instruments in the laboratory are reported. The spectrometers are being developed and demonstrated as part of the Degradation Free Spectrometers (DFS) project under NASA’s Low Cost Access to Space (LCAS) program and are supported by NASA Grant NNX08BA12G.