Sample records for ultraviolet euv source

  1. Serendipitous EUV sources detected during the first year of the Extreme Ultraviolet Explorer right angle program

    NASA Technical Reports Server (NTRS)

    Mcdonald, K.; Craig, N.; Sirk, M. M.; Drake, J. J.; Fruscione, A.; Vallerga, J. V.; Malina, R. F.

    1994-01-01

    We report the detection of 114 extreme ultraviolet (EUV; 58 - 740 A) sources, of which 99 are new serendipitous sources, based on observations made with the imaging telescopes on board the Extreme Ultraviolet Explorer (EUVE) during the Right Angle Program (RAP). These data were obtained using the survey scanners and the Deep Survey instrument during the first year of the spectroscopic guest observer phase of the mission, from January 1993 to January 1994. The data set consists of 162 discrete pointings whose exposure times are typically two orders of magnitude longer than the average exposure times during the EUVE all-sky survey. Based on these results, we can expect that EUVE will serendipitously detect approximately 100 new EUV sources per year, or about one new EUV source per 10 sq deg, during the guest observer phase of the EUVE mission. New EUVE sources of note include one B star and three extragalactic objects. The B star (HR 2875, EUVE J0729 - 38.7) is detected in both the Lexan/B (approximately 100 A) and Al/Ti/C (approximately 200 A) bandpasses, and the detection is shown not to be a result of UV leaks. We suggest that we are detecting EUV and/or soft x rays from a companion to the B star. Three sources, EUVE J2132+10.1, EUVE J2343-14.9, and EUVE J2359-30.6 are identified as the active galactic nuclei MKN 1513, MS2340.9-1511, and 1H2354-315, respectively.

  2. Extreme Ultraviolet Explorer Bright Source List

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Marshall, Herman L.; Antia, Behram; Christian, Carol A.; Dobson, Carl A.; Finley, David S.; Fruscione, Antonella; Girouard, Forrest R.; Hawkins, Isabel; Jelinsky, Patrick

    1994-01-01

    Initial results from the analysis of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (58-740 A) and deep survey (67-364 A) are presented through the EUVE Bright Source List (BSL). The BSL contains 356 confirmed extreme ultraviolet (EUV) point sources with supporting information, including positions, observed EUV count rates, and the identification of possible optical counterparts. One-hundred twenty-six sources have been detected longward of 200 A.

  3. Low temperature plasmas induced in SF6 by extreme ultraviolet (EUV) pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Skrzeczanowski, W.; Czwartos, J.; Kostecki, J.; Fiedorowicz, H.; Wachulak, P.; Fok, T.

    2018-06-01

    In this work, a comparative study of extreme ultraviolet (EUV) induced low temperature SF6-based plasmas, created using two different irradiation systems, was performed. Both systems utilized laser-produced plasma (LPP) EUV sources. The essential difference between the systems concerned the formation of the driving EUV beam. The first one contained an efficient ellipsoidal EUV collector allowing for focusing of the EUV radiation at a large distance from the LPP source. The spectrum of focused radiation was limited to the long-wavelength part of the total LPP emission, λ > 8 nm, due to the reflective properties of the collector. The second system did not contain any EUV collector. The gas to be ionized was injected in the vicinity of the LPP, at a distance of the order of 10 mm. In both systems, energies of the driving photons were high enough for dissociative ionization of the SF6 molecules and ionization of atoms or even singly charged ions. Plasmas, created due to these processes, were investigated by spectral measurements in the EUV, ultraviolet (UV), and visible (VIS) spectral ranges. These low temperature plasmas were employed for preliminary experiments concerning surface treatment. The formation of pronounced nanostructures on the silicon surface after plasma treatment was demonstrated.

  4. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    NASA Astrophysics Data System (ADS)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  5. Ptychographic imaging with partially coherent plasma EUV sources

    NASA Astrophysics Data System (ADS)

    Bußmann, Jan; Odstrčil, Michal; Teramoto, Yusuke; Juschkin, Larissa

    2017-12-01

    We report on high-resolution lens-less imaging experiments based on ptychographic scanning coherent diffractive imaging (CDI) method employing compact plasma sources developed for extreme ultraviolet (EUV) lithography applications. Two kinds of discharge sources were used in our experiments: a hollow-cathode-triggered pinch plasma source operated with oxygen and for the first time a laser-assisted discharge EUV source with a liquid tin target. Ptychographic reconstructions of different samples were achieved by applying constraint relaxation to the algorithm. Our ptychography algorithms can handle low spatial coherence and broadband illumination as well as compensate for the residual background due to plasma radiation in the visible spectral range. Image resolution down to 100 nm is demonstrated even for sparse objects, and it is limited presently by the sample structure contrast and the available coherent photon flux. We could extract material properties by the reconstruction of the complex exit-wave field, gaining additional information compared to electron microscopy or CDI with longer-wavelength high harmonic laser sources. Our results show that compact plasma-based EUV light sources of only partial spatial and temporal coherence can be effectively used for lens-less imaging applications. The reported methods may be applied in combination with reflectometry and scatterometry for high-resolution EUV metrology.

  6. Observation of EUVL mask using coherent EUV scatterometry microscope with high-harmonic-generation EUV source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-07-01

    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.

  7. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  8. Plasma-based EUV light source

    DOEpatents

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  9. Surface roughness control by extreme ultraviolet (EUV) radiation

    NASA Astrophysics Data System (ADS)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  10. Performance of 100-W HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  11. The first Extreme Ultraviolet Explorer source catalog

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Lieu, R.; Lampton, M.; Lewis, J.; Wu, X.; Drake, J. J.; Malina, R. F.

    1994-01-01

    The Extreme Ultraviolet Explorer (EUVE) has conducted an all-sky survey to locate and identify point sources of emission in four extreme ultraviolet wavelength bands centered at approximately 100, 200, 400, and 600 A. A companion deep survey of a strip along half the ecliptic plane was simultaneously conducted. In this catalog we report the sources found in these surveys using rigorously defined criteria uniformly applied to the data set. These are the first surveys to be made in the three longer wavelength bands, and a substantial number of sources were detected in these bands. We present a number of statistical diagnostics of the surveys, including their source counts, their sensitivites, and their positional error distributions. We provide a separate list of those sources reported in the EUVE Bright Source List which did not meet our criteria for inclusion in our primary list. We also provide improved count rate and position estimates for a majority of these sources based on the improved methodology used in this paper. In total, this catalog lists a total of 410 point sources, of which 372 have plausible optical ultraviolet, or X-ray identifications, which are also listed.

  12. Prospective EUV observations of hot DA white dwarfs with the EUV Explorer

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Malina, Roger F.; Bowyer, Stuart

    1987-01-01

    The Extreme Ultraviolet Explorer (EUVE) will perform a high sensitivity EUV all-sky survey. A major category of sources which will be detected with the EUVE instruments consists of hot white dwarfs. Detailed preliminary studies of synthetic EUV observations of white dwarfs have been carried out using the predicted EUVE instrumental response functions. Using available information regarding space densities of white dwarfs and the distribution of neutral hydrogen in the interstellar medium, the numbers of DA white dwarfs which will be detectable in the different EUV bandpasses have been estimated.

  13. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  14. A stand-alone compact EUV microscope based on gas-puff target source.

    PubMed

    Torrisi, Alfio; Wachulak, Przemyslaw; Węgrzyński, Łukasz; Fok, Tomasz; Bartnik, Andrzej; Parkman, Tomáš; Vondrová, Šárka; Turňová, Jana; Jankiewicz, Bartłomiej J; Bartosewicz, Bartosz; Fiedorowicz, Henryk

    2017-02-01

    We report on a very compact desk-top transmission extreme ultraviolet (EUV) microscope based on a laser-plasma source with a double stream gas-puff target, capable of acquiring magnified images of objects with a spatial (half-pitch) resolution of sub-50 nm. A multilayer ellipsoidal condenser is used to focus and spectrally narrow the radiation from the plasma, producing a quasi-monochromatic EUV radiation (λ = 13.8 nm) illuminating the object, whereas a Fresnel zone plate objective forms the image. Design details, development, characterization and optimization of the EUV source and the microscope are described and discussed. Test object and other samples were imaged to demonstrate superior resolution compared to visible light microscopy. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  15. Microchannel plate EUV detectors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Siegmund, O. H. W.; Malina, R. F.; Coburn, K.; Werthimer, D.

    1984-01-01

    The design and operating characteristics of the prototype imaging microchannel plate (MCP) detector for the Extreme Ultraviolet Explorer (EUVE) Satellite are discussed. It is shown that this detector has achieved high position resolution performance (greater than 512 x 512 pixels) and has low (less than one percent) image distortion. In addition, the channel plate scheme used has tight pulse height distributions (less than 40 percent FWHM) for UV radiation and displays low (less than 0.2 cnt/sq cm-s) dark background counting rates. Work that has been done on EUV filters in relation to the envisaged filter and photocathode complement is also described.

  16. Evidence for a New Class of Extreme Ultraviolet Sources

    NASA Technical Reports Server (NTRS)

    Maoz, Dan; Ofek, Eran O.; Shemi, Amotz

    1997-01-01

    Most of the sources detected in the extreme ultraviolet (EUV; 100-600 A) by the ROSAT/WFC and EUVE all-sky surveys have been identified with active late-type stars and hot white dwarfs that are near enough to the Earth to escape absorption by interstellar gas. However, about 15 per cent of EUV sources are as yet unidentified with any optical counterparts. We examine whether the unidentified EUV sources may consist of the same population of late-type stars and white dwarfs. We present B and R photometry of stars in the fields of seven of the unidentified EUV sources. We detect in the optical the entire main-sequence and white dwarf population out to the greatest distances where they could still avoid absorption. We use color-magnitude diagrams to demonstrate that, in most of the fields, none of the observed stars has the colours and magnitudes of late-type dwarfs at distances less than 100 pc. Similarly, none of the observed stars is a white dwarf within 500 pc that is hot enough to be a EUV emitter. The unidentified EUV sources we study are not detected in X-rays, while cataclysmic variables, X-ray binaries, and active galactic nuclei generally are. We conclude that some of the EUV sources may be a new class of nearby objects, which are either very faint at optical bands or which mimic the colours and magnitudes of distant late-type stars or cool white dwarfs. One candidate for optically faint objects is isolated old neutron stars, slowly accreting interstellar matter. Such neutron stars are expected to be abundant in the Galaxy, and have not been unambiguously detected.

  17. Erosion resistant nozzles for laser plasma extreme ultraviolet (EUV) sources

    DOEpatents

    Kubiak, Glenn D.; Bernardez, II, Luis J.

    2000-01-04

    A gas nozzle having an increased resistance to erosion from energetic plasma particles generated by laser plasma sources. By reducing the area of the plasma-facing portion of the nozzle below a critical dimension and fabricating the nozzle from a material that has a high EUV transmission as well as a low sputtering coefficient such as Be, C, or Si, it has been shown that a significant reduction in reflectance loss of nearby optical components can be achieved even after exposing the nozzle to at least 10.sup.7 Xe plasma pulses.

  18. Selected highlights from the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1995-01-01

    We present a few scientific highlights from the Extreme Ultraviolet Explorer (EUVE) all-sky and deep surveys, from the EUVE Righ Angle Program, and from the EUVE Guest Observer Program. The First EUVE Source Catalog includes 410 extreme ultraviolet (EUV) sources detected in the initial processing of the EUVE all-sky data. A program of optical identification indicates that counterparts include cool star coronae, flare stars, hot white dwarfs, central stars of planetary nebulae, B star photospheres and winds, an X-ray binary, extragalactic objects (active galactic nuclei, BL Lacertae), solar system objects (Moon, Mars, Io,), supernova remnants, and two novae.

  19. The extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, Stuart; Malina, Roger F.

    1990-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled for launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of Extreme Ultraviolet (EUV) radiation. The survey will be accomplished with the use of three EUV telescopes, each sensitive to a different segment of the EUV band. A fourth telescope will perform a high sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all sky survey will be carried out in the first six months of the mission and will be made in four bands, or colors. The second phase of the mission, conducted entirely by guest observers selected by NASA, will be devoted to spectroscopic observations of EUV sources. The performance of the instrument components is described. An end to end model of the mission, from a stellar source to the resulting scientific data, was constructed. Hypothetical data from astronomical sources processed through this model are shown.

  20. The Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Bowyer, S.; Lampton, M.; Finley, D.; Paresce, F.; Penegor, G.; Heetderks, H.

    1982-01-01

    The Extreme Ultraviolet Explorer Mission is described. The purpose of this mission is to search the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation (100 to 1000 A). The search will be accomplished with the use of three EUV telescopes, each sensitive to different bands within the EUV band. A fourth telescope will perform a higher sensitivity search of a limited sample of the sky in a single EUV band. In six months, the entire sky will be scanned at a sensitivity level comparable to existing surveys in other more traditional astronomical bandpasses.

  1. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  2. Imaging performance improvement of coherent extreme-ultraviolet scatterometry microscope with high-harmonic-generation extreme-ultraviolet source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-06-01

    In extreme-ultraviolet (EUV) lithography, the development of a review apparatus for the EUV mask pattern at an exposure wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern and a Mo/Si multilayer on a glass substrate. This mask pattern has a three-dimensional (3D) structure. The 3D structure would modulate the EUV reflection phase, which would cause focus and pattern shifts. Thus, the review of the EUV phase image is also important. We have developed a coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. The EUV phase and intensity images were reconstructed with diffraction images by ptychography. For a standalone mask review, the high-harmonic-generation (HHG) EUV source was employed. In this study, we updated the sample stage, pump-laser reduction system, and gas-pressure control system to reconstruct the image. As a result, an 88 nm line-and-space pattern and a cross-line pattern were reconstructed. In addition, a particle defect of 2 µm diameter was well reconstructed. This demonstrated the high capability of the standalone CSM, which can hence be used in factories, such as mask shops and semiconductor fabrication plants.

  3. Modeling of radiative properties of Sn plasmas for extreme-ultraviolet source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sasaki, Akira; Sunahara, Atsushi; Furukawa, Hiroyuki

    Atomic processes in Sn plasmas are investigated for application to extreme-ultraviolet (EUV) light sources used in microlithography. We develop a full collisional radiative (CR) model of Sn plasmas based on calculated atomic data using Hebrew University Lawrence Livermore Atomic Code (HULLAC). Resonance and satellite lines from singly and multiply excited states of Sn ions, which contribute significantly to the EUV emission, are identified and included in the model through a systematic investigation of their effect on the emission spectra. The wavelengths of the 4d-4f+4p-4d transitions of Sn{sup 5+} to Sn{sup 13+} are investigated, because of their importance for determining themore » conversion efficiency of the EUV source, in conjunction with the effect of configuration interaction in the calculation of atomic structure. Calculated emission spectra are compared with those of charge exchange spectroscopy and of laser produced plasma EUV sources. The comparison is also carried out for the opacity of a radiatively heated Sn sample. A reasonable agreement is obtained between calculated and experimental EUV emission spectra observed under the typical condition of EUV sources with the ion density and ionization temperature of the plasma around 10{sup 18} cm{sup -3} and 20 eV, respectively, by applying a wavelength correction to the resonance and satellite lines. Finally, the spectral emissivity and opacity of Sn plasmas are calculated as a function of electron temperature and ion density. The results are useful for radiation hydrodynamics simulations for the optimization of EUV sources.« less

  4. Laser-produced lithium plasma as a narrow-band extended ultraviolet radiation source for photoelectron spectroscopy.

    PubMed

    Schriever, G; Mager, S; Naweed, A; Engel, A; Bergmann, K; Lebert, R

    1998-03-01

    Extended ultraviolet (EUV) emission characteristics of a laser-produced lithium plasma are determined with regard to the requirements of x-ray photoelectron spectroscopy. The main features of interest are spectral distribution, photon flux, bandwidth, source size, and emission duration. Laser-produced lithium plasmas are characterized as emitters of intense narrow-band EUV radiation. It can be estimated that the lithium Lyman-alpha line emission in combination with an ellipsoidal silicon/molybdenum multilayer mirror is a suitable EUV source for an x-ray photoelectron spectroscopy microscope with a 50-meV energy resolution and a 10-mum lateral resolution.

  5. High-space resolution imaging plate analysis of extreme ultraviolet (EUV) light from tin laser-produced plasmas

    NASA Astrophysics Data System (ADS)

    Musgrave, Christopher S. A.; Murakami, Takehiro; Ugomori, Teruyuki; Yoshida, Kensuke; Fujioka, Shinsuke; Nishimura, Hiroaki; Atarashi, Hironori; Iyoda, Tomokazu; Nagai, Keiji

    2017-03-01

    With the advent of high volume manufacturing capabilities by extreme ultraviolet lithography, constant improvements in light source design and cost-efficiency are required. Currently, light intensity and conversion efficiency (CE) measurments are obtained by charged couple devices, faraday cups etc, but also phoshpor imaging plates (IPs) (BaFBr:Eu). IPs are sensitive to light and high-energy species, which is ideal for studying extreme ultraviolet (EUV) light from laser produced plasmas (LPPs). In this work, we used IPs to observe a large angular distribution (10°-90°). We ablated a tin target by high-energy lasers (1064 nm Nd:YAG, 1010 and 1011 W/cm2) to generate the EUV light. The europium ions in the IP were trapped in a higher energy state from exposure to EUV light and high-energy species. The light intensity was angular dependent; therefore excitation of the IP depends on the angle, and so highly informative about the LPP. We obtained high-space resolution (345 μm, 0.2°) angular distribution and grazing spectrometer (5-20 nm grate) data simultaneously at different target to IP distances (103 mm and 200 mm). Two laser systems and IP types (BAS-TR and BAS-SR) were also compared. The cosine fitting values from the IP data were used to calculate the CE to be 1.6% (SD ± 0.2) at 13.5 nm 2% bandwidth. Finally, a practical assessment of IPs and a damage issue are disclosed.

  6. Extreme Ultraviolet Explorer. Long look at the next window

    NASA Technical Reports Server (NTRS)

    Maran, Stephen P.

    1991-01-01

    The Extreme Ultraviolet Explorer (EUVE) will map the entire sky to determine the existence, direction, brightness, and temperature of thousands of objects that are sources of so-called extreme ultraviolet (EUV) radiation. The EUV spectral region is located between the x-ray and ultraviolet regions of the electromagnetic spectrum. From the sky survey by EUVE, astronomers will determine the nature of sources of EUV light in our galaxy, and infer the distribution of interstellar gas for hundreds of light years around the solar system. It is from this gas and the accompanying dust in space that new stars and solar systems are born and to which evolving and dying stars return much of their material in an endless cosmic cycle of birth, death, and rebirth. Besides surveying the sky, astronomers will make detailed studies of selected objects with EUVE to determine their physical properties and chemical compositions. Also, they will learn about the conditions that prevail and the processes at work in stars, planets, and other sources of EUV radiation, maybe even quasars. The EUVE mission and instruments are described. The objects that EUVE will likely find are described.

  7. Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source

    NASA Astrophysics Data System (ADS)

    Inoue, T.; Nica, P. E.; Kaku, K.; Shimoura, A.; Amano, S.; Miyamoto, S.; Mochizuki, T.

    2006-03-01

    In this paper, characterizations of a cryogenic Xe capillary jet target for a laser-produced plasma extreme ultraviolet (EUV) light source are reported. The capillary jet target is a candidate of fast-supplying targets for mitigating debris generation and target consumption in a vacuum chamber without reducing the EUV conversion efficiency. Xe capillary jets (jet velocity ~ 0.4 m/s) were generated in vacuum by using annular nozzles chilled to ~ 170 K at a Xe backing pressure of ~ 0.7 MPa. Forming mechanisms of the capillary jet targets were studied by using numerical calculations. Furthermore, laser-produced plasma EUV generation was performed by irradiating a Nd:YAG laser (1064 nm, ~ 0.5 J, 10 ns, 120 μmφ, ~ 4×10 11 W/cm2) on a Xe capillary jet target (outer / inner diameter = 100 / 70 μmφ). The angular distribution of EUV generation was approximately uniform around the Xe capillary jet target, and the peak kinetic energy of the fast-ions was evaluated to be ~ 2 keV.

  8. Mars Thermospheric Temperature Sensitivity to Solar EUV Forcing from the MAVEN EUV Monitor

    NASA Astrophysics Data System (ADS)

    Thiemann, Ed; Eparvier, Francis; Andersson, Laila; Pilinski, Marcin; Chamberlin, Phillip; Fowler, Christopher; MAVEN Extreme Ultraviolet Monitor Team, MAVEN Langmuir Probe and Waves Team

    2017-10-01

    Solar extreme ultraviolet (EUV) radiation is the primary heat source for the Mars thermosphere, and the primary source of long-term temperature variability. The Mars obliquity, dust cycle, tides and waves also drive thermospheric temperature variability; and it is important to quantify the role of each in order to understand processes in the upper atmosphere today and, ultimately, the evolution of Mars climate over time. Although EUV radiation is the dominant heating mechanism, accurately measuring the thermospheric temperature sensitivity to EUV forcing has remained elusive, in part, because Mars thermospheric temperature varies dramatically with latitude and local time (LT), ranging from 150K on the nightside to 300K on the dayside. It follows that studies of thermospheric variability must control for location.Instruments onboard the Mars Atmosphere and Volatile EvolutioN (MAVEN) orbiter have begun to characterize thermospheric temperature sensitivity to EUV forcing. Bougher et al. [2017] used measurements from the Imaging Ultraviolet Spectrograph (IUVS) and the Neutral Gas and Ion Mass Spectrometer (NGIMS) to characterize solar activity trends in the thermosphere with some success. However, aside from restricting measurements to solar zenith angles (SZAs) below 75 degrees, they were unable to control for latitude and LT because repeat-track observations from either instrument were limited or unavailable.The MAVEN EUV Monitor (EUVM) has recently demonstrated the capability to measure thermospheric density from 100 to 200 km with solar occultations of its 17-22 nm channel. These new density measurements are ideal for tracking the long-term thermospheric temperature variability because they are inherently constrained to either 06:00 or 18:00 LT, and the orbit has precessed to include a range of ecliptic latitudes, a number of which have been revisited multiple times over 2.5 years. In this study we present, for the first-time, measurements of thermospheric

  9. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  10. The Extreme Ultraviolet Explorer Mission

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1991-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled from launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation with the use of three EUV telescope, each sensitive to a different segment of the EUV band. A fourth telescope is planned to perform a high-sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all-sky survey is planned to be carried out in the first six months of the mission in four bands, or colors, 70-180 A, 170-250 A, 400-600 A, and 500-700 A. The second phase of the mission is devoted to spectroscopic observations of EUV sources. A high-efficiency grazing-incidence spectrometer using variable line-space gratings is planned to provide spectral data with about 1-A resolution. An end-to-end model of the mission, from a stellar source to the resulting scientific data, is presented. Hypothetical data from astronomical sources were processed through this model and are shown.

  11. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  12. Universal EUV in-band intensity detector

    DOEpatents

    Berger, Kurt W.

    2004-08-24

    Extreme ultraviolet light is detected using a universal in-band detector for detecting extreme ultraviolet radiation that includes: (a) an EUV sensitive photodiode having a diode active area that generates a current responsive to EUV radiation; (b) one or more mirrors that reflects EUV radiation having a defined wavelength(s) to the diode active area; and (c) a mask defining a pinhole that is positioned above the diode active area, wherein EUV radiation passing through the pinhole is restricted substantially to illuminating the diode active area.

  13. EUV Cross-Calibration Strategies for the GOES-R SUVI

    NASA Astrophysics Data System (ADS)

    Darnel, Jonathan; Seaton, Daniel

    2016-10-01

    The challenges of maintaining calibration for solar EUV instrumentation is well-known. The lack of standard calibration sources and the fact that most solar EUV telescopes are incapable of utilizing bright astronomical EUV sources for calibration make knowledge of instrument performance quite difficult. In the recent past, calibration rocket underflights have helped establish a calibration baseline. The EVE instrument on SDO for a time provided well-calibrated, high spectral resolution solar spectra for a broad range of the EUV, but has suffered a loss of coverage at the shorter wavelengths. NOAA's Solar UltraViolet Imager (SUVI), a solar EUV imager with similarities to SDO/AIA, will provide solar imagery over nearly an entire solar cycle. In order to maintain the scientific value of the SUVI's dataset, novel approaches to calibration are necessary. Here we demonstrate a suite of methods to cross-calibrate SUVI against other solar EUV instruments through the use of proxy solar spectra.

  14. Calibration techniques and results in the soft X-ray and extreme ultraviolet for components of the Extreme Ultraviolet Explorer Satellite

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Jelinsky, Patrick; Bowyer, Stuart

    1986-01-01

    The calibration facilities and techniques for the Extreme Ultraviolet Explorer (EUVE) from 44 to 2500 A are described. Key elements include newly designed radiation sources and a collimated monochromatic EUV beam. Sample results for the calibration of the EUVE filters, detectors, gratings, collimators, and optics are summarized.

  15. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source.

    PubMed

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 microm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2 x 10(11) Wcm(2) with a spot diameter of 175 microm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  16. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 μm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2×1011 W/cm2 with a spot diameter of 175 μm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  17. Development of a EUV Test Facility at the Marshall Space Flight Center

    NASA Technical Reports Server (NTRS)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  18. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    PubMed

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  19. Lifetime estimation of extreme-ultraviolet pellicle at 500 W source power by thermal stress analysis

    NASA Astrophysics Data System (ADS)

    Park, Eun-Sang; Ban, Chung-Hyun; Park, Jae-Hun; Oh, Hye-Keun

    2017-10-01

    The analysis of the thermal stress and the extreme-ultraviolet (EUV) pellicle is important since the pellicle could be easily damaged since the thickness of the pellicle is 50 nm thin due to 90% required EUV transmission. One of the solution is using a high emissivity metallic material on the both sides of the pellicle and it can lower the thermal stress. However, using a metallic coating on pellicle core which is usually consist of silicon group can decrease the EUV transmission compared to using a single core layer pellicle only. Therefore, we optimized thermal and optical properties of the pellicle and elect three types of the pellicle. In this paper we simulated our optimized pellicles with 500W source power. The result shows that the difference of the thermal stress is small for each case. Therefore, our result also shows that using a high emissivity coating is necessary since the cooling of the pellicle strongly depends on emissivity and it can lower the stress effectively even at high EUV source power.

  20. EUV tools: hydrogen gas purification and recovery strategies

    NASA Astrophysics Data System (ADS)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  1. Prototype through-pellicle coherent imaging using a 30nm tabletop EUV source

    NASA Astrophysics Data System (ADS)

    Bevis, Charles S.; Karl, Robert M.; Wang, Bin; Esashi, Yuka; Tanksalvala, Michael; Porter, Christina L.; Johnsen, Peter; Adams, Daniel E.; Murnane, Margaret M.; Kapteyn, Henry C.

    2018-03-01

    We present preliminary through-pellicle imaging using a 30nm tabletop extreme ultraviolet (EUV) coherent diffractive imaging microscope. We show that even in a non-optimized setup, this technique enables through-pellicle imaging of a sample with no detectable impact on image fidelity or resolution.

  2. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  3. Quality control of EUVE databases

    NASA Technical Reports Server (NTRS)

    John, L. M.; Drake, J.

    1992-01-01

    The publicly accessible databases for the Extreme Ultraviolet Explorer include: the EUVE Archive mailserver; the CEA ftp site; the EUVE Guest Observer Mailserver; and the Astronomical Data System node. The EUVE Performance Assurance team is responsible for verifying that these public EUVE databases are working properly, and that the public availability of EUVE data contained therein does not infringe any data rights which may have been assigned. In this poster, we describe the Quality Assurance (QA) procedures we have developed from the approach of QA as a service organization, thus reflecting the overall EUVE philosophy of Quality Assurance integrated into normal operating procedures, rather than imposed as an external, post facto, control mechanism.

  4. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  5. Intense X-ray and EUV light source

    DOEpatents

    Coleman, Joshua; Ekdahl, Carl; Oertel, John

    2017-06-20

    An intense X-ray or EUV light source may be driven by the Smith-Purcell effect. The intense light source may utilize intense electron beams and Bragg crystals. This may allow the intense light source to range from the extreme UV range up to the hard X-ray range.

  6. Estimation of resist sensitivity for extreme ultraviolet lithography using an electron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa, E-mail: ohyama.tomoko@qst.go.jp; Oshima, Akihiro; Tagawa, Seiichi, E-mail: tagawa@sanken.osaka-u.ac.jp

    2016-08-15

    It is a challenge to obtain sufficient extreme ultraviolet (EUV) exposure time for fundamental research on developing a new class of high sensitivity resists for extreme ultraviolet lithography (EUVL) because there are few EUV exposure tools that are very expensive. In this paper, we introduce an easy method for predicting EUV resist sensitivity by using conventional electron beam (EB) sources. If the chemical reactions induced by two ionizing sources (EB and EUV) are the same, the required absorbed energies corresponding to each required exposure dose (sensitivity) for the EB and EUV would be almost equivalent. Based on this theory, wemore » calculated the resist sensitivities for the EUV/soft X-ray region. The estimated sensitivities were found to be comparable to the experimentally obtained sensitivities. It was concluded that EB is a very useful exposure tool that accelerates the development of new resists and sensitivity enhancement processes for 13.5 nm EUVL and 6.x nm beyond-EUVL (BEUVL).« less

  7. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  8. Analytical techniques for mechanistic characterization of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  9. Laser-plasma extreme ultraviolet and soft X-ray sources based on a double stream gas puff target: interaction of the radiation pulses with matter

    NASA Astrophysics Data System (ADS)

    Bartnik, A.

    2015-06-01

    In this work a review of investigations concerning interaction of intense extreme ultraviolet (EUV) and soft X-ray (SXR) pulses with matter is presented. The investigations were performed using laser-produced plasma (LPP) EUV/SXR sources based on a double stream gas puff target. The sources are equipped with dedicated collectors allowing for efficient focusing of the EUV/SXR radiation pulses. Intense radiation in a wide spectral range, as well as a quasi-monochromatic radiation can be produced. In the paper different kinds of LPP EUV/SXR sources developed in the Institute of Optoelectronics, Military University of Technology are described. Radiation intensities delivered by the sources are sufficient for different kinds of interaction experiments including EUV/SXR induced ablation, surface treatment, EUV fluorescence or photoionized plasma creation. A brief review of the main results concerning this kind of experiments performed by author of the paper are presented. However, since the LPP sources cannot compete with large scale X-ray sources like synchrotrons, free electron lasers or high energy density plasma sources, it was indicated that some investigations not requiring extreme irradiation parameters can be performed using the small scale installations. Some results, especially concerning low temperature photoionized plasmas are very unique and could be hardly obtained using the large facilities.

  10. EUVE observations of the Moon

    NASA Technical Reports Server (NTRS)

    Gladstone, G. R.; Mcdonald, J. S.; Boyd, W. T.

    1993-01-01

    During its all-sky survey, the Extreme Ultraviolet Explorer (EUVE) satellite observed the Moon several times at first and last quarters, and once near the Dec. 10, 1992 lunar eclipse. We present a preliminary reduction and analysis of this data, in the form of EUV images of the Moon and derived albedos.

  11. DUV or EUV: that is the question

    NASA Astrophysics Data System (ADS)

    Williamson, David M.

    2000-11-01

    Lord Rayleigh's well-known equations for resolution and depth of focus indicate that resolution is better improved by reducing the wavelength of light rather than by increasing the numerical aperture (NA) of the projection optics, particularly when NA is approaching its physical limit of 1.0 in air (or vacuum). Vector aerial image simulations of diffraction-limited Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) lithographic systems verify this simple view, even though Rayleigh's constants in Microlithography are not constant because of a variety of image enhancement techniques that attempt to compensate for the shortcomings of the aerial image when it is pushed to the limit. The aerial image is not the whole story, however. The competition between DUV and EUV systems will be decided more by economic and technological factors such as risk, time and cost of development and cost of ownership. These in turn depend on cost, availability and quality of light sources, refracting materials, photoresists and reticles.

  12. Galileo Ultraviolet Spectrometer experiment

    NASA Technical Reports Server (NTRS)

    Hord, C. W.; Mcclintock, W. E.; Stewart, A. I. F.; Barth, C. A.; Esposito, L. W.; Thomas, G. E.; Sandel, B. R.; Hunten, D. M.; Broadfoot, A. L.; Shemansky, D. E.

    1992-01-01

    The Galileo ultraviolet spectrometer experiment uses data obtained by the Ultraviolet Spectrometer (UVS) mounted on the pointed orbiter scan platform and from the Extreme Ultraviolet Spectrometer (EUVS) mounted on the spinning part of the orbiter with the field of view perpendicular to the spin axis. The UVS is a Ebert-Fastie design that covers the range 113-432 nm with a wavelength resolution of 0.7 nm below 190 and 1.3 nm at longer wavelengths. The UVS spatial resolution is 0.4 deg x 0.1 deg for illuminated disk observations and 1 deg x 0.1 deg for limb geometries. The EUVS is a Voyager design objective grating spectrometer, modified to cover the wavelength range from 54 to 128 nm with wavelength resolution 3.5 nm for extended sources and 1.5 nm for point sources and spatial resolution of 0.87 deg x 0.17 deg. The EUVS instrument will follow up on the many Voyager UVS discoveries, particularly the sulfur and oxygen ion emissions in the Io torus and molecular and atomic hydrogen auroral and airglow emissions from Jupiter. The UVS will obtain spectra of emission, absorption, and scattering features in the unexplored, by spacecraft, 170-432 nm wavelength region. The UVS and EUVS instruments will provide a powerful instrument complement to investigate volatile escape and surface composition of the Galilean satellites, the Io plasma torus, micro- and macro-properties of the Jupiter clouds, and the composition structure and evolution of the Jupiter upper atmosphere.

  13. Optical element for full spectral purity from IR-generated EUV light sources

    NASA Astrophysics Data System (ADS)

    van den Boogaard, A. J. R.; Louis, E.; van Goor, F. A.; Bijkerk, F.

    2009-03-01

    Laser produced plasma (LLP) sources are generally considered attractive for high power EUV production in next generation lithography equipment. Such plasmas are most efficiently excited by the relatively long, infrared wavelengths of CO2-lasers, but a significant part of the rotational-vibrational excitation lines of the CO2 radiation will be backscattered by the plasma's critical density surface and consequently will be present as parasitic radiation in the spectrum of such sources. Since most optical elements in the EUV collecting and imaging train have a high reflection coefficient for IR radiation, undesirable heating phenomena at the resist level are likely to occur. In this study a completely new principle is employed to obtain full separation of EUV and IR radiation from the source by a single optical component. While the application of a transmission filter would come at the expense of EUV throughput, this technique potentially enables wavelength separation without loosing reflectance compared to a conventional Mo/Si multilayer coated element. As a result this method provides full spectral purity from the source without loss in EUV throughput. Detailed calculations on the principal of functioning are presented.

  14. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  15. Exploring EUV Spicules Using 304 Angstrom He II Data from SDO AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian R.; Sterling, Alphonse C.; Falconer, David A.; Moore, Ron L.

    2014-01-01

    We present results from a statistical study of He II 304 Angstrom Extreme Ultraviolet (EUV) spicules at the limb of the Sun. We also measured properties of one macrospicule; macrospicules are longer than most spicules, and much broader in width than spicules. We use high-cadence (12 second) and high-resolution (0.6 arcseconds pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred near the solar north pole, where quiet Sun or coronal hole environments ensued. We examined the maximum lengths, maximum rise velocities, and lifetimes of 33 Extreme Ultraviolet (EUV) spicules and the macrospicule. For the bulk of the Extreme Ultraviolet (EUV) spicules these quantities are, respectively, approximately 10,000-40,000 kilometers, 20-100 kilometers per second, and approximately 100- approximately 1000 seconds. For the macrospicule the corresponding quantities were respectively approximately 60,000 kilometers, approximately 130 kilometers per second, approximately 1800 seconds, which is typical of macrospicules measured by other workers. Therefore macrospicules are taller, longer-lived, and faster than most Extreme Ultraviolet (EUV) spicules. The rise profiles of both the spicules and the macrospicules match well a second-order ("parabolic" ) trajectory, although the acceleration was often weaker than that of solar gravity in the profiles fitted to the trajectories. Our macrospicule also had an obvious brightening at its base at birth, while such brightening was not apparent for the Extreme Ultraviolet (EUV) spicules. Most of the Extreme Ultraviolet (EUV) spicules remained visible during their descent back to the solar surface, although a small percentage of the spicules and the macrospicule faded out before falling back to the surface. Our sample of macrospicules is not yet large enough to determine whether their initiation mechanism is identical to that of Extreme Ultraviolet (EUV

  16. Discovery of Strong EUV-induced Balmer Emission in the New WD+dM Binary EUVE J2013+40.0 (RE 2013+400)

    NASA Astrophysics Data System (ADS)

    Thorstensen, J. R.; Vennes, S.

    1993-12-01

    The binary system EUVE J2013+40.0 (= RE 2013+400) was discovered in the EUV-selected sample of white dwarfs identified in the course of the ROSAT Wide Field Camera (WFC) all-sky survey (Pounds et al. 1993, MNRAS, 260, 77). The intense extreme ultraviolet (EUV) emission from the hot white dwarf (DAO type) was also detected in the course of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (Bowyer et al. 1993, ApJ, submitted), and the subsequent optical identification campaign suggested the association of EUVE J2013+40.0 with the Feige 24 class of binary systems (see Vennes & Thorstensen, these proceedings). Such systems consist of a hot H-rich white dwarf (DA/DAO) and a red dwarf companion (dM) and are characterized by strong, narrow, variable Balmer emission. We obtained spectroscopy with 4 Angstroms resolution at the Michigan-Dartmouth-MIT Hiltner 2.4 m, covering the Hα and Hβ range. The Hα emission line velocity and equivalent widths varied with a period of 0.708 +/- 0.003 d; the velocity semiamplitude is 89 +/- 3 km s(-1) . The emission equivalent width reaches maximum strength 0.251 +/- 0.007 cycle after maximum emission-line velocity, that is, when the emission source reaches superior conjunction. This is just as expected if the emission arises from reprocessing of the EUV radiation incident upon the face of the dM star facing the white dwarf, as proposed for Feige 24 by Thorstensen et al. (1978, ApJ, 223, 260). EUVE J2013+40.0 is one of a handful of WD+dM binary systems in which the illumination effect is observed with unambiguous clarity. By comparing Feige 24 and EUVE J2013+40.0, and modelling the white dwarf EUV emission and red dwarf Balmer emission, we constrain the orbital inclinations. Additional spectroscopy of EUVE J2013+40.0 is being scheduled to determine the component masses. These are important input data for the study of the close binary systems which arise from common envelope evolution. This work is supported by a forthcoming NASA

  17. Nanoimaging using soft X-ray and EUV laser-plasma sources

    NASA Astrophysics Data System (ADS)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  18. A volume-limited survey of High Galactic latitude planetary nebulae with the Extrme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Fruscione, Antonella; Drake, Jeremy J.; Mcdonald, Kelley; Malina, Roger F.

    1995-01-01

    We present the results of a complete survey, at extreme-ultraviolet (EUV) wavelengths (58-234 A), of the high Galactic latitude (absolute value of b greater than or = to 20 deg) planetary nebulae (PNs) with at least one determination of the distance within 1 kpc of the Sun. The sample comprises 27 objects observed during the Extreme Ultraviolet Explorer (EUVE) all-sky survey and represents the majority of PN likely to be accessible at EUV wavelengths. Six PNs (NGC 246, NGC 1360, K1-16, LoTr 5, NGC 4361, and NGC 3587) were detected in the shortest EUV band (58-174 A). A seventh PN (NGC 6853), not included in the sample, was also detected during the survey. The emission is consistent in all cases with that of a point source and therefore most probably originates from the PN central star. Accurate EUV count rates or upper limits in the two shorter EUVE bands (centered at approximately 100 and 200 A) are given for all the sources in the sample. NGC 4361 and NGC 3587 are reported here for the first time as sources of EUV radiation. As might be expected, attenuation by the interstellar medium dominates the PN distribution in the EUV sky.

  19. Increasing EUV source efficiency via recycling of radiation power

    NASA Astrophysics Data System (ADS)

    Hassanein, Ahmed; Sizyuk, Valeryi; Sizyuk, Tatyana; Johnson, Kenneth C.

    2018-03-01

    EUV source power is critical for advanced lithography, for achieving economical throughput performance and also for minimizing stochastic patterning effects. Power conversion efficiency can be increased by recycling plasma-scattered laser radiation and other out-of-band radiation back to the plasma via retroreflective optics. Radiation both within and outside of the collector light path can potentially be recycled. For recycling within the collector path, the system uses a diffractive collection mirror that concomitantly filters all laser and out-of-band radiation out of the EUV output. In this paper we review the optical design concept for power recycling and present preliminary plasma-physics simulation results showing a potential gain of 60% in EUV conversion efficiency.

  20. The EUVE Proposal Database

    NASA Astrophysics Data System (ADS)

    Christian, C. A.; Olson, E. C.

    1993-01-01

    The proposal database and scheduling system for the Extreme Ultraviolet Explorer is described. The proposal database has been implemented to take input for approved observations selected by the EUVE Peer Review Panel and output target information suitable for the scheduling system to digest. The scheduling system is a hybrid of the SPIKE program and EUVE software which checks spacecraft constraints, produces a proposed schedule and selects spacecraft orientations with optimal configurations for acquiring star trackers, etc. This system is used to schedule the In Orbit Calibration activities that took place this summer, following the EUVE launch in early June 1992. The strategy we have implemented has implications for the selection of approved targets, which have impacted the Peer Review process. In addition, we will discuss how the proposal database, founded on Sybase, controls the processing of EUVE Guest Observer data.

  1. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  2. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  3. Spectral lines and characteristic of temporal variations in photoionized plasmas induced with laser-produced plasma extreme ultraviolet source

    NASA Astrophysics Data System (ADS)

    Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.

    2017-11-01

    Spectral lines for Kr/Ne/H2 photoionized plasma in the ultraviolet and visible (UV/Vis) wavelength ranges have been created using a laser-produced plasma (LPP) EUV source. The source is based on a double-stream gas puff target irradiated with a commercial Nd:YAG laser. The laser pulses were focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Spectral lines from photoionization in neutral Kr/Ne/H2 and up to few charged states were observed. The intense emission lines were associated with the Kr transition lines. Experimental and theoretical investigations on intensity variations for some ionic lines are presented. A decrease in the intensity with the delay time between the laser pulse and the spectrum acquisition was revealed. Electron temperature and electron density in the photoionized plasma have been estimated from the characteristic emission lines. Temperature was obtained using Boltzmann plot method, assuming that the population density of atoms and ions are considered in a local thermodynamic equilibrium (LTE). Electron density was calculated from the Stark broadening profile. The temporal evaluation of the plasma and the way of optimizing the radiation intensity of LPP EUV sources is discussed.

  4. Characteristics of extreme ultraviolet emission from high-Z plasmas

    NASA Astrophysics Data System (ADS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  5. Recycling of laser and plasma radiation energy for enhancement of extreme ultraviolet sources for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, V.; Sizyuk, T.; Hassanein, A.; Johnson, K.

    2018-01-01

    We have developed comprehensive integrated models for detailed simulation of laser-produced plasma (LPP) and laser/target interaction, with potential recycling of the escaping laser and out-of-band plasma radiation. Recycling, i.e., returning the escaping laser and plasma radiation to the extreme ultraviolet (EUV) generation region using retroreflective mirrors, has the potential of increasing the EUV conversion efficiency (CE) by up to 60% according to our simulations. This would result in significantly reduced power consumption and/or increased EUV output. Based on our recently developed models, our High Energy Interaction with General Heterogeneous Target Systems (HEIGHTS) computer simulation package was upgraded for LPP devices to include various radiation recycling regimes and to estimate the potential CE enhancement. The upgraded HEIGHTS was used to study recycling of both laser and plasma-generated radiation and to predict possible gains in conversion efficiency compared to no-recycling LPP devices when using droplets of tin target. We considered three versions of the LPP system including a single CO2 laser, a single Nd:YAG laser, and a dual-pulse device combining both laser systems. The gains in generating EUV energy were predicted and compared for these systems. Overall, laser and radiation energy recycling showed the potential for significant enhancement in source efficiency of up to 60% for the dual-pulse system. Significantly higher CE gains might be possible with optimization of the pre-pulse and main pulse parameters and source size.

  6. Performance of one hundred watt HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  7. Quantitative Evaluation of Hard X-ray Damage to Biological Samples using EUV Ptychography

    NASA Astrophysics Data System (ADS)

    Baksh, Peter; Odstrcil, Michal; Parsons, Aaron; Bailey, Jo; Deinhardt, Katrin; Chad, John E.; Brocklesby, William S.; Frey, Jeremy G.

    2017-06-01

    Coherent diffractive imaging (CDI) has become a standard method on a variety of synchrotron beam lines. The high brilliance short wavelength radiation from these sources can be used to reconstruct attenuation and relative phase of a sample with nanometre resolution via CDI methods. However, the interaction between the sample and high energy ionising radiation can cause degradation to sample structure. We demonstrate, using a laboratory based high harmonic generation (HHG) based extreme ultraviolet (EUV) source, imaging a sample of hippocampal neurons using the ptychography method. The significant increase in contrast of the sample in the EUV light allows identification of damage induced from exposure to 7.3 keV photons, without causing any damage to the sample itself.

  8. Spectroscopy and Photometry of EUVE J1429-38.0:An Eclipsing Magnetic Cataclysmic Variable

    NASA Astrophysics Data System (ADS)

    Howell, Steve B.; Craig, Nahide; Roberts, Bryce; McGee, Paddy; Sirk, Martin

    1997-06-01

    EUVE J1429-38.0 was originally discovered as a variable source by the Extreme Ultraviolet Explorer (EUVE) satellite. We present new optical observations which unambiguously confirm this star to be an eclipsing magnetic system with an orbital period of 4() h 46() m. The photometric data are strongly modulated by ellipsoidal variations during low states which allow a system inclination of near 80 degrees to be determined. Our time-resolved optical spectra, which cover only about one-third of the orbital cycle, indicate the clear presence of a gas stream. During high states, EUVE J1429-38.0 shows ~ 1 mag deep eclipses and the apparent formation of a partial accretion disk. EUVE J1429-38.0 presents the observer with properties of both the AM Herculis and the DQ Herculis types of magnetic cataclysmic variable.

  9. Production of EUV mask blanks with low killer defects

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Kearney, Patrick; Godwin, Milton; He, Long; John Kadaksham, Arun; Goodwin, Frank; Weaver, Al; Hayes, Alan; Trigg, Steve

    2014-04-01

    For full commercialization, extreme ultraviolet lithography (EUVL) technology requires the availability of EUV mask blanks that are free of defects. This remains one of the main impediments to the implementation of EUV at the 22 nm node and beyond. Consensus is building that a few small defects can be mitigated during mask patterning, but defects over 100 nm (SiO2 equivalent) in size are considered potential "killer" defects or defects large enough that the mask blank would not be usable. The current defect performance of the ion beam sputter deposition (IBD) tool will be discussed and the progress achieved to date in the reduction of large size defects will be summarized, including a description of the main sources of defects and their composition.

  10. Mechanisms of EUV exposure: electrons and holes

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ackerman, Christian; Flynn, Tracy; Denbeaux, Greg; Brainard, Robert L.

    2017-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry. Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.

  11. Simultaneous ASCA and EUVE Observations of Capella

    NASA Astrophysics Data System (ADS)

    Brickhouse, N. S.; Dupree, A. K.; Edgar, R. J.; Drake, S. A.; White, N. E.; Liedahl, D. A.; Singh, K. P.

    1997-05-01

    We present simultaneous observations taken in Mar 1996 of the bright stellar coronal source Capella (HD 34029) with the ASCA and EUVE satellites. Previous EUVE observations of Fe emission lines (Fe VIII --- XXIV, excluding XVII) revealed a narrow emission measure feature at 6 x 10(6) K, which has proven to be remarkably stable over several years (flux from Fe XVIII and XIX has not varied by more than 30%), while lines formed at higher temperatures have shown intensity variations up to factors of 4. Furthermore, extremely high signal-to-noise spectra obtained by summing all EUVE measurements show that the Fe/H abundance ratio is consistent with solar photospheric. (See Dupree et al. 1993, ApJ, 418, L41; Brickhouse, Raymond, & Smith 1995, ApJSupp, 97, 551; Brickhouse 1996, IAU Coll. 152, Astrophysics in the Extreme Ultraviolet, Bowyer & Malina, eds (Kluwer), 141.) Meanwhile, the ASCA data of Capella have proven notoriously difficult to analyze. The performance verification (PV) phase data suggested a somewhat subsolar Fe abundance, but models were in poor agreement with the data (chi (2red) ~ 6). (See Drake 1996, Conf. on Cosmic Abundances, U. Maryland). Since the emission lines observed by EUVE are formed at the same emitting temperatures as the X-ray spectrum (Capella is ``soft'' such that very little flux is observed above 2 keV), the emission measure distribution derived from EUVE lines should provide a direct prediction of the X-ray spectrum, with only the relative abundances of species other than Fe as free parameters. Like the PV data, the new ASCA spectrum is not well fit by any of the standard models. Applying the constraints imposed by EUVE does not make a major improvement in the fit --- multi-thermal, variable abundance models such as Raymond-Smith and MEKAL do not provide any acceptable fit (chi (2red) > 5). We discuss our efforts to understand the X-ray spectrum, including studies of the uncertainties in the atomic data and of the underlying assumptions

  12. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  13. Carbon contamination topography analysis of EUV masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Y.-J.; Yankulin, L.; Thomas, P.

    2010-03-12

    The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine possible contamination topography. Lithographic simulations were also performed and the results compared with the experimental data.

  14. EUV spectroscopy of highly charged high Z ions in the Large Helical Device plasmas

    NASA Astrophysics Data System (ADS)

    Suzuki, C.; Koike, F.; Murakami, I.; Tamura, N.; Sudo, S.; Sakaue, H. A.; Nakamura, N.; Morita, S.; Goto, M.; Kato, D.; Nakano, T.; Higashiguchi, T.; Harte, C. S.; OʼSullivan, G.

    2014-11-01

    We present recent results on the extreme ultraviolet (EUV) spectroscopy of highly charged high Z ions in plasmas produced in the Large Helical Device (LHD) at the National Institute for Fusion Science. Tungsten, bismuth and lanthanide elements have recently been studied in the LHD in terms of their importance in fusion research and EUV light source development. In relatively low temperature plasmas, quasicontinuum emissions from open 4d or 4f subshell ions are predominant in the EUV region, while the spectra tend to be dominated by discrete lines from open 4s or 4p subshell ions in higher temperature plasmas. Comparative analyses using theoretical calculations and charge-separated spectra observed in an electron beam ion trap have been performed to achieve better agreement with the spectra measured in the LHD. As a result, databases on Z dependence of EUV spectra in plasmas have been widely extended.

  15. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  16. Debris- and radiation-induced damage effects on EUV nanolithography source collector mirror optics performance

    NASA Astrophysics Data System (ADS)

    Allain, J. P.; Nieto, M.; Hendricks, M.; Harilal, S. S.; Hassanein, A.

    2007-05-01

    Exposure of collector mirrors facing the hot, dense pinch plasma in plasma-based EUV light sources to debris (fast ions, neutrals, off-band radiation, droplets) remains one of the highest critical issues of source component lifetime and commercial feasibility of nanolithography at 13.5-nm. Typical radiators used at 13.5-nm include Xe and Sn. Fast particles emerging from the pinch region of the lamp are known to induce serious damage to nearby collector mirrors. Candidate collector configurations include either multi-layer mirrors (MLM) or single-layer mirrors (SLM) used at grazing incidence. Studies at Argonne have focused on understanding the underlying mechanisms that hinder collector mirror performance at 13.5-nm under fast Sn or Xe exposure. This is possible by a new state-of-the-art in-situ EUV reflectometry system that measures real time relative EUV reflectivity (15-degree incidence and 13.5-nm) variation during fast particle exposure. Intense EUV light and off-band radiation is also known to contribute to mirror damage. For example offband radiation can couple to the mirror and induce heating affecting the mirror's surface properties. In addition, intense EUV light can partially photo-ionize background gas (e.g., Ar or He) used for mitigation in the source device. This can lead to local weakly ionized plasma creating a sheath and accelerating charged gas particles to the mirror surface and inducing sputtering. In this paper we study several aspects of debris and radiation-induced damage to candidate EUVL source collector optics materials. The first study concerns the use of IMD simulations to study the effect of surface roughness on EUV reflectivity. The second studies the effect of fast particles on MLM reflectivity at 13.5-nm. And lastly the third studies the effect of multiple energetic sources with thermal Sn on 13.5-nm reflectivity. These studies focus on conditions that simulate the EUVL source environment in a controlled way.

  17. Cleaning process for EUV optical substrates

    DOEpatents

    Weber, Frank J.; Spiller, Eberhard A.

    1999-01-01

    A cleaning process for surfaces with very demanding cleanliness requirements, such as extreme-ultraviolet (EUV) optical substrates. Proper cleaning of optical substrates prior to applying reflective coatings thereon is very critical in the fabrication of the reflective optics used in EUV lithographic systems, for example. The cleaning process involves ultrasonic cleaning in acetone, methanol, and a pH neutral soap, such as FL-70, followed by rinsing in de-ionized water and drying with dry filtered nitrogen in conjunction with a spin-rinse.

  18. Initial results from the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1993-01-01

    Data obtained during the first five months of calibration and science operation of the Extreme Ultraviolet Explorer (EUVE) are presented. Spectra of an extragalactic object were obtained; the object is detectable to wavelenghts longer than 100 A, demonstrating that extragalactic EUV astronomy is possible. Spectra of a hot white dwarf, and a late-type star in quiescence and flaring are shown as examples of the type of spectrographic data obtainable with EUVE. Other objects for which broad band photometric mode data have been obtained and analyzed include an RS CVn star and several late-type stars. The backgrounds in the EUVE detectors are quite low and the character of the diffuse astronomical EUV background has been investigated using these very low rates. Evidence is presented showing that, contrary to previously published reports, EUVE is about three times more sensitive than the English Wide Field Camera in the short wavelength bandpass covered by both instruments. Only limited information has been extracted from the longer bandpasses coered only by EUVE. Nonetheless, the brightest EUV source in the sky, a B star, has been discovered and is detected only in these longer bandpasses.

  19. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  20. Well-defined EUV wave associated with a CME-driven shock

    NASA Astrophysics Data System (ADS)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  1. Four-mirror extreme ultraviolet (EUV) lithography projection system

    DOEpatents

    Cohen, Simon J; Jeong, Hwan J; Shafer, David R

    2000-01-01

    The invention is directed to a four-mirror catoptric projection system for extreme ultraviolet (EUV) lithography to transfer a pattern from a reflective reticle to a wafer substrate. In order along the light path followed by light from the reticle to the wafer substrate, the system includes a dominantly hyperbolic convex mirror, a dominantly elliptical concave mirror, spherical convex mirror, and spherical concave mirror. The reticle and wafer substrate are positioned along the system's optical axis on opposite sides of the mirrors. The hyperbolic and elliptical mirrors are positioned on the same side of the system's optical axis as the reticle, and are relatively large in diameter as they are positioned on the high magnification side of the system. The hyperbolic and elliptical mirrors are relatively far off the optical axis and hence they have significant aspherical components in their curvatures. The convex spherical mirror is positioned on the optical axis, and has a substantially or perfectly spherical shape. The spherical concave mirror is positioned substantially on the opposite side of the optical axis from the hyperbolic and elliptical mirrors. Because it is positioned off-axis to a degree, the spherical concave mirror has some asphericity to counter aberrations. The spherical concave mirror forms a relatively large, uniform field on the wafer substrate. The mirrors can be tilted or decentered slightly to achieve further increase in the field size.

  2. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    NASA Astrophysics Data System (ADS)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  3. Development of extreme ultraviolet and soft x-ray multilayer optics for scientific studies with femtosecond/attosecond sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aquila, Andrew Lee

    The development of multilayer optics for extreme ultraviolet (EUV) radiation has led to advancements in many areas of science and technology, including materials studies, EUV lithography, water window microscopy, plasma imaging, and orbiting solar physics imaging. Recent developments in femtosecond and attosecond EUV pulse generation from sources such as high harmonic generation lasers, combined with the elemental and chemical specificity provided by EUV radiation, are opening new opportunities to study fundamental dynamic processes in materials. Critical to these efforts is the design and fabrication of multilayer optics to transport, focus, shape and image these ultra-fast pulses This thesis describes themore » design, fabrication, characterization, and application of multilayer optics for EUV femtosecond and attosecond scientific studies. Multilayer mirrors for bandwidth control, pulse shaping and compression, tri-material multilayers, and multilayers for polarization control are described. Characterization of multilayer optics, including measurement of material optical constants, reflectivity of multilayer mirrors, and metrology of reflected phases of the multilayer, which is critical to maintaining pulse size and shape, were performed. Two applications of these multilayer mirrors are detailed in the thesis. In the first application, broad bandwidth multilayers were used to characterize and measure sub-100 attosecond pulses from a high harmonic generation source and was performed in collaboration with the Max-Planck institute for Quantum Optics and Ludwig- Maximilians University in Garching, Germany, with Professors Krausz and Kleineberg. In the second application, multilayer mirrors with polarization control are useful to study femtosecond spin dynamics in an ongoing collaboration with the T-REX group of Professor Parmigiani at Elettra in Trieste, Italy. As new ultrafast x-ray sources become available, for example free electron lasers, the multilayer designs

  4. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  5. Feasibility of using Extreme Ultraviolet Explorer (EUVE) reaction wheels to satisfy Space Infrared Telescope Facility (SIRTF) maneuver requirements

    NASA Technical Reports Server (NTRS)

    Lightsey, W. D.

    1990-01-01

    A digital computer simulation is used to determine if the extreme ultraviolet explorer (EUVE) reaction wheels can provide sufficient torque and momentum storage capability to meet the space infrared telescope facility (SIRTF) maneuver requirements. A brief description of the pointing control system (PCS) and the sensor and actuator dynamic models used in the simulation is presented. A model to represent a disturbance such as fluid sloshing is developed. Results developed with the simulation, and a discussion of these results are presented.

  6. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  7. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  8. Cluster beam targets for laser plasma extreme ultraviolet and soft x-ray sources

    DOEpatents

    Kublak, G.D.; Richardson, M.C.

    1996-11-19

    Method and apparatus for producing extreme ultraviolet (EUV) and soft x-ray radiation from an ultra-low debris plasma source are disclosed. Targets are produced by the free jet expansion of various gases through a temperature controlled nozzle to form molecular clusters. These target clusters are subsequently irradiated with commercially available lasers of moderate intensity (10{sup 11}--10{sup 12} watts/cm{sup 2}) to produce a plasma radiating in the region of 0.5 to 100 nanometers. By appropriate adjustment of the experimental conditions the laser focus can be moved 10--30 mm from the nozzle thereby eliminating debris produced by plasma erosion of the nozzle. 5 figs.

  9. The extreme ultraviolet explorer mission

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Bowyer, S.

    1988-01-01

    The science design goals and engineering implementation for the Extreme Ultraviolet Explorer (EUVE) science payload are discussed. The primary scientific goal of the EUVE payload is to carry out an all-sky survey in the 100- to 900-A band of the spectrum. Another goal of the mission is to demonstrate the use of a scientific platform in near-earth orbit. EUVE data will be used to study the distribution of EUV stars in the neighborhood of the sun and the emission physics responsible for the EUV mission.

  10. Relationship between hard X-ray and EUV sources in solar flares

    NASA Technical Reports Server (NTRS)

    Kane, S. R.; Frost, K. J.; Donnelly, R. F.

    1979-01-01

    The high time resolution hard X-ray (not less than 15 keV) observations of medium and large impulsive solar flares made with the OSO 5 satellite are compared with the simultaneous ground-based observations of 10-1030 A EUV flux made via sudden frequency deviations (SFD) at Boulder. For most flares the agreement between the times of maxima of the impulsive hard X-ray and EUV emissions is found to be consistent with earlier studies (not less than 1 s). The rise and decay times of the EUV emission are larger than the corresponding times for X-rays not less than 30 keV. When OSO 5 hard X-ray measurements are combined with those made by OGO1, OGO 3, OGO 5, and TD 1A satellites, it is found that there is a nearly linear relationship between the energy fluxes of impulsive EUV emission and X-rays not less than 10 keV over a wide range of flare magnitudes. A model involving only a 'partial precipitation' of energetic electrons and consisting of both thick and thin target hard X-ray sources is examined.

  11. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  12. Particle protection capability of SEMI-compliant EUV-pod carriers

    NASA Astrophysics Data System (ADS)

    Huang, George; He, Long; Lystad, John; Kielbaso, Tom; Montgomery, Cecilia; Goodwin, Frank

    2010-04-01

    With the projected rollout of pre-production extreme ultraviolet lithography (EUVL) scanners in 2010, EUVL pilot line production will become a reality in wafer fabrication companies. Among EUVL infrastructure items that must be ready, EUV mask carriers remain critical. To keep non-pellicle EUV masks free from particle contamination, an EUV pod concept has been extensively studied. Early prototypes demonstrated nearly particle-free results at a 53 nm PSL equivalent inspection sensitivity during EUVL mask robotic handling, shipment, vacuum pump-purge, and storage. After the passage of SEMI E152, which specifies the EUV pod mechanical interfaces, standards-compliant EUV pod prototypes, including a production version inner pod and prototype outer pod, were built and tested. Their particle protection capability results are reported in this paper. A state-of-the-art blank defect inspection tool was used to quantify their defect protection capability during mask robotic handling, shipment, and storage tests. To ensure the availability of an EUV pod for 2010 pilot production, the progress and preliminary test results of pre-production EUV outer pods are reported as well.

  13. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    NASA Technical Reports Server (NTRS)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  14. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  15. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  16. Next generation of Z* modelling tool for high intensity EUV and soft x-ray plasma sources simulations

    NASA Astrophysics Data System (ADS)

    Zakharov, S. V.; Zakharov, V. S.; Choi, P.; Krukovskiy, A. Y.; Novikov, V. G.; Solomyannaya, A. D.; Berezin, A. V.; Vorontsov, A. S.; Markov, M. B.; Parot'kin, S. V.

    2011-04-01

    In the specifications for EUV sources, high EUV power at IF for lithography HVM and very high brightness for actinic mask and in-situ inspections are required. In practice, the non-equilibrium plasma dynamics and self-absorption of radiation limit the in-band radiance of the plasma and the usable radiation power of a conventional single unit EUV source. A new generation of the computational code Z* is currently developed under international collaboration in the frames of FP7 IAPP project FIRE for modelling of multi-physics phenomena in radiation plasma sources, particularly for EUVL. The radiation plasma dynamics, the spectral effects of self-absorption in LPP and DPP and resulting Conversion Efficiencies are considered. The generation of fast electrons, ions and neutrals is discussed. Conditions for the enhanced radiance of highly ionized plasma in the presence of fast electrons are evaluated. The modelling results are guiding a new generation of EUV sources being developed at Nano-UV, based on spatial/temporal multiplexing of individual high brightness units, to deliver the requisite brightness and power for both lithography HVM and actinic metrology applications.

  17. Data indexing techniques for the EUVE all-sky survey

    NASA Technical Reports Server (NTRS)

    Lewis, J.; Saba, V.; Dobson, C.

    1992-01-01

    This poster describes techniques developed for manipulating large full-sky data sets for the Extreme Ultraviolet Explorer project. The authors have adapted the quatrilateralized cubic sphere indexing algorithm to allow us to efficiently store and process several types of large data sets, such as full-sky maps of photon counts, exposure time, and count rates. A variation of this scheme is used to index sparser data such as individual photon events and viewing times for selected areas of the sky, which are eventually used to create EUVE source catalogs.

  18. Nanoplasmonic generation of ultrashort EUV pulses

    NASA Astrophysics Data System (ADS)

    Choi, Joonhee; Lee, Dong-Hyub; Han, Seunghwoi; Park, In-Yong; Kim, Seungchul; Kim, Seung-Woo

    2012-10-01

    Ultrashort extreme-ultraviolet (EUV) light pulses are an important tool for time-resolved pump-probe spectroscopy to investigate the ultrafast dynamics of electrons in atoms and molecules. Among several methods available to generate ultrashort EUV light pulses, the nonlinear frequency upconversion process of high-harmonic generation (HHG) draws attention as it is capable of producing coherent EUV pulses with precise control of burst timing with respect to the driving near-infrared (NIR) femtosecond laser. In this report, we present and discuss our recent experimental data obtained by the plasmon-driven HHG method that generate EUV radiation by means of plasmonic nano-focusing of NIR femtosecond pulses. For experiment, metallic waveguides having a tapered hole of funnel shape inside were fabricated by adopting the focused-ion-beam process on a micro-cantilever substrate. The plasmonic field formed within the funnelwaveguides being coupled with the incident femtosecond pulse permitted intensity enhancement by a factor of ~350, which creates a hot spot of sub-wavelength size with intensities strong enough for HHG. Experimental results showed that with injection of noble gases into the funnel-waveguides, EUV radiation is generated up to wavelengths of 32 nm and 29.6 nm from Ar and Ne gas atoms, respectively. Further, it was observed that lower-order EUV harmonics are cut off in the HHG spectra by the tiny exit aperture of the funnel-waveguide.

  19. Extreme ultraviolet spectroscopy diagnostics of low-temperature plasmas based on a sliced multilayer grating and glass capillary optics.

    PubMed

    Kantsyrev, V L; Safronova, A S; Williamson, K M; Wilcox, P; Ouart, N D; Yilmaz, M F; Struve, K W; Voronov, D L; Feshchenko, R M; Artyukov, I A; Vinogradov, A V

    2008-10-01

    New extreme ultraviolet (EUV) spectroscopic diagnostics of relatively low-temperature plasmas based on the application of an EUV spectrometer and fast EUV diodes combined with glass capillary optics is described. An advanced high resolution dispersive element sliced multilayer grating was used in the compact EUV spectrometer. For monitoring of the time history of radiation, filtered fast EUV diodes were used in the same spectral region (>13 nm) as the EUV spectrometer. The radiation from the plasma was captured by using a single inexpensive glass capillary that was transported onto the spectrometer entrance slit and EUV diode. The use of glass capillary optics allowed placement of the spectrometer and diodes behind the thick radiation shield outside the direction of a possible hard x-ray radiation beam and debris from the plasma source. The results of the testing and application of this diagnostic for a compact laser plasma source are presented. Examples of modeling with parameters of plasmas are discussed.

  20. EUVE/XTE orbit decay study

    NASA Technical Reports Server (NTRS)

    Richon, K.; Hashmall, J.; Lambertson, M.; Phillips, T.

    1988-01-01

    The Explorer Platform (EP) program currently comprises two missions, the Extreme Ultraviolet Explorer (EUVE) and the X-ray Timing Explorer (XTE), each of which consists of a scientific payload mounted to the EP. The EP has no orbit maintenance capability. The EP with the EUVE payload will be launched first. At the end of the EUVE mission, the spacecraft will be serviced by the Space Transportation System (STS), and the EUVE instrument will be exchanged for the XTE. The XTE mission will continue until reentry or reservicing by the STS. Because the missions will be using the EP sequentially, the orbit requirements are unusually constrained by orbit decay rates. The initial altitude must be selected so that, by the end of the EUVE mission (2.5 years), the spacecraft will have decayed to an altitude within the STS capabilities. In addition, the payload exchange must occur at an altitude that ensures meeting the minimum XTE mission lifetime (3 years) because no STS reboost will be available. Studies were performed using the Goddard Mission Analysis System to estimate the effects of mass, cross-sectional area, and solar flux on the fulfillment of mission requirements. In addition to results from these studies, conclusions are presented as to the accuracy of the Marshall Space Flight Center solar flux predictions.

  1. A 1kW EUV source for lithography based on FEL emission in a compact storage ring

    NASA Astrophysics Data System (ADS)

    Feser, Michael; Ruth, Ron; Loewen, Rod

    2017-10-01

    EUV has long been hailed as the next generation lithography technology. Its adoption into high volume manufacturing (HVM), however, has been delayed several technology nodes due to technical issues, many of which can be attributed to the EUV source performance. Today's EUV lithography scanners are powered by laser produce plasma (LPP) sources. They have issues with power scaling beyond 300 W, reliability and contamination. Free Electron Lasers (FELs) have been considered as an alternative EUV source. Advantages of accelerator based sources are the maturity of the accelerator technology, lack of debris/contamination, and ability to provide high power. Industry turned away from this technology because of the requirement to feed up to 10 scanners from one linear FEL to make it economically feasible, the large footprint, and generation of radioactive byproducts. All of these issues are overcome in the presented concept using a compact storage ring with steady-state FEL lasing action. At 1 kW output power, comparable cost and footprint to an LPP source, this source is ideally suited for use on a single scanner and promises reliable, contamination free operation. FEL action in the storage ring is sustained by operating the FEL well below the saturation regime and preserving the equilibrium low emittance and energy distribution of the ring.

  2. AWARE - The Automated EUV Wave Analysis and REduction algorithm

    NASA Astrophysics Data System (ADS)

    Ireland, J.; Inglis; A. R.; Shih, A. Y.; Christe, S.; Mumford, S.; Hayes, L. A.; Thompson, B. J.

    2016-10-01

    Extreme ultraviolet (EUV) waves are large-scale propagating disturbances observed in the solar corona, frequently associated with coronal mass ejections and flares. Since their discovery over two hundred papers discussing their properties, causes and physics have been published. However, their fundamental nature and the physics of their interactions with other solar phenomena are still not understood. To further the understanding of EUV waves, and their relation to other solar phenomena, we have constructed the Automated Wave Analysis and REduction (AWARE) algorithm for the detection of EUV waves over the full Sun. The AWARE algorithm is based on a novel image processing approach to isolating the bright wavefront of the EUV as it propagates across the corona. AWARE detects the presence of a wavefront, and measures the distance, velocity and acceleration of that wavefront across the Sun. Results from AWARE are compared to results from other algorithms for some well known EUV wave events. Suggestions are also give for further refinements to the basic algorithm presented here.

  3. The Extreme Ultraviolet Explorer mission

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Battel, S. J.

    1989-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission will be the first user of NASA's new Explorer platform. The instrumentation included on this mission consists of three grazing incidence scanning telescopes, a deep survey instrument and an EUV spectrometer. The bandpass covered is 80 to 900 A. During the first six months of the mission, the scanning telescopes will be used to make all-sky maps in four bandpasses; astronomical sources wil be detected and their positions determined to an accuracy of 0.1 deg. The deep survey instrument will survey the sky with higher sensitivity along the ecliptic in two bandpasses between 80 and 500 A. Engineering and design aspects of the science payload and features of the instrument design are described.

  4. Evolution analysis of EUV radiation from laser-produced tin plasmas based on a radiation hydrodynamics model

    PubMed Central

    Su, M. G.; Min, Q.; Cao, S. Q.; Sun, D. X.; Hayden, P.; O’Sullivan, G.; Dong, C. Z.

    2017-01-01

    One of fundamental aims of extreme ultraviolet (EUV) lithography is to maximize brightness or conversion efficiency of laser energy to radiation at specific wavelengths from laser produced plasmas (LPPs) of specific elements for matching to available multilayer optical systems. Tin LPPs have been chosen for operation at a wavelength of 13.5 nm. For an investigation of EUV radiation of laser-produced tin plasmas, it is crucial to study the related atomic processes and their evolution so as to reliably predict the optimum plasma and experimental conditions. Here, we present a simplified radiation hydrodynamic model based on the fluid dynamic equations and the radiative transfer equation to rapidly investigate the evolution of radiation properties and dynamics in laser-produced tin plasmas. The self-absorption features of EUV spectra measured at an angle of 45° to the direction of plasma expansion have been successfully simulated and explained, and the evolution of some parameters, such as the plasma temperature, ion distribution and density, expansion size and velocity, have also been evaluated. Our results should be useful for further understanding of current research on extreme ultraviolet and soft X-ray source development for applications such as lithography, metrology and biological imaging. PMID:28332621

  5. The Extreme Ultraviolet Spectrograph Sounding Rocket Payload: Recent Modifications for Planetary Observations in the EUV/FUV

    NASA Technical Reports Server (NTRS)

    Slater, David C.; Stern, S. Alan; Scherrer, John; Cash, Webster; Green, James C.; Wilkinson, Erik

    1995-01-01

    We report on the status of modifications to an existing extreme ultraviolet (EUV) telescope/spectrograph sounding rocket payload for planetary observations in the 800 - 1200 A wavelength band. The instrument is composed of an existing Wolter Type 2 grazing incidence telescope, a newly built 0.4-m normal incidence Rowland Circle spectrograph, and an open-structure resistive-anode microchannel plate detector. The modified payload has successfully completed three NASA sounding rocket flights within 1994-1995. Future flights are anticipated for additional studies of planetary and cometary atmospheres and interstellar absorption. A detailed description of the payload, along with the performance characteristics of the integrated instrument are presented. In addition, some preliminary flight results from the above three missions are also presented.

  6. Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi

    2006-03-01

    We demonstrated a debris-free, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO II) nano-particles. By using a low SnO II concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  7. Continued Analysis of EUVE Solar System Observations

    NASA Technical Reports Server (NTRS)

    Gladstone, G. Randall

    2001-01-01

    This is the final report for this project. We proposed to continue our work on extracting important results from the EUVE (Extreme UltraViolet Explorer) archive of lunar and jovian system observations. In particular, we planned to: (1) produce several monochromatic images of the Moon at the wavelengths of the brightest solar EUV emission lines; (2) search for evidence of soft X-ray emissions from the Moon and/or X-ray fluorescence at specific EUV wavelengths; (3) search for localized EUV and soft X-ray emissions associated with each of the Galilean satellites; (4) search for correlations between localized Io Plasma Torus (IPT) brightness and volcanic activity on Io; (5) search for soft X-ray emissions from Jupiter; and (6) determine the long term variability of He 58.4 nm emissions from Jupiter, and relate these to solar variability. However, the ADP review panel suggested that the work concentrate on the Jupiter/IPT observations, and provided half the requested funding. Thus we have performed no work on the first two tasks, and instead concentrated on the last three. In addition we used funds from this project to support reduction and analysis of EUVE observations of Venus. While this was not part of the original statement of work, it is entirely in keeping with extracting important results from EUVE solar system observations.

  8. Mask fabrication and its applications to extreme ultra-violet diffractive optics

    NASA Astrophysics Data System (ADS)

    Cheng, Yang-Chun

    Short-wavelength radiation around 13nm of wavelength (Extreme Ultra-Violet, EUV) is being considered for patterning microcircuits, and other electronic chips with dimensions in the nanometer range. Interferometric Lithography (IL) uses two beams of radiation to form high-resolution interference fringes, as small as half the wavelength of the radiation used. As a preliminary step toward manufacturing technology, IL can be used to study the imaging properties of materials in a wide spectral range and at nanoscale dimensions. A simple implementation of IL uses two transmission diffraction gratings to form the interference pattern. More complex interference patterns can be created by using different types of transmission gratings. In this thesis, I describe the development of a EUV lithography system that uses diffractive optical elements (DOEs), from simple gratings to holographic structures. The exposure system is setup on a EUV undulator beamline at the Synchrotron Radiation Center, in the Center for NanoTechnology clean room. The setup of the EUV exposure system is relatively simple, while the design and fabrication of the DOE "mask" is complex, and relies on advanced nanofabrication techniques. The EUV interferometric lithography provides reliable EUV exposures of line/space patterns and is ideal for the development of EUV resist technology. In this thesis I explore the fabrication of these DOE for the EUV range, and discuss the processes I have developed for the fabrication of ultra-thin membranes. In addition, I discuss EUV holographic lithography and generalized Talbot imaging techniques to extend the capability of our EUV-IL system to pattern arbitrary shapes, using more coherent sources than the undulator. In a series of experiments, we have demonstrated the use of a soft X-ray (EUV) laser as effective source for EUV lithography. EUV-IL, as implemented at CNTech, is being used by several companies and research organizations to characterize photoresist

  9. EUVE GO Survey: High Levels of User Satisfaction

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.

    2000-12-01

    This paper describes the results of a detailed customer survey of Guest Observers (GOs) for NASA's Extreme Ultraviolet Explorer (EUVE) astronomy satellite observatory. The purpose of the research survey was to (1) measure the levels of GO customer satisfaction with respect to EUVE observing services, and (2) compare the observing experiences of EUVE GOs with their experiences using other satellite observatories. This survey was conducted as a business research project -- part of the author's graduate work as an MBA candidate. A total sample of 38 respondents, from a working population of 101 "active" EUVE GOs, participated in this survey. The results, which provided a profile of the "typical" EUVE GO, showed in a statistically significant fashion that these GOs were more than satisfied with the available EUVE observing services. In fact, the sample GOs generally rated their EUVE observing experiences to be better than average as compared to their experiences as GOs on other missions. These relatively high satisfaction results are particularly pleasing to the EUVE Project which, given its significantly reduced staffing environment at U.C. Berkeley, has continued to do more with less. This paper outlines the overall survey process: the relevant background and previous research, the survey design and methodology, and the final results and their interpretation. The paper also points out some general limitations and weaknesses of the study, along with some recommended actions for the EUVE Project and for NASA in general. This work was funded by NASA/UCB Cooperative Agreement NCC5-138.

  10. PECULIAR STATIONARY EUV WAVE FRONTS IN THE ERUPTION ON 2011 MAY 11

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra, R.; Fulara, A.; Chen, P. F.

    We present and interpret the observations of extreme ultraviolet (EUV) waves associated with a filament eruption on 2011 May 11. The filament eruption also produces a small B-class two ribbon flare and a coronal mass ejection. The event is observed by the Solar Dynamic Observatory with high spatio-temporal resolution data recorded by the Atmospheric Imaging Assembly. As the filament erupts, we observe two types of EUV waves (slow and fast) propagating outwards. The faster EUV wave has a propagation velocity of ∼500 km s{sup −1} and the slower EUV wave has an initial velocity of ∼120 km s{sup −1}. Wemore » report, for the first time, that not only does the slower EUV wave stop at a magnetic separatrix to form bright stationary fronts, but also the faster EUV wave transits a magnetic separatrix, leaving another stationary EUV front behind.« less

  11. High-resolution measurements in the EUV on NSTX

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Bitter, M.; Lepson, J. K.; Gu, M.-F.

    2005-10-01

    The extreme ultraviolet (EUV) wavelength band is rich in lines useful as plasma diagnostics. This fact is being used by the Chandra and XMM-Newton satellites for studying stellar coronae and galactic nuclei. We have installed a new grating spectrometer on the NSTX tokamak that allows us to study emission lines in the EUV with similar spectral resolution. We have observed the K-shell lines of heliumlike and hydrogenlike boron, carbon, and oxygen. Moreover, we have measured the L-shell spectra of neonlike Ar, Fe, and Ni. All elements except argon were intrinsic to NSTX plasmas. Many of these spectra are of great interest to astrophysics. Our measurements provide line lists and calibrate density-sensitive line ratios in a density regime not accessible by other laboratory sources. Moreover, we were able to measure the temperature dependence of several iron lines needed to address puzzling results from stellar flare plasmas. This work was performed under the auspices of the U.S. DOE by UC-LLNL under contract W-7405-Eng-48 and by PPPL under contract DE-AC02-76CHO3073.

  12. EUV Irradiance Inputs to Thermospheric Density Models: Open Issues and Path Forward

    NASA Astrophysics Data System (ADS)

    Vourlidas, A.; Bruinsma, S.

    2018-01-01

    One of the objectives of the NASA Living With a Star Institute on "Nowcasting of Atmospheric Drag for low Earth orbit (LEO) Spacecraft" was to investigate whether and how to increase the accuracy of atmospheric drag models by improving the quality of the solar forcing inputs, namely, extreme ultraviolet (EUV) irradiance information. In this focused review, we examine the status of and issues with EUV measurements and proxies, discuss recent promising developments, and suggest a number of ways to improve the reliability, availability, and forecast accuracy of EUV measurements in the next solar cycle.

  13. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    NASA Astrophysics Data System (ADS)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  14. Thin film filter lifetesting results in the extreme ultraviolet

    NASA Technical Reports Server (NTRS)

    Vedder, P. W.; Vallerga, J. V.; Gibson, J. L.; Stock, J.; Siegmund, O. H. W.

    1993-01-01

    We present the results of the thin film filter lifetesting program conducted as part of the NASA Extreme Ultraviolet Explorer (EUVE) satellite mission. This lifetesting program is designed to monitor changes in the transmission and mechanical properties of the EUVE filters over the lifetime of the mission (fabrication, assembly, launch and operation). Witness test filters were fabricated from thin film foils identical to those used in the flight filters. The witness filters have been examined and calibrated periodically over the past seven years. The filters have been examined for evidence of pinholing, mechanical degradation, and oxidation. Absolute transmissions of the flight and witness filters have been measured in the extreme ultraviolet (EUV) over six orders of magnitude at numerous wavelengths using the Berkeley EUV Calibration Facility.

  15. Modeling of thermomechanical changes of extreme-ultraviolet mask and their dependence on absorber variation

    NASA Astrophysics Data System (ADS)

    Ban, Chung-Hyun; Park, Eun-Sang; Park, Jae-Hun; Oh, Hye-Keun

    2018-06-01

    Thermal and structural deformation of extreme-ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness requirements. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the masks rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. The use of very thick low-thermal-expansion substrate materials (LTEMs) may reduce energy absorption, but they do not completely eliminate mask deformation. Therefore, it is necessary to predict and optimize the effects of energy transferred from the extreme-ultraviolet (EUV) light source and the resultant patterns of structured EUV masks with complex multilayers. Our study shows that heat accumulates in the masks as exposure progresses. It has been found that a higher absorber ratio (pattern density) applied to the patterning of EUV masks exacerbates the problem, especially in masks with more complex patterns.

  16. Theoretical modeling of PEB procedure on EUV resist using FDM formulation

    NASA Astrophysics Data System (ADS)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2018-03-01

    Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick's second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.

  17. Experience from the in-flight calibration of the Extreme Ultraviolet Explorer (EUVE) and Upper Atmosphere Research Satellite (UARS) fixed head star trackers (FHSTs)

    NASA Technical Reports Server (NTRS)

    Lee, Michael

    1995-01-01

    Since the original post-launch calibration of the FHSTs (Fixed Head Star Trackers) on EUVE (Extreme Ultraviolet Explorer) and UARS (Upper Atmosphere Research Satellite), the Flight Dynamics task has continued to analyze the FHST performance. The algorithm used for inflight alignment of spacecraft sensors is described and the equations for the errors in the relative alignment for the simple 2 star tracker case are shown. Simulated data and real data are used to compute the covariance of the relative alignment errors. Several methods for correcting the alignment are compared and results analyzed. The specific problems seen on orbit with UARS and EUVE are then discussed. UARS has experienced anomalous tracker performance on an FHST resulting in continuous variation in apparent tracker alignment. On EUVE, the FHST residuals from the attitude determination algorithm showed a dependence on the direction of roll during survey mode. This dependence is traced back to time tagging errors and the original post launch alignment is found to be in error due to the impact of the time tagging errors on the alignment algorithm. The methods used by the FDF (Flight Dynamics Facility) to correct for these problems is described.

  18. Energy deposition in ultrathin extreme ultraviolet resist films: extreme ultraviolet photons and keV electrons

    NASA Astrophysics Data System (ADS)

    Kyser, David F.; Eib, Nicholas K.; Ritchie, Nicholas W. M.

    2016-07-01

    The absorbed energy density (eV/cm3) deposited by extreme ultraviolet (EUV) photons and electron beam (EB) high-keV electrons is proposed as a metric for characterizing the sensitivity of EUV resist films. Simulations of energy deposition are used to calculate the energy density as a function of the incident aerial flux (EUV: mJ/cm2, EB: μC/cm2). Monte Carlo calculations for electron exposure are utilized, and a Lambert-Beer model for EUV absorption. The ratio of electron flux to photon flux which results in equivalent energy density is calculated for a typical organic chemically amplified resist film and a typical inorganic metal-oxide film. This ratio can be used to screen EUV resist materials with EB measurements and accelerate advances in EUV resist systems.

  19. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  20. Expected scientific performance of the three spectrometers on the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Vallerga, J. V.; Jelinsky, P.; Vedder, P. W.; Malina, R. F.

    1990-01-01

    The expected in-orbit performance of the three spectrometers included on the Extreme Ultraviolet Explorer astronomical satellite is presented. Recent calibrations of the gratings, mirrors and detectors using monochromatic and continuum EUV light sources allow the calculation of the spectral resolution and throughput of the instrument. An effective area range of 0.2 to 2.8 sq cm is achieved over the wavelength range 70-600 A with a peak spectral resolution (FWHM) of 360 assuming a spacecraft pointing knowledge of 10 arc seconds (FWHM). For a 40,000 sec observation, the average 3 sigma sensitivity to a monochromatic line source is 0.003 photons/sq cm s. Simulated observations of known classes of EUV sources, such as hot white dwarfs, and cataclysmic variables are also presented.

  1. Design requirements for a stand alone EUV interferometer

    NASA Astrophysics Data System (ADS)

    Michallon, Ph.; Constancias, C.; Lagrange, A.; Dalzotto, B.

    2008-03-01

    EUV lithography is expected to be inserted for the 32/22 nm nodes with possible extension below. EUV resist availability remains one of the main issues to be resolved. There is an urgent need to provide suitable tools to accelerate resist development and to achieve resolution, LER and sensitivity specifications simultaneously. An interferometer lithography tool offers advantages regarding conventional EUV exposure tool. It allows the evaluation of resists, free from the deficiencies of optics and mask which are limiting the achieved resolution. Traditionally, a dedicated beam line from a synchrotron, with limited access, is used as a light source in EUV interference lithography. This paper identifies the technology locks to develop a stand alone EUV interferometer using a compact EUV source. It will describe the theoretical solutions adopted and especially look at the feasibility according to available technologies. EUV sources available on the market have been evaluated in terms of power level, source size, spatial coherency, dose uniformity, accuracy, stability and reproducibility. According to the EUV source characteristics, several optic designs were studied (simple or double gratings). For each of these solutions, the source and collimation optic specifications have been determined. To reduce the exposure time, a new grating technology will also be presented allowing to significantly increasing the transmission system efficiency. The optical grating designs were studied to allow multi-pitch resolution print on the same exposure without any focus adjustment. Finally micro mechanical system supporting the gratings was studied integrating the issues due to vacuum environment, alignment capability, motion precision, automation and metrology to ensure the needed placement control between gratings and wafer. A similar study was carried out for the collimation-optics mechanical support which depends on the source characteristics.

  2. Research in extreme ultraviolet and far ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Bowyer, C. S.

    1985-01-01

    The Far Ultraviolet imager (FUVI) was flown on the Aries class sounding rocket 24.015, producing outstanding results. The diffuse extreme ultraviolet (EUV) background spectrometer which is under construction is described. It will be launched on the Black Brant sounding rocket flight number 27.086. Ongoing design studies of a high resolution spectrometer are discussed. This instrument incorporates a one meter normal incidence mirror and will be suitable for an advanced Spartan mission.

  3. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  4. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    NASA Astrophysics Data System (ADS)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  5. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Wachulak, P.; Fiedorowicz, H.; Skrzeczanowski, W.

    2016-04-01

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Kr plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.

  6. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartnik, A., E-mail: andrzej.bartnik@wat.edu.pl; Wachulak, P.; Fiedorowicz, H.

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Krmore » plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.« less

  7. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  8. SoFAST: Automated Flare Detection with the PROBA2/SWAP EUV Imager

    NASA Astrophysics Data System (ADS)

    Bonte, K.; Berghmans, D.; De Groof, A.; Steed, K.; Poedts, S.

    2013-08-01

    The Sun Watcher with Active Pixels and Image Processing (SWAP) EUV imager onboard PROBA2 provides a non-stop stream of coronal extreme-ultraviolet (EUV) images at a cadence of typically 130 seconds. These images show the solar drivers of space-weather, such as flares and erupting filaments. We have developed a software tool that automatically processes the images and localises and identifies flares. On one hand, the output of this software tool is intended as a service to the Space Weather Segment of ESA's Space Situational Awareness (SSA) program. On the other hand, we consider the PROBA2/SWAP images as a model for the data from the Extreme Ultraviolet Imager (EUI) instrument prepared for the future Solar Orbiter mission, where onboard intelligence is required for prioritising data within the challenging telemetry quota. In this article we present the concept of the software, the first statistics on its effectiveness and the online display in real time of its results. Our results indicate that it is not only possible to detect EUV flares automatically in an acquired dataset, but that quantifying a range of EUV dynamics is also possible. The method is based on thresholding of macropixelled image sequences. The robustness and simplicity of the algorithm is a clear advantage for future onboard use.

  9. Protection of extreme ultraviolet lithography masks. II. Showerhead flow mitigation of nanoscale particulate contamination [Protection of EUV lithography masks II: Showerhead flow mitigation of nanoscale particulate contamination

    DOE PAGES

    Klebanoff, Leonard E.; Torczynski, John R.; Geller, Anthony S.; ...

    2015-03-27

    An analysis is presented of a method to protect the reticle (mask) in an extreme ultraviolet (EUV) mask inspection tool using a showerhead plenum to provide a continuous flow of clean gas over the surface of a reticle. The reticle is suspended in an inverted fashion (face down) within a stage/holder that moves back and forth over the showerhead plenum as the reticle is inspected. It is essential that no particles of 10-nm diameter or larger be deposited on the reticle during inspection. Particles can originate from multiple sources in the system, and mask protection from each source is explicitlymore » analyzed. The showerhead plate has an internal plenum with a solid conical wall isolating the aperture. The upper and lower surfaces of the plate are thin flat sheets of porous-metal material. These porous sheets form the top and bottom showerheads that supply the region between the showerhead plate and the reticle and the region between the conical aperture and the Optics Zone box with continuous flows of clean gas. The model studies show that the top showerhead provides robust reticle protection from particles of 10-nm diameter or larger originating from the Reticle Zone and from plenum surfaces contaminated by exposure to the Reticle Zone. Protection is achieved with negligible effect on EUV transmission. Furthermore, the bottom showerhead efficiently protects the reticle from nanoscale particles originating from the Optics Zone.« less

  10. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, S. D.; Kuehne, M.; Lemaire, P.; Marsch, E.

    1992-01-01

    The experiment Solar Ultraviolet Measurements of Emitted Radiation (SUMER) is designed for the investigations of plasma flow characteristics, turbulence and wave motions, plasma densities and temperatures, structures and events associated with solar magnetic activity in the chromosphere, the transition zone and the corona. Specifically, SUMER will measure profiles and intensities of Extreme Ultraviolet (EUV) lines emitted in the solar atmosphere ranging from the upper chromosphere to the lower corona; determine line broadenings, spectral positions and Doppler shifts with high accuracy, provide stigmatic images of selected areas of the Sun in the EUV with high spatial, temporal and spectral resolution and obtain full images of the Sun and the inner corona in selectable EUV lines, corresponding to a temperature from 10,000 to more than 1,800,000 K.

  11. Reconstruction of Solar EUV Flux 1740-2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, L.

    2015-12-01

    Solar Extreme Ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo ionization of molecular Oxygen. Solar heating of the ionosphere creates thermal winds which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and sets with the Sun and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us the deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the 'Magnetic Crusade' of the 1830s and less reliable, but still usable, data are available for portions of the hundred years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F10.7 flux and the sunspot number, and find that the reconstructed EUV flux reproduces the F10.7 flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant 'solar magnetic ground state'.

  12. Improvements in resist performance towards EUV HVM

    NASA Astrophysics Data System (ADS)

    Yildirim, Oktay; Buitrago, Elizabeth; Hoefnagels, Rik; Meeuwissen, Marieke; Wuister, Sander; Rispens, Gijsbert; van Oosten, Anton; Derks, Paul; Finders, Jo; Vockenhuber, Michaela; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

  13. Study on the lifetime of Mo/Si multilayer optics with pulsed EUV-source at the ETS

    NASA Astrophysics Data System (ADS)

    Schürmann, Mark; Yulin, Sergiy; Nesterenko, Viatcheslav; Feigl, Torsten; Kaiser, Norbert; Tkachenko, Boris; Schürmann, Max C.

    2011-06-01

    As EUV lithography is on its way into production stage, studies of optics contamination and cleaning under realistic conditions become more and more important. Due to this fact an Exposure Test Stand (ETS) has been constructed at XTREME technologies GmbH in collaboration with Fraunhofer IOF and with financial support of Intel Corporation. This test stand is equipped with a pulsed DPP source and allows for the simultaneous exposure of several samples. In the standard set-up four samples with an exposed area larger than 35 mm2 per sample can be exposed at a homogeneous intensity of 0.25 mW/mm2. A recent update of the ETS allows for simultaneous exposures of two samples with intensities up to 1.0 mW/mm2. The first application of this alternative set-up was a comparative study of carbon contamination rates induced by EUV radiation from the pulsed source with contamination rates induced by quasicontinuous synchrotron radiation. A modified gas-inlet system allows for the introduction of a second gas to the exposure chamber. This possibility was applied to investigate the efficiency of EUV-induced cleaning with different gas mixtures. In particular the enhancement of EUV-induced cleaning by addition of a second gas to the cleaning gas was studied.

  14. Solar Imaging UV/EUV Spectrometers Using TVLS Gratings

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2003-01-01

    It is a particular challenge to develop a stigmatic spectrograph for UV, EUV wavelengths since the very low normal-incidence reflectance of standard materials most often requires that the design be restricted to a single optical element which must simultaneously provide both reimaging and spectral dispersion. This problem has been solved in the past by the use of toroidal gratings with uniform line-spaced rulings (TULS). A number of solar extreme ultraviolet (EUV) spectrometers have been based on such designs, including SOHO/CDS, Solar-B/EIS, and the sounding rockets Solar Extreme ultraviolet Research Telescope and Spectrograph (SERTS) and Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS). More recently, Kita, Harada, and collaborators have developed the theory of spherical gratings with varied line-space rulings (SVLS) operated at unity magnification, which have been flown on several astronomical satellite missions. We now combine these ideas into a spectrometer concept that puts varied-line space rulings onto toroidal gratings. Such TVLS designs are found to provide excellent imaging even at very large spectrograph magnifications and beam-speeds, permitting extremely high-quality performance in remarkably compact instrument packages. Optical characteristics of three new solar spectrometers based on this concept are described: SUMI and RAISE, two sounding rocket payloads, and NEXUS, currently being proposed as a Small-Explorer (SMEX) mission.

  15. EUV laser produced and induced plasmas for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  16. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    NASA Astrophysics Data System (ADS)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  17. Enabling laboratory EUV research with a compact exposure tool

    NASA Astrophysics Data System (ADS)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  18. Spectroscopy of Highly Charged Tin Ions for AN Extreme Ultraviolet Light Source for Lithography

    NASA Astrophysics Data System (ADS)

    Torretti, Francesco; Windberger, Alexander; Ubachs, Wim; Hoekstra, Ronnie; Versolato, Oscar; Ryabtsev, Alexander; Borschevsky, Anastasia; Berengut, Julian; Crespo Lopez-Urrutia, Jose

    2017-06-01

    Laser-produced tin plasmas are the prime candidates for the generation of extreme ultraviolet (EUV) light around 13.5 nm in nanolithographic applications. This light is generated primarily by atomic transitions in highly charged tin ions: Sn^{8+}-Sn^{14+}. Due to the electronic configurations of these charge states, thousands of atomic lines emit around 13.5 nm, clustered in a so-called unresolved transition array. As a result, accurate line identification becomes difficult in this regime. Nevertheless, this issue can be circumvented if one turns to the optical: with far fewer atomic states, only tens of transitions take place and the spectra can be resolved with far more ease. We have investigated optical emission lines in an electron-beam-ion-trap (EBIT), where we managed to charge-state resolve the spectra. Based on this technique and on a number of different ab initio techniques for calculating the level structure, the optical spectra could be assigned [1,2]. As a conclusion the assignments of EUV transitions in the literature require corrections. The EUV and optical spectra are measured simultaneously in the controlled conditions of the EBIT as well as in a droplet-based laser-produced plasma source providing information on the contribution of Sn^{q+} charge states to the EUV emission. [1] A. Windberger, F. Torretti, A. Borschevsky, A. Ryabtsev, S. Dobrodey, H. Bekker, E. Eliav, U. Kaldor, W. Ubachs, R. Hoekstra, J.R. Crespo Lopez-Urrutia, O.O. Versolato, Analysis of the fine structure of Sn^{11+} - Sn^{14+} ions by optical spectroscopy in an electron beam ion trap, Phys. Rev. A 94, 012506 (2016). [2] F. Torretti, A. Windberger, A. Ryabtsev, S. Dobrodey, H. Bekker, W. Ubachs, R. Hoekstra, E.V. Kahl, J.C. Berengut, J.R. Crespo Lopez-Urrutia, O.O. Versolato, Optical spectroscopy of complex open 4d-shell ions Sn^{7+} - Sn^{10+}, arXiv:1612.00747

  19. Protection efficiency of a standard compliant EUV reticle handling solution

    NASA Astrophysics Data System (ADS)

    He, Long; Lystad, John; Wurm, Stefan; Orvek, Kevin; Sohn, Jaewoong; Ma, Andy; Kearney, Patrick; Kolbow, Steve; Halbmaier, David

    2009-03-01

    For successful implementation of extreme ultraviolet lithography (EUVL) technology for late cycle insertion at 32 nm half-pitch (hp) and full introduction for 22 nm hp high volume production, the mask development infrastructure must be in place by 2010. The central element of the mask infrastructure is contamination-free reticle handling and protection. Today, the industry has already developed and balloted an EUV pod standard for shipping, transporting, transferring, and storing EUV masks. We have previously demonstrated that the EUV pod reticle handling method represents the best approach in meeting EUVL high volume production requirements, based on then state-of-the-art inspection capability at ~53nm polystyrene latex (PSL) equivalent sensitivity. In this paper, we will present our latest data to show defect-free reticle handling is achievable down to 40 nm particle sizes, using the same EUV pod carriers as in the previous study and the recently established world's most advanced defect inspection capability of ~40 nm SiO2 equivalent sensitivity. The EUV pod is a worthy solution to meet EUVL pilot line and pre-production exposure tool development requirements. We will also discuss the technical challenges facing the industry in refining the EUV pod solution to meet 22 nm hp EUVL production requirements and beyond.

  20. The EUV spectrophotometer on Atmosphere Explorer.

    NASA Technical Reports Server (NTRS)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  1. The extreme ultraviolet spectra of low-redshift radio-loud quasars

    NASA Astrophysics Data System (ADS)

    Punsly, Brian; Reynolds, Cormac; Marziani, Paola; O'Dea, Christopher P.

    2016-07-01

    This paper reports on the extreme ultraviolet (EUV) spectrum of three low-redshift (z ˜ 0.6) radio-loud quasars, 3C 95, 3C 57 and PKS 0405-123. The spectra were obtained with the Cosmic Origins Spectrograph of the Hubble Space Telescope. The bolometric thermal emission, Lbol, associated with the accretion flow is a large fraction of the Eddington limit for all of these sources. We estimate the long-term time-averaged jet power, overline{Q}, for the three sources. overline{Q}/L_{bol}, is shown to lie along the correlation of overline{Q}/L_{bol}, and αEUV found in previous studies of the EUV continuum of intermediate and high-redshift quasars, where the EUV continuum flux density between 1100 and 700 Å is defined by F_{ν } ˜ ν ^{-α _{EUV}}. The high Eddington ratios of the three quasars extend the analysis into a wider parameter space. Selecting quasars with high Eddington ratios has accentuated the statistical significance of the partial correlation analysis of the data. Namely, the correlation of overline{Q}/L_{bol} and αEUV is fundamental, and the correlation of overline{Q} and αEUV is spurious at a very high statistical significance level (99.8 per cent). This supports the regulating role of ram pressure of the accretion flow in magnetically arrested accretion models of jet production. In the process of this study, we use multifrequency and multiresolution Very Large Array radio observations to determine that one of the bipolar jets in 3C 57 is likely frustrated by galactic gas that keeps the jet from propagating outside the host galaxy.

  2. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  3. Coater/developer based techniques to improve high-resolution EUV patterning defectivity

    NASA Astrophysics Data System (ADS)

    Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Liu, Eric; Ko, Akiteru; Kawakami, Shinichiro; Shimoaoki, Takeshi; Hashimoto, Yusaku; Tanaka, Koichiro; Petrillo, Karen; Meli, Luciana; De Silva, Anuja; Xu, Yongan; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex

    2017-10-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.

  4. Understanding the Early Evolution of M dwarf Extreme Ultraviolet Radiation

    NASA Astrophysics Data System (ADS)

    Peacock, Sarah; Barman, Travis; Shkolnik, Evgenya

    2015-11-01

    The chemistry and evolution of planetary atmospheres depends on the evolution of high-energy radiation emitted by its host star. High levels of extreme ultraviolet (EUV) radiation can drastically alter the atmospheres of terrestrial planets through ionizing, heating, expanding, chemically modifying and eroding them during the first few billion years of a planetary lifetime. While there is evidence that stars emit their highest levels of far and near ultraviolet (FUV; NUV) radiation in the earliest stages of their evolution, we are currently unable to directly measure the EUV radiation. Most previous stellar atmosphere models under-predict FUV and EUV emission from M dwarfs; here we present new models for M stars that include prescriptions for the hot, lowest density atmospheric layers (chromosphere, transition region and corona), from which this radiation is emitted. By comparing our model spectra to GALEX near and far ultraviolet fluxes, we are able to predict the evolution of EUV radiation for M dwarfs from 10 Myr to a few Gyr. This research is the next major step in the HAZMAT (HAbitable Zones and M dwarf Activity across Time) project to analyze how the habitable zone evolves with the evolving properties of stellar and planetary atmospheres.

  5. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  6. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  7. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  8. Contamination Effects on EUV Optics

    NASA Technical Reports Server (NTRS)

    Tveekrem, J.

    1999-01-01

    During ground-based assembly and upon exposure to the space environment, optical surfaces accumulate both particles and molecular condensibles, inevitably resulting in degradation of optical instrument performance. Currently, this performance degradation (and the resulting end-of-life instrument performance) cannot be predicted with sufficient accuracy using existing software tools. Optical design codes exist to calculate instrument performance, but these codes generally assume uncontaminated optical surfaces. Contamination models exist which predict approximate end-of-life contamination levels, but the optical effects of these contamination levels can not be quantified without detailed information about the optical constants and scattering properties of the contaminant. The problem is particularly pronounced in the extreme ultraviolet (EUV, 300-1,200 A) and far (FUV, 1,200-2,000 A) regimes due to a lack of data and a lack of knowledge of the detailed physical and chemical processes involved. Yet it is in precisely these wavelength regimes that accurate predictions are most important, because EUV/FUV instruments are extremely sensitive to contamination.

  9. The Extreme Ultraviolet Explorer mission - Overview and initial results

    NASA Technical Reports Server (NTRS)

    Haisch, B.; Bowyer, S.; Malina, R. F.

    1993-01-01

    The history of extreme ultraviolet (EUV) astronomy is briefly reviewed, and an overview of the Extreme Ultraviolet Explorer mission, launched into a near-earth (550 km) orbit on June 7, 1992, is presented. First, the principal objective of the mission are summarized. The instrumentation and operation of the mission are then described, with particular attention given to the sky survey instruments, the deep survey instrument, and the spectrometers. The discussion also covers the current view of the interstellar medium, early results from the mission, and future prospects for EUV astronomy.

  10. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  11. Ultraviolet Views of Enceladus, Tethys, and Dione

    NASA Technical Reports Server (NTRS)

    Hansen, C. J.; Hendrix, A. R.

    2005-01-01

    The Cassini Ultraviolet Imaging Spectrograph (UVIS) has collected ultraviolet observations of many of Saturn's icy moons since Cassini's insertion into orbit around Saturn. We will report on results from Enceladus, Tethys and Dione, orbiting in the Saturn system at distances of 3.95, 4.88 and 6.26 Saturn radii, respectively. Icy satellite science objectives of the UVIS include investigations of surface age and evolution, surface composition and chemistry, and tenuous exospheres. We address these objectives by producing albedo maps, and reflection and emission spectra, and observing stellar occultations. UVIS has four channels: EUV: Extreme Ultraviolet (55 nm to 110 nm), FUV: Far Ultraviolet (110 to 190 nm), HSP: High Speed Photometer, and HDAC: Hydrogen-Deuterium Absorption Cell. The EUV and FUV spectrographs image onto a 2-dimensional detector, with 64 spatial rows by 1024 spectral columns. To-date we have focused primarily on the far ultraviolet data acquired with the low resolution slit width (4.8 angstrom spectral resolution). Additional information is included in the original extended abstract.

  12. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  13. Global Ultraviolet Imager (GUVI) investigation

    NASA Technical Reports Server (NTRS)

    Christensen, Andrew B.

    1995-01-01

    This report covers the activities performed under NAS5-32572. The results of those activities are included in this Final Report. TIMED Science Objectives: (1) To determine the temperature, density, and wind structure of the MLTI (mixed layer thermal inertia), including the seasonal and latitudinal variations; and (2) To determine the relative importance of the various radiative, chemical, electrodynamical, and dynamical sources and sinks of energy for the thermal structure of the MLTI. GUVI Science Goals: (1) Determine the spatial and temporal variations of temperature and constituent densities in the lower thermosphere; and (2) Determine the importance of auroral energy sources and solar EUV (extreme ultraviolet) to the energy balance of the region.

  14. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    NASA Astrophysics Data System (ADS)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-05-01

    We demonstrated efficacy of a CO2-laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5nm at variable laser pulse widths between 200ps and 25ns. The plasma target was a 30μm liquid xenon microjet. To ensure the optimum coupling of CO2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5nm EUV emission for different pulse widths of the CO2 laser. A maximum CE of 0.6% was obtained for a CO2 laser pulse width of 25ns at an intensity of 5×1010W/cm2.

  15. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE PAGES

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.; ...

    2018-02-05

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  16. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  17. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  18. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  19. ``Big Bang" for NASA's Buck: Nearly Three Years of EUVE Mission Operations at UCB

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.; Nevitt, R.; McDonald, K. E.; Cullison, J.; Malina, R. F.

    1999-12-01

    After over seven years in orbit, NASA's Extreme Ultraviolet Explorer (EUVE) satellite continues to perform flawlessly and with no significant loss of science capabilities. EUVE continues to produce important and exciting science results and, with reentry not expected until 2003-2004, many more such discoveries await. In the nearly three years since the outsourcing of EUVE from NASA's Goddard Space Flight Center, the small EUVE operations team at the University of California at Berkeley (UCB) has successfully conducted all aspects of the EUVE mission -- from satellite operations, science and mission planning, and data processing, delivery, and archival, to software support, systems administration, science management, and overall mission direction. This paper discusses UCB's continued focus on automation and streamlining, in all aspects of the Project, as the means to maximize EUVE's overall scientific productivity while minimizing costs. Multitasking, non-traditional work roles, and risk management have led to expanded observing capabilities while achieving significant cost reductions and maintaining the mission's historical 99 return. This work was funded under NASA Cooperative Agreement NCC5-138.

  20. EUV observation from the Earth-orbiting satellite, EXCEED

    NASA Astrophysics Data System (ADS)

    Yoshioka, K.; Murakami, G.; Yoshikawa, I.; Ueno, M.; Uemizu, K.; Yamazaki, A.

    2010-01-01

    An Earth-orbiting small satellite “EXtreme ultraviolet spectrosCope for ExosphEric Dynamics” (EXCEED) which will be launched in 2012 is under development. The mission will carry out spectroscopic and imaging observation of EUV (Extreme Ultraviolet: 60-145 nm) emissions from tenuous plasmas around the planets (Venus, Mars, Mercury, and Jupiter). It is essential for EUV observation to put on an observing site outside the Earth’s atmosphere to avoid the absorption. It is also essential that the detection efficiency must be very high in order to catch the faint signals from those targets. In this mission, we employ cesium iodide coated microchannel plate as a 2 dimensional photon counting devise which shows 1.5-50 times higher quantum detection efficiency comparing with the bared one. We coat the surface of the grating and entrance mirror with silicon carbides by the chemical vapor deposition method in order to archive the high diffraction efficiency and reflectivity. The whole spectrometer is shielded by the 2 mm thick stainless steel to prevent the contamination caused by the high energy electrons from the inner radiation belt. In this paper, we will introduce the mission overview, its instrument, and their performance.

  1. Characterization of gas targets for laser produced extreme ultraviolet plasmas with a Hartmann-Shack sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peth, Christian; Kranzusch, Sebastian; Mann, Klaus

    2004-10-01

    A table top extreme ultraviolet (EUV)-source was developed at Laser-Laboratorium Goettingen for the characterization of optical components and sensoric devices in the wavelength region from 11 to 13 nm. EUV radiation is generated by focusing the beam of a Q-switched Nd:YAG laser into a pulsed xenon gas jet. Since a directed gas jet with a high number density is needed for an optimal performance of the source, conical nozzles with different cone angles were drilled with an excimer laser to produce a supersonic gas jet. The influence of the nozzle geometry on the gas jet was characterized with a Hartmann-Shackmore » wave front sensor. The deformation of a planar wave front after passing the gas jet was analyzed with this sensor, allowing a reconstruction of the gas density distribution. Thus, the gas jet was optimized resulting in an increase of EUV emission by a factor of two and a decrease of the plasma size at the same time.« less

  2. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  3. Studies of Solar EUV Irradiance from SOHO

    NASA Technical Reports Server (NTRS)

    Floyd, Linton

    2002-01-01

    The Extreme Ultraviolet (EUV) irradiance central and first order channel time series (COC and FOC) from the Solar EUV Monitor aboard the Solar and Heliospheric observatory (SOHO) issued in early 2002 covering the time period 1/1/96-31/1201 were analyzed in terms of other solar measurements and indices. A significant solar proton effect in the first order irradiance was found and characterized. When this effect is removed, the two irradiance time series are almost perfectly correlated. Earlier studies have shown good correlation between the FOC and the Hall core-to-wing ratio and likewise, it was the strongest component of the COC. Analysis of the FOC showed dependence on the F10.7 radio flux. Analysis of the CDC signals showed additional dependences on F10.7 and the GOES x-ray fluxes. The SEM FOC was also well correlated with thein 30.4 nm channel of the SOHO EUV Imaging Telescope (EIT). The irradiance derived from all four EIT channels (30.4 nm, 17.1 nm, 28.4 nm, and 19.5 nm) showed better correlation with MgII than F10.7.

  4. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Caudillo, Roman; Chandhok, Manish

    2010-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. Readiness of EUV materials is currently one high risk area according to recent assessments made at the 2009 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data collected utilizing Intel's Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <= 12.5mJ/cm2 with <= 4nm LWR.

  5. Extreme Ultraviolet Explorer Science Operation Center

    NASA Technical Reports Server (NTRS)

    Wong, G. S.; Kronberg, F. A.; Meriwether, H. D.; Wong, L. S.; Grassi, C. L.

    1993-01-01

    The EUVE Science Operations Center (ESOC) is a satellite payload operations center for the Extreme Ultraviolet Explorer project, located on the Berkeley campus of the University of California. The ESOC has the primary responsibility for commanding the EUVE telescopes and monitoring their telemetry. The ESOC is one of a very few university-based satellite operations facilities operating with NASA. This article describes the history, operation, and advantages of the ESOC as an on-campus operations center.

  6. Extreme ultraviolet spectral irradiance measurements since 1946

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  7. The Origin of the EUV Emission in Her X-1

    NASA Technical Reports Server (NTRS)

    Leahy, D. A.; Marshall, H.

    1999-01-01

    Her X-1 exhibits a strong orbital modulation of its EUV flux with a large decrease around time of eclipse of the neutron star, and a significant dip which appears at different orbital phases at different 35-day phases. We consider observations of Her X-1 in the EUVE by the Extreme Ultraviolet Explorer (EUVE), which includes data from 1995 near the end of the Short High state, and date from 1997 at the start of the Short High state. The observed EUV lightcurve has bright and faint phases. The bright phase can be explained as the low energy tail of the soft x-ray pulse. The faint phase emission has been modeled to understand its origin. We find: the x-ray heated surface of HZ Her is too cool to produce enough emission; the accretion disk does not explain the orbital modulation; however, reflection of x-rays off of HZ Her can produce the observed lightcurve with orbital eclipses. The dip can be explained by shadowing of the companion by the accretion disk. We discuss the constraints on the accretion disk geometry derived from the observed shadowing.

  8. Solar EUV Irradiance Measurements by the Auto-Calibrating EUV Spectrometers (SolACES) Aboard the International Space Station (ISS)

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Nikutowski, B.; Jacobi, C.; Brunner, R.; Erhardt, C.; Knecht, S.; Scherle, J.; Schlagenhauf, J.

    2014-05-01

    SolACES is part of the ESA SOLAR ISS mission that started aboard the shuttle mission STS-122 on 7 February 2008. The instrument has recorded solar extreme ultraviolet (EUV) irradiance from 16 to 150 nm during the extended solar activity minimum and the beginning solar cycle 24 with rising solar activity and increasingly changing spectral composition. The SOLAR mission has been extended from a period of 18 months to > 8 years until the end of 2016. SolACES is operating three grazing incidence planar grating spectrometers and two three-current ionization chambers. The latter ones are considered as primary radiometric detector standards. Re-filling the ionization chambers with three different gases repeatedly and using overlapping band-pass filters, the absolute EUV fluxes are derived in these spectral intervals. This way the serious problem of continuing efficiency changes in space-borne instrumentation is overcome during the mission. Evaluating the three currents of the ionization chambers, the overlapping spectral ranges of the spectrometers and of the filters plus inter-comparing the results from the EUV photon absorption in the gases with different absorption cross sections, there are manifold instrumental possibilities to cross-check the results providing a high degree of reliability to the spectral irradiance derived. During the mission a very strong up-and-down variability of the spectrometric efficiency by orders of magnitude is observed. One of the effects involved is channeltron degradation. However, there are still open questions on other effects contributing to these changes. A survey of the measurements carried out and first results of the solar spectral irradiance (SSI) data are presented. Inter-comparison with EUV data from other space missions shows good agreement such that the international effort has started to elaborate a complete set of EUV-SSI data taking into account all data available from 2008 to 2013.

  9. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  10. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    NASA Astrophysics Data System (ADS)

    Borisov, V. M.; Vinokhodov, A. Yu; Ivanov, A. S.; Kiryukhin, Yu B.; Mishchenko, V. A.; Prokof'ev, A. V.; Khristoforov, O. B.

    2009-10-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz.

  11. Integrated approach to improving local CD uniformity in EUV patterning

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  12. Overview of Key Results from SDO Extreme ultraviolet Variability Experiment (EVE)

    NASA Astrophysics Data System (ADS)

    Woods, Tom; Eparvier, Frank; Jones, Andrew; Mason, James; Didkovsky, Leonid; Chamberlin, Phil

    2016-10-01

    The SDO Extreme ultraviolet Variability Experiment (EVE) includes several channels to observe the solar extreme ultraviolet (EUV) spectral irradiance from 1 to 106 nm. These channels include the Multiple EUV Grating Spectrograph (MEGS) A, B, and P channels from the University of Colorado (CU) and the EUV SpectroPhometer (ESP) channels from the University of Southern California (USC). The solar EUV spectrum is rich in many different emission lines from the corona, transition region, and chromosphere. The EVE full-disk irradiance spectra are important for studying the solar impacts in Earth's ionosphere and thermosphere and are useful for space weather operations. In addition, the EVE observations, with its high spectral resolution of 0.1 nm and in collaboration with AIA solar EUV images, have proven valuable for studying active region evolution and explosive energy release during flares and coronal eruptions. These SDO measurements have revealed interesting results such as understanding the flare variability over all wavelengths, discovering and classifying different flare phases, using coronal dimming measurements to predict CME properties of mass and velocity, and exploring the role of nano-flares in continual heating of active regions.

  13. The Extreme Ultraviolet Explorer mission - Instrumentation and science goals

    NASA Technical Reports Server (NTRS)

    Bowyer, Stuart; Malina, Roger F.; Marshall, Herman L.

    1988-01-01

    NASA's Extreme Ultraviolet Explorer (EUVE) will carry out an all-sky survey from 80 to 800A in four bandpasses. It is expected that many types of sources will be detected, including white dwarfs and late type stars. A deep survey will also be carried out along the ecliptic which will have a limiting sensitivity a factor of 10 better than the all-sky survey in the bandpass from 80 to 300A. The payload includes a spectrometer to observe the brigher sources found in the surveys with a spectral resolution of 1 to 2A.

  14. Plans for the extreme ultraviolet explorer data base

    NASA Technical Reports Server (NTRS)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  15. CME Expansion as the Driver of Metric Type II Shock Emission as Revealed by Self-consistent Analysis of High-Cadence EUV Images and Radio Spectrograms

    NASA Astrophysics Data System (ADS)

    Kouloumvakos, A.; Patsourakos, S.; Hillaris, A.; Vourlidas, A.; Preka-Papadema, P.; Moussas, X.; Caroubalos, C.; Tsitsipis, P.; Kontogeorgos, A.

    2014-06-01

    On 13 June 2010, an eruptive event occurred near the solar limb. It included a small filament eruption and the onset of a relatively narrow coronal mass ejection (CME) surrounded by an extreme ultraviolet (EUV) wave front recorded by the Solar Dynamics Observatory's (SDO) Atmospheric Imaging Assembly (AIA) at high cadence. The ejection was accompanied by a GOES M1.0 soft X-ray flare and a Type-II radio burst; high-resolution dynamic spectra of the latter were obtained by the Appareil de Routine pour le Traitement et l'Enregistrement Magnetique de l'Information Spectral (ARTEMIS IV) radio spectrograph. The combined observations enabled a study of the evolution of the ejecta and the EUV wave front and its relationship with the coronal shock manifesting itself as metric Type-II burst. By introducing a novel technique, which deduces a proxy of the EUV compression ratio from AIA imaging data and compares it with the compression ratio deduced from the band-split of the Type-II metric radio burst, we are able to infer the potential source locations of the radio emission of the shock on that AIA images. Our results indicate that the expansion of the CME ejecta is the source for both EUV and radio shock emissions. Early in the CME expansion phase, the Type-II burst seems to originate in the sheath region between the EUV bubble and the EUV shock front in both radial and lateral directions. This suggests that both the nose and the flanks of the expanding bubble could have driven the shock.

  16. Plasmaspheric Erosion via Plasmasphere Coupling to Ring Current Plasmas: EUV Observations and Modeling

    NASA Technical Reports Server (NTRS)

    Adrian, M. L.; Gallagher, D. L.; Khazanov, G. V.; Chsang, S. W.; Liemohn, M. W.; Perez, J. D.; Green, J. L.; Sandel, B. R.; Mitchell, D. G.; Mende, S. B.; hide

    2002-01-01

    During a geomagnetic storm on 24 May 2000, the IMAGE Extreme Ultraviolet (EUV) camera observed a plasmaspheric density trough in the evening sector at L-values inside the plasmapause. Forward modeling of this feature has indicated that plasmaspheric densities beyond the outer wall of the trough are well below model expectations. This diminished plasma condition suggests the presence of an erosion process due to the interaction of the plasmasphere with ring current plasmas. We present an overview of EUV, energetic neutral atom (ENA), and Far Ultraviolet (FUV) camera observations associated with the plasmaspheric density trough of 24 May 2000, as well as forward modeling evidence of the lie existence of a plasmaspheric erosion process during this period. FUV proton aurora image analysis, convolution of ENA observations, and ring current modeling are then presented in an effort to associate the observed erosion with coupling between the plasmasphere and ring-current plasmas.

  17. Microwave-driven ultraviolet light sources

    DOEpatents

    Manos, Dennis M.; Diggs, Jessie; Ametepe, Joseph D.

    2002-01-29

    A microwave-driven ultraviolet (UV) light source is provided. The light source comprises an over-moded microwave cavity having at least one discharge bulb disposed within the microwave cavity. At least one magnetron probe is coupled directly to the microwave cavity.

  18. Bidirectional reflectance distribution function of diffuse extreme ultraviolet scatterers and extreme ultraviolet baffle materials.

    PubMed

    Newell, M P; Keski-Kuha, R A

    1997-08-01

    Bidirectional reflectance distribution function (BRDF) measurements of a number of diffuse extreme ultraviolet (EUV) scatterers and EUV baffle materials have been performed with the Goddard EUV scatterometer. BRDF data are presented for white Spectralon SRS-99 at 121.6 nm; the data exhibit a non-Lambertian nature and a total hemispherical reflectance lower than 0.15. Data are also presented for an evaporated Cu black sample, a black Spectralon SRS-02 sample, and a Martin Optical Black sample at wavelengths of 58.4 and 121.6 nm and for angles of incidence of 15 degrees and 45 degrees. Overall Martin Optical Black exhibited the lowest BRDF characteristic, with a total hemispherical reflectance of the order of 0.01 and measured BRDF values as low as 2 x 10(-3) sr(-1).

  19. Microfabrication of through holes in polydimethylsiloxane (PDMS) sheets using a laser plasma EUV source (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Makimura, Tetsuya; Urai, Hikari; Niino, Hiroyuki

    2017-03-01

    Polydimethylsiloxane (PDMS) is a material used for cell culture substrates / bio-chips and micro total analysis systems / lab-on-chips due to its flexibility, chemical / thermo-dynamic stability, bio-compatibility, transparency and moldability. For further development, it is inevitable to develop a technique to fabricate precise three dimensional structures on micrometer-scale at high aspect ratio. In the previous works, we reported a technique for high-quality micromachining of PDMS without chemical modification, by means of photo direct machining using laser plasma EUV sources. In the present work, we have investigated fabrication of through holes. The EUV radiations around 10 nm were generated by irradiation of Ta targets with Nd:YAG laser light (10 ns, 500 mJ/pulse). The generated EUV radiations were focused using an ellipsoidal mirror. It has a narrower incident angle than those in the previous works in order to form a EUV beam with higher directivity, so that higher aspect structures can be fabricated. The focused EUV beam was incident on PDMS sheets with a thickness of 15 micrometers, through holes in a contact mask placed on top of them. Using a contact mask with holes with a diameter of three micrometers, complete through holes with a diameter of two micrometers are fabricated in the PDMS sheet. Using a contact mask with two micrometer holes, however, ablation holes almost reaches to the back side of the PDMS sheet. The fabricated structures can be explained in terms of geometrical optics. Thus, we have developed a technique for micromachining of PDMS sheets at high aspect ratios.

  20. Generation of coherent magnons in NiO stimulated by EUV pulses from a seeded free-electron laser

    NASA Astrophysics Data System (ADS)

    Simoncig, A.; Mincigrucci, R.; Principi, E.; Bencivenga, F.; Calvi, A.; Foglia, L.; Kurdi, G.; Matruglio, A.; Dal Zilio, S.; Masciotti, V.; Lazzarino, M.; Masciovecchio, C.

    2017-12-01

    The full comprehension of magnetic phenomena at the femtosecond (fs) time scale is of high demand for current material science and technology. Here we report the observation of coherent collective modes in the antiferromagnetic insulator nickel oxide (NiO) identified by a frequency of 0.86 THz, which matches the expected out-of-plane single-mode magnon resonance. Such collective excitations are inelastically stimulated by extreme ultraviolet (EUV) pulses delivered by a seeded free-electron laser (FEL) and subsequently revealed probing the transient optical activity of NiO looking at the Faraday effect. Moreover, the unique capability of the employed FEL source to deliver circularly polarized pulses allows us to demonstrate optomagnetic control of such collective modes at EUV photon energies. These results may set a starting point for future investigations of magnetic materials at time scales comparable or faster than those typical of exchange interactions.

  1. Active galaxies observed during the Extreme Ultraviolet Explorer all-sky survey

    NASA Technical Reports Server (NTRS)

    Marshall, H. L.; Fruscione, A.; Carone, T. E.

    1995-01-01

    We present observations of active galactic nuclei (AGNs) obtained with the Extreme Ultraviolet Explorer (EUVE) during the all-sky survey. A total of 13 sources were detected at a significance of 2.5 sigma or better: seven Seyfert galaxies, five BL Lac objects, and one quasar. The fraction of BL Lac objects is higher in our sample than in hard X-ray surveys but is consistent with the soft X-ray Einstein Slew Survey, indicating that the main reason for the large number of BL Lac objects in the extreme ulktraviolet (EUV) and soft X-ray bands is their steeper X-ray spectra. We show that the number of AGNs observed in both the EUVE and ROSAT Wide Field Camera surveys can readily be explained by modelling the EUV spectra with a simple power law in the case of BL Lac objects and with an additional EUV excess in the case of Seyferts and quasars. Allowing for cold matter absorption in Seyfert galaxy hosts drive up the inferred average continuum slope to 2.0 +/- 0.5 (at 90% confidence), compared to a slope of 1.0 usually found from soft X-ray data. If Seyfert galaxies without EUV excesses form a significant fraction of the population, then the average spectrum of those with bumps should be even steeper. We place a conservative limit on neutral gas in BL Lac objects: N(sub H) less than 10(exp 20)/sq cm.

  2. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    NASA Technical Reports Server (NTRS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  3. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    NASA Astrophysics Data System (ADS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  4. The Production of Titan's Ultraviolet Nitrogen Airglow

    NASA Astrophysics Data System (ADS)

    Stevens, Michael H.; Gustin, J.; Ajello, J. M.; Evans, J. S.; Meier, R. R.; Stewart, A. I. F.; Esposito, L. W.; McClintock, W. E.; Stephan, A. W.

    2010-10-01

    The Cassini Ultraviolet Imaging Spectrograph (UVIS) observed Titan's dayside limb on 22 June, 2009, obtaining high quality extreme ultraviolet (EUV) and far ultraviolet (FUV) spectra from a distance of only 60,000 km (23 Titan radii). The observations reveal the same EUV and FUV emissions arising from photoelectron excitation and photofragmentation of molecular nitrogen (N2) on Earth but with the altitude of peak emission much higher on Titan near 1000 km altitude. In the EUV, emission bands from the photoelectron excited N2 Carroll-Yoshino c4'-X system and N I and N II multiplets arising from photofragmentation of N2 dominate, with no detectable c4'(0,0) emission near 958 Å, contrary to many interpretations of the lower resolution Voyager 1 Ultraviolet Spectrometer data. The FUV is dominated by emission bands from the N2 Lyman-Birge-Hopfield a-X system and additional N I multiplets. We also identify several N2 Vegard-Kaplan A-X bands between 1500-1900 Å, two of which are located near 1561 and 1657 Å where C I multiplets were previously identified from a separate UVIS disk observation. We compare these limb emissions to predictions from a terrestrial airglow model adapted to Titan that uses a solar spectrum appropriate for these June, 2009 observations. Volume production rates and limb radiances are calculated, including extinction by methane and allowance for multiple scattering within the readily excited c4'(0,v') system, and compared to UVIS observations. We find that for these airglow data only emissions arising from processes involving N2 are present.

  5. On the Absence of EUV Emission from Comet C/2012 S1 (ISON)

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, W. Dean

    2016-01-01

    When the sungrazing comet C2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun's surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This null result is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. By comparing these properties with those of sungrazing comet C2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C2012 S1 (ISON) was at least a factor of four less than that of C2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.

  6. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers

    NASA Astrophysics Data System (ADS)

    Larivière, Stéphane; Wilson, Christopher J.; Kutrzeba Kotowska, Bogumila; Versluijs, Janko; Decoster, Stefan; Mao, Ming; van der Veen, Marleen H.; Jourdan, Nicolas; El-Mekki, Zaid; Heylen, Nancy; Kesters, Els; Verdonck, Patrick; Béral, Christophe; Van den Heuvel, Dieter; De Bisschop, Peter; Bekaert, Joost; Blanco, Victor; Ciofi, Ivan; Wan, Danny; Briggs, Basoene; Mallik, Arindam; Hendrickx, Eric; Kim, Ryoung-han; McIntyre, Greg; Ronse, Kurt; Bömmels, Jürgen; Tőkei, Zsolt; Mocuta, Dan

    2018-03-01

    The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore's law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations. Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers. In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.

  7. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    NASA Astrophysics Data System (ADS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Gürsel, Selmiye Alkan; Scherer, Günther G.; Wokaun, Alexander

    2007-12-01

    Nanostructures of the thermoresponsive poly( N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 °C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures.

  8. Interferometric at-wavelength flare characterization of EUV optical systems

    DOEpatents

    Naulleau, Patrick P.; Goldberg, Kenneth Alan

    2001-01-01

    The extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) provides the high-accuracy wavefront characterization critical to the development of EUV lithography systems. Enhancing the implementation of the PS/PDI can significantly extend its spatial-frequency measurement bandwidth. The enhanced PS/PDI is capable of simultaneously characterizing both wavefront and flare. The enhanced technique employs a hybrid spatial/temporal-domain point diffraction interferometer (referred to as the dual-domain PS/PDI) that is capable of suppressing the scattered-reference-light noise that hinders the conventional PS/PDI. Using the dual-domain technique in combination with a flare-measurement-optimized mask and an iterative calculation process for removing flare contribution caused by higher order grating diffraction terms, the enhanced PS/PDI can be used to simultaneously measure both figure and flare in optical systems.

  9. EUV patterning improvement toward high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie

    2015-03-01

    Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.

  10. Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration

    NASA Astrophysics Data System (ADS)

    Kandel, Yudhishthir; Chandonait, Jonathan; Melvin, Lawrence S.; Marokkey, Sajan; Yan, Qiliang; Grzeskowiak, Steven; Painter, Benjamin; Denbeaux, Gregory

    2017-03-01

    Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.

  11. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chai, Kil-Byoung; Bellan, Paul M.

    2013-12-15

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10{sup 6} frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs.

  12. Comparison of Helioseismic Far-Side Active Region Detections with STEREO Far-Side EUV Observations of Solar Activity

    NASA Astrophysics Data System (ADS)

    Liewer, P. C.; Qiu, J.; Lindsey, C.

    2017-10-01

    Seismic maps of the Sun's far hemisphere, computed from Doppler data from the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO) are now being used routinely to detect strong magnetic regions on the far side of the Sun (http://jsoc.stanford.edu/data/farside/). To test the reliability of this technique, the helioseismically inferred active region detections are compared with far-side observations of solar activity from the Solar TErrestrial RElations Observatory (STEREO), using brightness in extreme-ultraviolet light (EUV) as a proxy for magnetic fields. Two approaches are used to analyze nine months of STEREO and HMI data. In the first approach, we determine whether new large east-limb active regions are detected seismically on the far side before they appear Earth side and study how the detectability of these regions relates to their EUV intensity. We find that while there is a range of EUV intensities for which far-side regions may or may not be detected seismically, there appears to be an intensity level above which they are almost always detected and an intensity level below which they are never detected. In the second approach, we analyze concurrent extreme-ultraviolet and helioseismic far-side observations. We find that 100% (22) of the far-side seismic regions correspond to an extreme-ultraviolet plage; 95% of these either became a NOAA-designated magnetic region when reaching the east limb or were one before crossing to the far side. A low but significant correlation is found between the seismic signature strength and the EUV intensity of a far-side region.

  13. The creation of radiation dominated plasmas using laboratory extreme ultra-violet lasers

    NASA Astrophysics Data System (ADS)

    Tallents, G. J.; Wilson, S.; West, A.; Aslanyan, V.; Lolley, J.; Rossall, A. K.

    2017-06-01

    Ionization in experiments where solid targets are irradiated by high irradiance extreme ultra-violet (EUV) lasers is examined. Free electron degeneracy effects on ionization in the presence of a high EUV flux of radiation is shown to be important. Overlap of the physics of such plasmas with plasma material under compression in indirect inertial fusion is explored. The design of the focusing optics needed to achieve high irradiance (up to 1014 Wcm-2) using an EUV capillary laser is presented.

  14. Three new extreme ultraviolet spectrometers on NSTX-U for impurity monitoring

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weller, M. E., E-mail: weller4@llnl.gov; Beiersdorfer, P.; Soukhanovskii, V. A.

    2016-11-15

    Three extreme ultraviolet (EUV) spectrometers have been mounted on the National Spherical Torus Experiment–Upgrade (NSTX-U). All three are flat-field grazing-incidence spectrometers and are dubbed X-ray and Extreme Ultraviolet Spectrometer (XEUS, 8–70 Å), Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS, 190–440 Å), and Metal Monitor and Lithium Spectrometer Assembly (MonaLisa, 50–220 Å). XEUS and LoWEUS were previously implemented on NSTX to monitor impurities from low- to high-Z sources and to study impurity transport while MonaLisa is new and provides the system increased spectral coverage. The spectrometers will also be a critical diagnostic on the planned laser blow-off system for NSTX-U, which will bemore » used for impurity edge and core ion transport studies, edge-transport code development, and benchmarking atomic physics codes.« less

  15. State-of-the-art EUV materials and processes for the 7nm node and beyond

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Vockenhuber, Michaela; Mochi, Iacopo; Fallica, Roberto; Tasdemir, Zuhal; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the

  16. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    NASA Astrophysics Data System (ADS)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  17. ON THE ABSENCE OF EUV EMISSION FROM COMET C/2012 S1 (ISON)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryans, Paul; Pesnell, W. Dean

    2016-05-10

    When the sungrazing comet C/2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun’s surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This “null result” is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. Bymore » comparing these properties with those of sungrazing comet C/2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C/2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C/2012 S1 (ISON) was at least a factor of four less than that of C/2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.« less

  18. EUV lithography: NXE platform performance overview

    NASA Astrophysics Data System (ADS)

    Peeters, Rudy; Lok, Sjoerd; Mallman, Joerg; van Noordenburg, Martijn; Harned, Noreen; Kuerz, Peter; Lowisch, Martin; van Setten, Eelco; Schiffelers, Guido; Pirati, Alberto; Stoeldraijer, Judon; Brandt, David; Farrar, Nigel; Fomenkov, Igor; Boom, Herman; Meiling, Hans; Kool, Ron

    2014-04-01

    The first NXE3300B systems have been qualified and shipped to customers. The NXE:3300B is ASML's third generation EUV system and has an NA of 0.33. It succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. Good overlay and imaging performance has been shown on the NXE:3300B system in line with 22nm device requirements. Full wafer CDU performance of <1.5nm for 22nm dense and iso lines at a dose of ~16mJ/cm2 has been achieved. Matched machine overlay (NXE to immersion) of around 3.5nm has been demonstrated on multiple systems. Dense lines have been exposed down to 13nm half pitch, and contact holes down to 17nm half pitch. 10nm node Metal-1 layers have been exposed with a DOF of 120nm, and using single spacer assisted double patterning flow a resolution of 9nm has been achieved. Source power is the major challenge to overcome in order to achieve cost-effectiveness in EUV and enable introduction into High Volume Manufacturing. With the development of the MOPA+prepulse operation of the source, steps in power have been made, and with automated control the sources have been prepared to be used in a preproduction fab environment. Flexible pupil formation is under development for the NXE:3300B which will extend the usage of the system in HVM, and the resolution for the full system performance can be extended to 16nm. Further improvements in defectivity performance have been made, while in parallel full-scale pellicles are being developed. In this paper we will discuss the current NXE:3300B performance, its future enhancements and the recent progress in EUV source performance.

  19. Extreme Ultraviolet Explorer observations of the magnetic cataclysmic variable RE 1938-461

    NASA Technical Reports Server (NTRS)

    Warren, John K.; Vallerga, John V.; Mauche, Christopher W.; Mukai, Koji; Siegmund, Oswald H. W.

    1993-01-01

    The magnetic cataclysmic variable RE 1938-461 was observed by the Extreme Ultraviolet Explorer (EUVE) Deep Survey instrument on 1992 July 8-9 during in-orbit calibration. It was detected in the Lexan/ boron (65-190 A) band, with a quiescent count rate of 0.0062 +/- 0.0017/s, and was not detected in the aluminum/carbon (160-360 A) band. The Lexan/boron count rate is lower than the corresponding ROSAT wide-field camera Lexan/boron count rate. This is consistent with the fact that the source was in a low state during an optical observation performed just after the EUVE observation, whereas it was in an optical high state during the ROSAT observation. The quiescent count rates are consistent with a virtual cessation of accretion. Two transient events lasting about 1 hr occurred during the Lexan/boron pointing, the second at a count rate of 0.050 +/- 0.006/s. This appears to be the first detection of an EUV transient during the low state of a magnetic cataclysmic variable. We propose two possible explanations for the transient events.

  20. The Extreme-ultraviolet Emission from Sun-grazing Comets

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William D.

    2012-01-01

    The Atmospheric Imaging Assembly (AIA) on the Solar Dynamics Observatory has observed two Sun-grazing comets as they passed through the solar atmosphere. Both passages resulted in a measurable enhancement of extreme-ultraviolet (EUV) radiance in several of the AIA bandpasses.We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Molecules in the comet rapidly sublimate as it approaches the Sun. They are then photodissociated by the solar radiation field to create atomic species. Subsequent ionization of these atoms produces a higher abundance of ions than normally present in the corona and results in EUV emission in the wavelength ranges of the AIA telescope passbands.

  1. Designing a Small-Sized Engineering Model of Solar EUV Telescopr for a Korean Satellite

    NASA Astrophysics Data System (ADS)

    Han, Jung-Hoon; Jang, Min-Hwan; Kim, Sang-Joon

    2001-11-01

    For the research of solar EUV (extreme ultraviolet) radiation, we have designed a small-sized engineering model of solar EUV telescope, which is suitable for a Korean satellite. The EUV solar telescope was designed to observe the sun at 584.3Å (He¥°) and 629.7Å (O¥´). The optical system is an f/8 Ritchey-Chrètien, and the effective diameter and focal length are 80§® and 640§®, respectively. The He¥°and O¥´ filters are loaded in a filter wheel. In the detection part, the MCP (MicroChannel Plate) type is Z-stack, and the channel-to-diameter ratio is 40:1. MCP and CCD are connected by fiber optic taper. A commercial optical design software is used for the analysis of the optical system design.

  2. Telescience - Concepts and contributions to the Extreme Ultraviolet Explorer mission

    NASA Technical Reports Server (NTRS)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-01-01

    It is shown how the contradictory goals of low-cost and fast data turnaround characterizing the Extreme Ultraviolet Explorer (EUVE) mission can be achieved via the early use of telescience style transparent tools and simulations. The use of transparent tools reduces the parallel development of capability while ensuring that valuable prelaunch experience is not lost in the operations phase. Efforts made to upgrade the 'EUVE electronics' simulator are described.

  3. Extreme ultraviolet reflectivity studies of gold on glass and metal substrates

    NASA Technical Reports Server (NTRS)

    Jelinsky, Sharon R.; Malina, Roger F.; Jelinsky, Patrick

    1988-01-01

    The paper reports measurements of the extreme ultraviolet reflectivity of gold from 44 to 920 A at grazing incidence. Gold was deposited using vacuum evaporation and electroplating on substrates of glass and polished nickel, respectively. Measurements are also presented of the extreme ultraviolet reflectivity of electroless nickel in the same wavelength region, where one of the polished nickel substrates was used as a sample. Derived optical constants for evaporated and electroplated gold and electroless nickel are presented. Additional studies of the effects of various contaminants on the EUV reflectivity are also reported. The variations of the optical constants are discussed in terms of density variations, surface roughness and contamination effects. These results ae reported as part of studies for the Extreme Ultraviolet Explorer satellite program to determine acceptance criteria for the EUV optics, contamination budgets and calibration plans.

  4. A battery power model for the EUVE spacecraft

    NASA Technical Reports Server (NTRS)

    Yen, Wen L.; Littlefield, Ronald G.; Mclean, David R.; Tuchman, Alan; Broseghini, Todd A.; Page, Brenda J.

    1993-01-01

    This paper describes a battery power model that has been developed to simulate and predict the behavior of the 50 ampere-hour nickel-cadmium battery that supports the Extreme Ultraviolet Explorer (EUVE) spacecraft in its low Earth orbit. First, for given orbit, attitude, solar array panel and spacecraft load data, the model calculates minute-by-minute values for the net power available for charging the battery for a user-specified time period (usually about two weeks). Next, the model is used to calculate minute-by-minute values for the battery voltage, current and state-of-charge for the time period. The model's calculations are explained for its three phases: sunrise charging phase, constant voltage phase, and discharge phase. A comparison of predicted model values for voltage, current and state-of-charge with telemetry data for a complete charge-discharge cycle shows good correlation. This C-based computer model will be used by the EUVE Flight Operations Team for various 'what-if' scheduling analyses.

  5. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  6. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    PubMed

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  7. EUV Waves Driven by the Sudden Expansion of Transequatorial Loops Caused by Coronal Jets

    NASA Astrophysics Data System (ADS)

    Shen, Yuandeng; Tang, Zehao; Miao, Yuhu; Su, Jiangtao; Liu, Yu

    2018-06-01

    We present two events to study the driving mechanism of extreme-ultraviolet (EUV) waves that are not associated with coronal mass ejections (CMEs), by using high-resolution observations taken by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Observational results indicate that the observed EUV waves were accompanied by flares and coronal jets, but not the CMEs that were regarded as drivers of most EUV waves in previous studies. In the first case, it is observed that a coronal jet is ejected along a transequatorial loop system at a plane-of-the-sky (POS) speed of 335 ± 22 km s{}-1; in the meantime, an arc-shaped EUV wave appeared on the eastern side of the loop system. In addition, the EUV wave further interacted with another interconnecting loop system and launched a fast propagating (QFP) magnetosonic wave along the loop system, which had a period of 200 s and a speed of 388 ± 65 km s{}-1, respectively. In the second case, we observed a coronal jet that ejected at a POS speed of 282 ± 44 km s{}-1 along a transequatorial loop system as well as the generation of bright EUV waves on the eastern side of the loop system. Based on the observational results, we propose that the observed EUV waves on the eastern side of the transequatorial loop systems are fast-mode magnetosonic waves and that they are driven by the sudden lateral expansion of the transequatorial loop systems due to the direct impingement of the associated coronal jets, while the QFP wave in the fist case formed due to the dispersive evolution of the disturbance caused by the interaction between the EUV wave and the interconnecting coronal loops. It is noted that EUV waves driven by sudden loop expansions have shorter lifetimes than those driven by CMEs.

  8. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Ê/hr for sputtered carbon and 40 Ê/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  9. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  10. Plasma-assisted oxide removal from ruthenium-coated EUV optics

    NASA Astrophysics Data System (ADS)

    Dolgov, A.; Lee, C. J.; Bijkerk, F.; Abrikosov, A.; Krivtsun, V. M.; Lopaev, D.; Yakushev, O.; van Kampen, M.

    2018-04-01

    An experimental study of oxide reduction at the surface of ruthenium layers on top of multilayer mirrors and thin Ru/Si films is presented. Oxidation and reduction processes were observed under conditions close to those relevant for extreme ultraviolet lithography. The oxidized ruthenium surface was exposed to a low-temperature hydrogen plasma, similar to the plasma induced by extreme ultraviolet radiation. The experiments show that hydrogen ions are the main reducing agent. Furthermore, the addition of hydrogen radicals increases the reduction rate beyond that expected from simple flux calculations. We show that low-temperature hydrogen plasmas can be effective for reducing oxidized top surfaces. Our proof-of-concept experiments show that an in situ, EUV-generated plasma cleaning technology is feasible.

  11. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    NASA Astrophysics Data System (ADS)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  12. Manufacturability improvements in EUV resist processing toward NXE:3300 processing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Shimoaoki, Takeshi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie; Shimura, Satoru

    2014-03-01

    As the design rule of semiconductor process gets finer, extreme ultraviolet lithography (EUVL) technology is aggressively studied as a process for 22nm half pitch and beyond. At present, the studies for EUV focus on manufacturability. It requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. In the first half of 2013, a CLEAN TRACKTM LITHIUS ProTMZ-EUV was installed at imec for POR development in preparation of the ASML NXE:3300. This next generation coating/developing system is equipped with state of the art defect reduction technology. This tool with advanced functions can achieve low defect levels. This paper reports on the progress towards manufacturing defectivity levels and latest optimizations towards the NXE:3300 POR for both lines/spaces and contact holes at imec.

  13. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Utsumi, Yoshiyuki; Ohomori, Katsumi; Kozawa, Takahiro

    2014-11-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub-10 nm. An anion-bound polymer (ABP), in which the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using electron (pulse), γ, and EUV radiolyses. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The major path for proton generation in the absence of effective proton sources is considered to be the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through hole transfer to the decomposition products of onium salts.

  14. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    NASA Astrophysics Data System (ADS)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  15. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  16. Novel EUV mask black border suppressing EUV and DUV OoB light reflection

    NASA Astrophysics Data System (ADS)

    Ito, Shin; Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Maruyama, Shingo; Watanabe, Genta; Yoshida, Itaru; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-05-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel BB called `Hybrid Black Border' (HBB) has been developed to eliminate EUV and DUV OOB light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern, defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the corners of the die. A CD uniformity budget including

  17. EUV spectroscopy in astrophysics: The role of compact objects

    NASA Astrophysics Data System (ADS)

    Wood, K. S.; Kowalski, M. P.; Cruddace, R. G.; Barstow, M. A.

    2006-01-01

    The bulk of radiation from million-degree plasmas is emitted at EUV wavelengths. Such plasmas are ubiquitous in astrophysics, and examples include the atmospheres of white dwarfs, accretion phenomena in cataclysmic variables (CVs) and some active galactic nuclei (AGN), the coronae of active stars, and the interstellar medium (ISM) of our own galaxy as well as of others. Internally, white dwarfs are formally analogous to neutron stars, being stellar configurations where the thermal contribution to support is secondary. Both stellar types have various intrinsic and environmental parameters. Comparison of such analogous systems using scaled parameters can be fruitful. Source class characterization is mature enough that such analogies can be used to compare theoretical ideas across a wide dynamic range in parameters, one example being theories of quasiperiodic oscillations. However, the white dwarf side of this program is limited by the available photometry and spectroscopy at EUV wavelengths, where there exist critical spectral features that contain diagnostic information often not available at other wavelengths. Moreover, interstellar absorption makes EUV observations challenging. Results from an observation of the hot white dwarf G191-B2B are presented to demonstrate the promise of high-resolution EUV spectroscopy. Two types of CVs, exemplified by AM Her and EX Hya, are used to illustrate blending of spectroscopy and timing measurements. Dynamical timescales and envisioned performance parameters of next-generation EUV satellites (effective area >20 cm 2, spectral resolution >10,000) make possible a new level of source modeling. The importance of the EUV cannot be overlooked given that observations are continually being pushed to cosmological distances, where the spectral energy distributions of X-ray bright AGNs, for example, will have their maxima redshifted into the EUV. Sometimes wrongly dismissed for limitations of small bandwidth or local view from optical

  18. The evaluation of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.

    1987-01-01

    A high-efficiency, extreme ultraviolet (EUV) imaging spectrometer is constructed and tested. The spectrometer employs a concave toroidal grating illuminated at normal incidence in a Rowland circle mounting and has only one reflecting surface. The toroidal grating has been fabricated by a new technique employing an elastically-deformable sub-master grating replicated in a spherical form and then mechanically distorted to produce the desired aspect ratio of the toroidal surface for stigmatic imaging over the selected wavelength range. The fixed toroidal grating used in the spectrometer is then replicated from this surface. Photographic tests and initial photoelectric tests with a two-dimensional, pulse-counting detector system verify the image quality of the toroidal grating at wavelengths near 600 A. The results of these tests and the basic designs of two instruments which could employ the imaging spectrometer for astrophysical investigations in space are described; i.e., a high-resolution EUV spectroheliometer for studies of the solar chromosphere, transition region, and corona; and an EUV spectroscopic telescope for studies of non-solar objects.

  19. Extreme ultraviolet photoionization of aldoses and ketoses

    NASA Astrophysics Data System (ADS)

    Shin, Joong-Won; Dong, Feng; Grisham, Michael E.; Rocca, Jorge J.; Bernstein, Elliot R.

    2011-04-01

    Gas phase monosaccharides (2-deoxyribose, ribose, arabinose, xylose, lyxose, glucose galactose, fructose, and tagatose), generated by laser desorption of solid sample pellets, are ionized with extreme ultraviolet photons (EUV, 46.9 nm, 26.44 eV). The resulting fragment ions are analyzed using a time of flight mass spectrometer. All aldoses yield identical fragment ions regardless of size, and ketoses, while also generating same ions as aldoses, yields additional features. Extensive fragmentation of the monosaccharides is the result the EUV photons ionizing various inner valence orbitals. The observed fragmentation patterns are not dependent upon hydrogen bonding structure or OH group orientation.

  20. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  1. GOES-R SUVI EUV Flatfields Generated Using Boustrophedon Scans

    NASA Astrophysics Data System (ADS)

    Shing, L.; Edwards, C.; Mathur, D.; Vasudevan, G.; Shaw, M.; Nwachuku, C.

    2017-12-01

    The Solar Ultraviolet Imager (SUVI) is mounted on the Solar Pointing Platform (SPP) of the Geostationary Operational Environmental Satellite, GOES-R. SUVI is a Generalized Cassegrain telescope with a large field of view that employs multilayer coatings optimized to operate in six extreme ultraviolet (EUV) narrow bandpasses centered at 9.4, 13.1, 17.1, 19.5, 28.4 and 30.4 nm. The SUVI CCD flatfield response was determined using two different techniques; The Kuhn-Lin-Lorentz (KLL) Raster and a new technique called, Dynamic Boustrophedon Scans. The new technique requires less time to collect the data and is also less sensitive to Solar features compared with the KLL method. This paper presents the flatfield results of the SUVI using this technique during Post Launch Testing (PLT).

  2. SDO/AIA AND HINODE/EIS OBSERVATIONS OF INTERACTION BETWEEN AN EUV WAVE AND ACTIVE REGION LOOPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Liheng; Zhang, Jun; Li, Ting

    2013-09-20

    We present detailed analysis of an extreme-ultraviolet (EUV) wave and its interaction with active region (AR) loops observed by the Solar Dynamics Observatory/Atmospheric Imaging Assembly and the Hinode EUV Imaging Spectrometer (EIS). This wave was initiated from AR 11261 on 2011 August 4 and propagated at velocities of 430-910 km s{sup –1}. It was observed to traverse another AR and cross over a filament channel on its path. The EUV wave perturbed neighboring AR loops and excited a disturbance that propagated toward the footpoints of these loops. EIS observations of AR loops revealed that at the time of the wavemore » transit, the original redshift increased by about 3 km s{sup –1}, while the original blueshift decreased slightly. After the wave transit, these changes were reversed. When the EUV wave arrived at the boundary of a polar coronal hole, two reflected waves were successively produced and part of them propagated above the solar limb. The first reflected wave above the solar limb encountered a large-scale loop system on its path, and a secondary wave rapidly emerged 144 Mm ahead of it at a higher speed. These findings can be explained in the framework of a fast-mode magnetosonic wave interpretation for EUV waves, in which observed EUV waves are generated by expanding coronal mass ejections.« less

  3. CO2 laser drives extreme ultraviolet nano-lithography — second life of mature laser technology

    NASA Astrophysics Data System (ADS)

    Nowak, K. M.; Ohta, T.; Suganuma, T.; Fujimoto, J.; Mizoguchi, H.; Sumitani, A.; Endo, A.

    2013-12-01

    It was shown both theoretically and experimentally that nanosecond order laser pulses at 10.6 micron wavelength were superior for driving the Sn plasma extreme ultraviolet (EUV) source for nano-lithography for the reasons of higher conversion efficiency, lower production of debris and higher average power levels obtainable in CO2 media without serious problems of beam distortions and nonlinear effects occurring in competing solid-state lasers at high intensities. The renewed interest in such pulse format, wavelength, repetition rates in excess of 50 kHz and average power levels in excess of 18 kiloWatt has sparked new opportunities for a matured multi-kiloWatt CO2 laser technology. The power demand of EUV source could be only satisfied by a Master-Oscillator-Power-Amplifier system configuration, leading to a development of a new type of hybrid pulsed CO2 laser employing a whole spectrum of CO2 technology, such as fast flow systems and diffusion-cooled planar waveguide lasers, and relatively recent quantum cascade lasers. In this paper we review briefly the history of relevant pulsed CO2 laser technology and the requirements for multi-kiloWatt CO2 laser, intended for the laser-produced plasma EUV source, and present our recent advances, such as novel solid-state seeded master oscillator and efficient multi-pass amplifiers built on planar waveguide CO2 lasers.

  4. Stability and imaging of the ASML EUV alpha demo tool

    NASA Astrophysics Data System (ADS)

    Hermans, Jan V.; Baudemprez, Bart; Lorusso, Gian; Hendrickx, Eric; van Dijk, Andre; Jonckheere, Rik; Goethals, Anne-Marie

    2009-03-01

    Extreme Ultra-Violet (EUV) lithography is the leading candidate for semiconductor manufacturing of the 22nm technology node and beyond, due to the very short wavelength of 13.5nm. However, reducing the wavelength adds complexity to the lithographic process. The impact of the EUV specific conditions on lithographic performance needs to be understood, before bringing EUV lithography into pre-production. To provide early learning on EUV, an EUV fullfield scanner, the Alpha Demo Tool (ADT) from ASML was installed at IMEC, using a Numerical Aperture (NA) of 0.25. In this paper we report on different aspects of the ADT: the imaging and overlay performance and both short and long-term stability. For 40nm dense Lines-Spaces (LS), the ADT shows an across field overlapping process window of 270nm Depth Of Focus (DOF) at 10% Exposure Latitude (EL) and a wafer CD Uniformity (CDU) of 3nm 3σ, without any corrections for process or reticle. The wafer CDU is correlated to different factors that are known to influence the CD fingerprint from traditional lithography: slit intensity uniformity, focus plane deviation and reticle CD error. Taking these contributions into account, the CD through slit fingerprint for 40nm LS is simulated with excellent agreement to experimental data. The ADT shows good CD stability over 9 months of operation, both intrafield and across wafer. The projection optics reflectivity has not degraded over 9 months. Measured overlay performance with respect to a dry tool shows |Mean|+3σ below 20nm with more correction potential by applying field-by-field corrections (|Mean|+3σ <=10nm). For 22nm SRAM application, both contact hole and metal layer were printed in EUV with 10% CD and 15nm overlay control. Below 40nm, the ADT shows good wafer CDU for 30nm dense and isolated lines (on the same wafer) and 38nm dense Contact Holes (CH). First 28nm dense line CDU data are achieved. The results indicate that the ADT can be used effectively for EUV process development

  5. Extreme ultraviolet patterning of tin-oxo cages

    NASA Astrophysics Data System (ADS)

    Haitjema, Jarich; Zhang, Yu; Vockenhuber, Michaela; Kazazis, Dimitrios; Ekinci, Yasin; Brouwer, Albert M.

    2017-07-01

    We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These cage molecules were already known to function as a negative tone photoresist for EUV radiation, but in this work, we significantly optimized their performance. Our results show that sensitivity and resolution are only meaningful photoresist parameters if the process conditions are optimized. We focus on contrast curves of the materials using large area EUV exposures and patterning of the cages using EUV interference lithography. It is shown that baking steps, such as postexposure baking, can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. A layer thickness increase reduced the necessary dose to induce a solubility change but decreased the patterning quality. The patterning experiments were affected by minor changes in processing conditions such as an increased rinsing time. In addition, we show that the anions of the cage can influence the sensitivity and quality of the patterning, probably through their effect on physical properties of the materials.

  6. Broadband extreme ultraviolet probing of transient gratings in vanadium dioxide

    DOE PAGES

    Sistrunk, Emily; Grilj, Jakob; Jeong, Jaewoo; ...

    2015-02-11

    Nonlinear spectroscopy in the extreme ultraviolet (EUV) and soft x-ray spectral range offers the opportunity for element selective probing of ultrafast dynamics using core-valence transitions (Mukamel et al., Acc. Chem. Res. 42, 553 (2009)). The study demonstrate a step on this path showing core-valence sensitivity in transient grating spectroscopy with EUV probing. We study the optically induced insulator-to-metal transition (IMT) of a VO 2 film with EUV diffraction from the optically excited sample. The VO 2 exhibits a change in the 3p-3d resonance of V accompanied by an acoustic response. Due to the broadband probing we are able to separatemore » the two features.« less

  7. Bottom Extreme-Ultraviolet-Sensitive Coating for Evaluation of the Absorption Coefficient of Ultrathin Film

    NASA Astrophysics Data System (ADS)

    Hijikata, Hayato; Kozawa, Takahiro; Tagawa, Seiichi; Takei, Satoshi

    2009-06-01

    A bottom extreme-ultraviolet-sensitive coating (BESC) for evaluation of the absorption coefficients of ultrathin films such as extreme ultraviolet (EUV) resists was developed. This coating consists of a polymer, crosslinker, acid generator, and acid-responsive chromic dye and is formed by a conventional spin-coating method. By heating the film after spin-coating, a crosslinking reaction is induced and the coating becomes insoluble. A typical resist solution can be spin-coated on a substrate covered with the coating film. The evaluation of the linear absorption coefficients of polymer films was demonstrated by measuring the EUV absorption of BESC substrates on which various polymers were spin-coated.

  8. An investigation of solar erythemal ultraviolet radiation at two sites in tourist attraction areas of Thailand

    NASA Astrophysics Data System (ADS)

    Buntoung, Sumaman; Pattarapanitchai, Somjet; Wattan, Rungrat; Masiri, Itsara; Promsen, Worrapass; Tohsing, Korntip; Janjai, Serm

    2013-05-01

    Islands on the southern coasts of Thailand are famous attractions for local and foreign tourists. Tourists usually expose their skins to solar radiation for tanning. Thus information on solar ultraviolet radiation (UV) is of importance for tourists to protect themselves from adverse effects of UV. In this work, solar erythemal ultraviolet radiation (EUV) at two touristic sites namely Samui island (9.451°N, 100.033°E) and Phuket island (8.104°N, 98.304°E) was investigated. In investigating EUV, broadband UV radiometers (Kipp & Zonen, model UVS-B-C) were installed at existing meteorological stations in Samui and Phuket islands. A one-year period of EUV data from these two sites was analyzed. The level of UV index at these sites was studied. The values of UV index higher than 12 at noon time of clear days are usually found in the summer at both sites. Seasonal variation of EUV at both sites was investigated. It was found that the tropical monsoons have strong influence on this variation. Finally, global broadband radiation measured at the sites was also used to establish a correlation between EUV and global broadband radiation. Higher correlation was found for the case of clear sky, as compared to the case of cloudy sky. The correlation obtained from this analysis can be used to estimate EUV from global broadband radiation at these two sites.

  9. A Change in the Solar He II EUV Global Network Structure as an Indicator of the Geo-Effectiveness of Solar Minima

    NASA Technical Reports Server (NTRS)

    Didkovsky, L.; Gurman, J. B.

    2013-01-01

    Solar activity during 2007 - 2009 was very low, causing anomalously low thermospheric density. A comparison of solar extreme ultraviolet (EUV) irradiance in the He II spectral band (26 to 34 nm) from the Solar Extreme ultraviolet Monitor (SEM), one of instruments on the Charge Element and Isotope Analysis System (CELIAS) on board the Solar and Heliospheric Observatory (SOHO) for the two latest solar minima showed a decrease of the absolute irradiance of about 15 +/- 6 % during the solar minimum between Cycles 23 and 24 compared with the Cycle 22/23 minimum when a yearly running-mean filter was used. We found that some local, shorter-term minima including those with the same absolute EUV flux in the SEM spectral band show a higher concentration of spatial power in the global network structure from the 30.4 nm SOHO/Extreme ultraviolet Imaging Telescope (EIT) images for the local minimum of 1996 compared with the minima of 2008 - 2011.We interpret this higher concentration of spatial power in the transition region's global network structure as a larger number of larger-area features on the solar disk. These changes in the global network structure during solar minima may characterize, in part, the geo-effectiveness of the solar He II EUV irradiance in addition to the estimations based on its absolute levels.

  10. It's Time For A New EUV Mission

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wood, K. S.; Barstow, M. A.; Cruddace, R. G.

    2010-01-01

    The J-PEX high-resolution EUV spectrometer has made a breakthrough in capability with an effective area of 7 cm2 (220-245 Å) and resolving power of 4000, which exceed EUVE by factors of 7 and 20 respectively, and cover a range beyond the 170-Å cutoff of the Chandra LETG. The EUV includes critical spectral features containing diagnostic information often not available at other wavelengths (e.g., He II Ly series), and the bulk of radiation from million degree plasmas is emitted in the EUV. Such plasmas are ubiquitous, and examples include the atmospheres of white dwarfs; accretion phenomena in young stars, CVs and AGN; stellar coronae; and the ISM of our own galaxy and of others. However, sensitive EUV spectroscopy of high resolving power is required to resolve source spectral lines and edges unambiguously, to identify features produced by the intervening ISM, and to measure line profiles and Doppler shifts. This allows exploitation of the full range of plasma diagnostic techniques developed in laboratory and solar physics. J-PEX has flown twice on NASA sounding rockets. In 2001 we observed the isolated white dwarf G191-B2B and detected both ISM and photospheric lines. In 2008 we successfully observed the binary white dwarf Feige 24, but observation time is severely limited with sounding rockets. NASA has approved no new EUV mission, but it is time for one. Here we describe the scientific case for high-resolution EUV spectroscopy, summarize the technology that makes such measurements practical, and present a concept for a 3-month orbital mission, in which J-PEX is modified for a low-cost orbital mission to acquire sensitive high-resolution spectra for 30 white dwarfs, making an important contribution to the study of white dwarf evolution and hence the chemical balance of the Galaxy, and to the understanding of structure in the LISM.

  11. Estimation of optimum density and temperature for maximum efficiency of tin ions in Z discharge extreme ultraviolet sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Masnavi, Majid; Nakajima, Mitsuo; Hotta, Eiki

    Extreme ultraviolet (EUV) discharge-based lamps for EUV lithography need to generate extremely high power in the narrow spectrum band of 13.5{+-}0.135 nm. A simplified collisional-radiative model and radiative transfer solution for an isotropic medium were utilized to investigate the wavelength-integrated light outputs in tin (Sn) plasma. Detailed calculations using the Hebrew University-Lawrence Livermore atomic code were employed for determination of necessary atomic data of the Sn{sup 4+} to Sn{sup 13+} charge states. The result of model is compared with experimental spectra from a Sn-based discharge-produced plasma. The analysis reveals that considerably larger efficiency compared to the so-called efficiency of amore » black-body radiator is formed for the electron density {approx_equal}10{sup 18} cm{sup -3}. For higher electron density, the spectral efficiency of Sn plasma reduces due to the saturation of resonance transitions.« less

  12. Characterization of extreme ultraviolet laser ablation mass spectrometry for actinide trace analysis and nanoscale isotopic imaging

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Green, Tyler; Kuznetsov, Ilya; Willingham, David

    The purpose of this research was to characterize Extreme Ultraviolet Time-of-Flight (EUV TOF) Laser Ablation Mass Spectrometry for high spatial resolution elemental and isotopic analysis. We compare EUV TOF results with Secondary Ionization Mass Spectrometry (SIMS) to orient the EUV TOF method within the overall field of analytical mass spectrometry. Using the well-characterized NIST 61x glasses, we show that the EUV ionization approach produces relatively few molecular ion interferences in comparison to TOF SIMS. We demonstrate that the ratio of element ion to element oxide ion is adjustable with EUV laser pulse energy and that the EUV TOF instrument hasmore » a sample utilization efficiency of 0.014%. The EUV TOF system also achieves a lateral resolution of 80 nm and we demonstrate this lateral resolution with isotopic imaging of closely spaced particles or uranium isotopic standard materials.« less

  13. Driving down defect density in composite EUV patterning film stacks

    NASA Astrophysics Data System (ADS)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  14. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  15. EUVS Sounding Rocket Payload

    NASA Technical Reports Server (NTRS)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  16. Demonstration of the First 4H-SiC EUV Detector with Large Detection Area

    NASA Technical Reports Server (NTRS)

    Xin, Xiaobin; Yan, Feng; Koeth, Timothy W.; Hu, Jun; Zhao, Jian H.

    2005-01-01

    Ultraviolet (UV) and Extreme Ultraviolet (EUV) detectors are very attractive in astronomy, photolithography and biochemical applications. For EUV applications, most of the semiconductor detectors based on PN or PIN structures suffer from the very short penetration depth. Most of the carries are absorbed at the surface and recombined there due to the high surface recombination before reach the depletion region, resulting very low quantum efficiency. On the other hand, for Schottky structures, the active region starts from the surface and carriers generated from the surface can be efficiently collected. 4H-Sic has a bandgap of 3.26eV and is immune to visible light background noise. Also, 4H-Sic detectors usually have very good radiation hardness and very low noise, which is very important for space applications where the signal is very weak. The E W photodiodes presented in this paper are based on Schottky structures. Platinum (Pt) and Nickel (Ni) are selected as the Schottky contact metals, which have the highest electron work functions (5.65eV and 5.15eV, respectively) among all the known metals on 4H-Sic.

  17. The Nature of the Flaring EUVE Companion to HD 43162

    NASA Technical Reports Server (NTRS)

    Kulkarni, Shrinivas R.

    2005-01-01

    The purpose of our program was to observe and characterize the companion to HD 43162, EUVE J0614-2354, which (serendipitously) experienced an enormous flare event during our EUVE observation of HD 43162, one of the nearby solar analogs that we observed during our survey of this population. Our observation was carried out and the data have been received and reduced. We are able to identify EUVE J0614-2354 in both the X-ray (EPIC MOS + PN) and the UV (OM) data, which provides a sub-arcsecond position for this source. Our findings are consistent with the analysis of Christian et al. (2003a,b), who identify EUVE J0614-2354 with a coronally-active M-dwarf star at distance d = 15 plus or minus 5pc. The X-ray spectrum from the EPIC data are also consistent with this identification.

  18. Testing the Interstellar Wind Helium Flow Direction with Galileo Euvs Data

    NASA Astrophysics Data System (ADS)

    Pryor, W. R.; Simmons, K. E.; Ajello, J. M.; Tobiska, W. K.; Retherford, K. D.; Stern, S. A.; Feldman, P. D.; Frisch, P. C.; Bzowski, M.; Grava, C.

    2014-12-01

    Forty years of measurements of the flow of interstellar helium through the heliosphere suggest that variations of the flow direction with time are possible. We will model Galileo Extreme Ultraviolet Spectrometer (EUVS) data to determine the best-fitting flow direction and compare it to values obtained by other spacecraft. The Galileo EUVS (Hord et al., 1992) was mounted on the spinning part of the spacecraft and obtained interstellar wind hydrogen Lyman-alpha 121.6 nm and helium 58.4 nm data on great circles passing near the ecliptic poles during the interplanetary cruise phase of the mission and also during the Jupiter orbital phase of the mission. The Galileo hydrogen cruise data have been previously published (Hord et al., 1991, Pryor et al., 1992; 1996; 2001), but the helium data have not. Our model was previously used by Ajello et al., 1978, 1979 to model Mariner 10 interstellar wind helium data, and by Stern et al., 2012 and Feldman et al., 2012 to model the interplanetary helium background near the moon in Lunar Reconnaissance Orbiter (LRO) Lyman-alpha Mapping Project (LAMP) data. The model has been updated to include recent determinations of daily helium 58.4 nm solar flux variations and helium losses due to EUV photoionization and electron impact ionization.

  19. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    NASA Technical Reports Server (NTRS)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  20. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  1. Vacuum ultraviolet instrumentation for solar irradiance and thermospheric airglow

    NASA Technical Reports Server (NTRS)

    Woods, Thomas N.; Rottman, Gary J.; Bailey, Scott M.; Solomon, Stanley C.

    1993-01-01

    A NASA sounding rocket experiment was developed to study the solar extreme ultraviolet (EUV) spectral irradiance and its effect on the upper atmosphere. Both the solar flux and the terrestrial molecular nitrogen via the Lyman-Birge-Hopfield bands in the far ultraviolet (FUV) were measured remotely from a sounding rocket on October 27, 1992. The rocket experiment also includes EUV instruments from Boston University (Supriya Chakrabarti), but only the National Center for Atmospheric Research (NCAR)/University of Colorado (CU) four solar instruments and one airglow instrument are discussed here. The primary solar EUV instrument is a 1/4 meter Rowland circle EUV spectrograph which has flown on three rockets since 1988 measuring the solar spectral irradiance from 30 to 110 nm with 0.2 nm resolution. Another solar irradiance instrument is an array of six silicon XUV photodiodes, each having different metallic filters coated directly on the photodiodes. This photodiode system provides a spectral coverage from 0.1 to 80 nm with about 15 nm resolution. The other solar irradiance instrument is a silicon avalanche photodiode coupled with pulse height analyzer electronics. This avalanche photodiode package measures the XUV photon energy providing a solar spectrum from 50 to 12,400 eV (25 to 0.1 nm) with an energy resolution of about 50 eV. The fourth solar instrument is an XUV imager that images the sun at 17.5 nm with a spatial resolution of 20 arc-seconds. The airglow spectrograph measures the terrestrial FUV airglow emissions along the horizon from 125 to 160 nm with 0.2 nm spectral resolution. The photon-counting CODACON detectors are used for three of these instruments and consist of coded arrays of anodes behind microchannel plates. The one-dimensional and two-dimensional CODACON detectors were developed at CU by Dr. George Lawrence. The pre-flight and post-flight photometric calibrations were performed at our calibration laboratory and at the Synchrotron Ultraviolet

  2. The Dual-channel Extreme Ultraviolet Continuum Experiment: Sounding Rocket EUV Observations of Local B Stars to Determine Their Potential for Supplying Intergalactic Ionizing Radiation

    NASA Astrophysics Data System (ADS)

    Erickson, Nicholas; Green, James C.; France, Kevin; Stocke, John T.; Nell, Nicholas

    2018-06-01

    We describe the scientific motivation and technical development of the Dual-channel Extreme Ultraviolet Continuum Experiment (DEUCE). DEUCE is a sounding rocket payload designed to obtain the first flux-calibrated spectra of two nearby B stars in the EUV 650-1150Å bandpass. This measurement will help in understanding the ionizing flux output of hot B stars, calibrating stellar models and commenting on the potential contribution of such stars to reionization. DEUCE consists of a grazing incidence Wolter II telescope, a normal incidence holographic grating, and the largest (8” x 8”) microchannel plate detector ever flown in space, covering the 650-1150Å band in medium and low resolution channels. DEUCE will launch on December 1, 2018 as NASA/CU sounding rocket mission 36.331 UG, observing Epsilon Canis Majoris, a B2 II star.

  3. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  4. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  5. Inter-Comparison between July 24, 2014 EUV Data from NASA Sounding Rocket 36.289 and Concurrent Measurements from Orbital Solar Observatories

    NASA Astrophysics Data System (ADS)

    Didkovsky, L. V.; Wieman, S. R.; Judge, D. L.

    2014-12-01

    Sounding rocket mission NASA 36.289 Didkovsky provided solar EUV irradiance measurements from four instruments built at the USC Space Sciences Center: the Rare Gas Ionization Cell (RGIC), the Solar Extreme ultraviolet Monitor (SEM), the Dual Grating Spectrometer (DGS), and the Optics-Free Spectrometer (OFS), thus meeting the mission comprehensive success criteria. These sounding rocket data allow us to inter-compare the observed absolute EUV irradiance with the data taken at the same time from the SOHO and SDO solar observatories. The sounding rocket data from the two degradation-free instruments (DGS and OFS) can be used to verify the degradation rates of SOHO and SDO EUV channels and serve as a flight-proven prototypes for future improvements of degradation-free instrumentation for solar physics.

  6. MoRu/Be multilayers for extreme ultraviolet applications

    DOEpatents

    Bajt, Sasa C.; Wall, Mark A.

    2001-01-01

    High reflectance, low intrinsic roughness and low stress multilayer systems for extreme ultraviolet (EUV) lithography comprise amorphous layers MoRu and crystalline Be layers. Reflectance greater than 70% has been demonstrated for MoRu/Be multilayers with 50 bilayer pairs. Optical throughput of MoRu/Be multilayers can be 30-40% higher than that of Mo/Be multilayer coatings. The throughput can be improved using a diffusion barrier to make sharper interfaces. A capping layer on the top surface of the multilayer improves the long-term reflectance and EUV radiation stability of the multilayer by forming a very thin native oxide that is water resistant.

  7. EUVE observations of Algol: Detection of a continuum and implications for the coronal (Fe/H) abundance

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.; Lemen, James R.; Schmitt, Jurgen H. M. M.; Pye, John P.

    1995-01-01

    We report results from the first extreme ultraviolet spectrum of the prototypical eclipsing binary Algol (beta Per), obtained with the spectrometers on the Extreme Ultraviolet Explorer (EUVE). The Algol spectrum in the 80-350 A range is dominated by emission lines of Fe XVI-XXIV, and the He II 304 A line. The Fe emission is characteristic of high-temperature plasma at temperatures up to at least log T approximately 7.3 K. We have successfully modeled the observed quiescent spectrum using a continuous emission measure distribution with the bulk of the emitting material at log T greater than 6.5. We are able to adequately fit both the coronal lines and continuum data with a cosmic abundance plasma, but only if Algol's quiescent corona is dominated by material at log T greater than 7.5, which is physically ruled out by prior X-ray observations of the quiescent Algol spectrum. Since the coronal (Fe/H) abundance is the principal determinant of the line-to-continuum ratio in the EUV, allowing the abundance to be a free parameter results in models with a range of best-fit abundances approximately = 15%-40% of solar photospheric (Fe/H). Since Algol's photospheric (Fe/H) appears to be near-solar, the anomalous EUV line-to-continuum ratio could either be the result of element segregation in the coronal formation process, or other, less likely mechanisms that may enhance the continuum with respect to the lines.

  8. Automated Identification of Coronal Holes from Synoptic EUV Maps

    NASA Astrophysics Data System (ADS)

    Hamada, Amr; Asikainen, Timo; Virtanen, Ilpo; Mursula, Kalevi

    2018-04-01

    Coronal holes (CHs) are regions of open magnetic field lines in the solar corona and the source of the fast solar wind. Understanding the evolution of coronal holes is critical for solar magnetism as well as for accurate space weather forecasts. We study the extreme ultraviolet (EUV) synoptic maps at three wavelengths (195 Å/193 Å, 171 Å and 304 Å) measured by the Solar and Heliospheric Observatory/Extreme Ultraviolet Imaging Telescope (SOHO/EIT) and the Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) instruments. The two datasets are first homogenized by scaling the SDO/AIA data to the SOHO/EIT level by means of histogram equalization. We then develop a novel automated method to identify CHs from these homogenized maps by determining the intensity threshold of CH regions separately for each synoptic map. This is done by identifying the best location and size of an image segment, which optimally contains portions of coronal holes and the surrounding quiet Sun allowing us to detect the momentary intensity threshold. Our method is thus able to adjust itself to the changing scale size of coronal holes and to temporally varying intensities. To make full use of the information in the three wavelengths we construct a composite CH distribution, which is more robust than distributions based on one wavelength. Using the composite CH dataset we discuss the temporal evolution of CHs during the Solar Cycles 23 and 24.

  9. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NASA Astrophysics Data System (ADS)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  10. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  11. Extreme ultraviolet (EUV) and FUV calibration facility for special sensor ultraviolet limb imager (SSULI)

    NASA Astrophysics Data System (ADS)

    Boyer, Craig N.; Osterman, Steven N.; Thonnard, Stefan E.; McCoy, Robert P.; Williams, J. Z.; Parker, S. E.

    1994-09-01

    A facility for calibrating far ultraviolet and extreme ultraviolet instruments has recently been completed at the Naval Research Laboratory. Our vacuum calibration vessel is 2-m in length, 1.67-m in diameter, and can accommodate optical test benches up to 1.2-m wide by 1.5-m in length. A kinematically positioned frame with four axis precision pointing capability of 10 microns for linear translation and .01 degrees for rotation is presently used during vacuum optical calibration of SSULI. The chamber was fabricated from 304 stainless steel and polished internally to reduce surface outgassing. A dust-free environment is maintained at the rear of the vacuum chamber by enclosing the 2-m hinged vacuum access door in an 8 ft. by 8 ft. class 100 clean room. Every effort was made to obtain an oil-free environment within the vacuum vessel. Outgassing products are continually monitored with a 1 - 200 amu residual gas analyzer. An oil-free claw and vane pump evacuates the chamber to 10-2 torr through 4 in. diameter stainless steel roughing lines. High vacuum is achieved and maintained with a magnetically levitated 480 l/s turbo pump and a 3000 l/s He4 cryopump. Either of two vacuum monochrometers, a 1-m f/10.4 or a 0.2-m f/4.5 are coaxially aligned with the optical axis of the chamber and are used to select single UV atomic resonance lines from a windowless capillary or penning discharge UV light source. A calibrated channeltron detector is coaxially mounted with the SSULI detector during calibration. All vacuum valves, the cooling system for the cryopump compressor, and the roughing pump are controlled through optical fibers which are interfaced to a computer through a VME board. Optical fibers were chosen to ensure that complete electrical isolation is maintained between the computer and the vacuum system valves-solenoids and relays.

  12. Optical coating technology for the EUV

    NASA Astrophysics Data System (ADS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    Adavaces in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  13. Optical coating technology for the EUV

    NASA Technical Reports Server (NTRS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    1991-01-01

    Advances in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  14. EUV multilayer coatings for the Atmospheric Imaging Assembly instrument aboard the Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, R; Windt, D L; Robinson, J C

    2006-02-09

    Multilayer coatings for the 7 EUV channels of the AIA have been developed and completed successfully on all AIA flight mirrors. Mo/Si coatings (131, 171, 193.5, 211 {angstrom}) were deposited at Lawrence Livermore National Laboratory (LLNL). Mg/SiC (304, 335 {angstrom}) and Mo/Y (94 {angstrom}) coatings were deposited at Columbia University. EUV reflectance of the 131/335 {angstrom}, 171 {angstrom}, 193.5/211 {angstrom} primary and secondary flight mirrors and the 94/304 {angstrom} secondary flight mirror was measured at beamline 6.3.2. of the Advanced Light Source (ALS) at LBNL. EUV reflectance of the 94/304 {angstrom} primary and secondary flight mirrors was measured at beamlinemore » X24C of the National Synchrotron Light Source (NSLS) at Brookhaven National Lab. Preliminary EUV reflectance measurements of the 94, 304 and 335 {angstrom} coatings were performed with a laser plasma source reflectometer located at Columbia University. Prior to multilayer coating, Atomic Force Microscopy (AFM) characterization and cleaning of all flight substrates was performed at LLNL.« less

  15. An overview of the extreme ultraviolet explorer and its scientific program

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Finley, David S.; Jelinsky, Patrick; Vallerga, John; Bowyer, Stuart

    1987-01-01

    NASA's Extreme Ultraviolet Explorer (EUVE) will carry out an all-sky survey from 8 to 90 nm in four bandpasses; the limiting sensitivity will be between 2 to 3 orders of magnitude fainter than the hot white dwarf HZ 43. A deep survey will also be carried out along the ecliptic which will have a limiting sensitivity of 1 to 2 orders of magnitude fainter than the all-sky survey in the bandpass from 8 to 50 nm. The payload also includes a spectrometer which will be used to observe the brighter sources found in the surveys with a spectral resolution of 1 to 2 A.

  16. A Search for EUV Emission from the O4f Star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1996-01-01

    We obtained a 140 ks EUVE observation of the O4f star, zeta Puppis. Because of its low ISM column density and highly ionized stellar wind, a unique EUV window is accessible for viewing between 128 to 140 A, suggesting that this star may he the only O star observable with the EUVE. Although no SW spectrometer wavelength bin had a signal to noise greater than 3, a bin at 136 A had a signal to noise of 2.4. This bin is where models predict the brightest line due to OV emission should occur. We present several EUV line emission models. These models were constrained by fitting the ROSAT PSPC X-ray data and our EUVE data. If the OV emission is real, the best fits to the data suggest that there are discrepancies in our current understanding of EUV/X-ray production mechanisms. In particular, the emission measure of the EUV source is found to be much greater than the total wind emission measure, suggesting that the EUV shock must produce a very large density enhancement. In addition, the location of the EUV and X-ray shocks are found to be separated by approx. 0.3 stellar radii, but the EUV emission region is found to be approx. 400 times larger than the X-ray emission region. We also discuss the implications of a null detection and present relevant upper limits.

  17. Low density of neutral hydrogen and helium in the local interstellar medium: Extreme Ultraviolet Explorer photometry of the Lyman continuum of the hot white dwarfs MCT 0501-2858, MCT 0455-2812, HZ 43, and GD 153

    NASA Technical Reports Server (NTRS)

    Vennes, Stephane; Dupuis, Jean; Bowyer, Stuart; Fontaine, Gilles; Wiercigroch, Alexandria; Jelinsky, Patrick; Wesemael, Francois; Malina, Roger

    1994-01-01

    The first comprehensive sky survey of the extreme ultraviolet (EUV) spectral range performed by the Extreme Ultraviolet Explorer (EUVE) has uncovered a handful of very bright sources at wavelengths longer than the He I 504 A photoionization edge. Among these objects are four white dwarfs with exceptionally low interstellar medium (ISM) column densities along the line of sight. Analysis of EUV photometry of the He-rich DO white dwarf MCT 0501-2858 and the H-rich DA white dwarf MCT 0455-2812 along one line of sight and of the DA white dwarfs HZ 43 and GD 153 near the north Galactic pole indicates that the overall minimum column density of the neutral material centered on the Sun is N(H I) = 0.5-1.0 x 10(exp 18)/sq cm. In the case of MCT 0501-2858, EUV photometric measurements provide a clear constraint to the effective temperature (60,000-70,000 K). Given these neutral hydrogen columns, the actual contribution to the density of neutral species from the immediate solar environment (the 'local fluff') would only cover a distance of approximately equals 2-3 pc (assuming an average density n(H I) = 0.1/cu cm) leaving these lines of sight almost entirely within the hot phase of the ISM. A preliminary examination of the complete EUVE long-wavelength survey indicates that these lines of sight are exceptional and set a minimum column density in the solar environment.

  18. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE PAGES

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun; ...

    2016-07-12

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  19. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  20. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    NASA Astrophysics Data System (ADS)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  1. EUVE and IR observations of the Polars HU Aqr and AR UMa

    NASA Astrophysics Data System (ADS)

    Howell, S.; Ciardi, D.

    1999-12-01

    Simultaneous EUVE and ground-based near-infrared J and K observations of the magnetic CV HU Aqr were performed. The observations occurred during a super-high state never before observed in HU Aqr. The average EUVE count-rate was 30-60 times higher than had been measured previously, allowing us to present the first ever EUV spectra of HU Aqr. The near-infrared observations show a corresponding flux increase of 2-3 times over previous J and K observations. However, the near-infrared eclipse minimum during this super-high state are the same as seen in previous observations, indicating that the eclipse in the near-infrared is total. We present a detailed comparison of the EUV and near-infrared emission of HU Aqr as a function of orbital phase and discuss the geometry and physical properties of the high energy and infrared emitting regions. AR UMa is the brightest EUV source yet observed with the EUVE satellite and is also the polar with the largest magnetic field, 250 MG. EUVE observations of the polar AR UMa have allowed, for the first time, EUV time-resolved spectral analysis and radial velocity measurements. We present EUV phase-resolved photometry and spectroscopy and show that the He 304 emission line is not produced on the heated face of the secondary star, but emanates from the inner illuminated regions of the coupling region and accretion stream. We comment on the overall structure of the accretion geometry as well. The authors acknowledge partial support of the research by NASA cooperative agreement NCC5-138 via an EUVE guest Observer mini-grant.

  2. Recent solar extreme ultraviolet irradiance observations and modeling: A review

    NASA Technical Reports Server (NTRS)

    Tobiska, W. Kent

    1993-01-01

    For more than 90 years, solar extreme ultraviolet (EUV) irradiance modeling has progressed from empirical blackbody radiation formulations, through fudge factors, to typically measured irradiances and reference spectra was well as time-dependent empirical models representing continua and line emissions. A summary of recent EUV measurements by five rockets and three satellites during the 1980s is presented along with the major modeling efforts. The most significant reference spectra are reviewed and threee independently derived empirical models are described. These include Hinteregger's 1981 SERF1, Nusinov's 1984 two-component, and Tobiska's 1990/1991/SERF2/EUV91 flux models. They each provide daily full-disk broad spectrum flux values from 2 to 105 nm at 1 AU. All the models depend to one degree or another on the long time series of the Atmosphere Explorer E (AE-E) EUV database. Each model uses ground- and/or space-based proxies to create emissions from solar atmospheric regions. Future challenges in EUV modeling are summarized including the basic requirements of models, the task of incorporating new observations and theory into the models, the task of comparing models with solar-terrestrial data sets, and long-term goals and modeling objectives. By the late 1990s, empirical models will potentially be improved through the use of proposed solar EUV irradiance measurements and images at selected wavelengths that will greatly enhance modeling and predictive capabilities.

  3. EXTREME ULTRAVIOLET EXPLORER OBSERVATIONS OF HERCULES X-1 OVER A 35 DAY CYCLE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leahy, D. A.; Dupuis, Jean, E-mail: leahy@ucalgary.c

    2010-06-01

    Observations of Hercules X-1 by the Extreme Ultraviolet Explorer covering most of the 35 day cycle are reported here. This is the only long extreme ultraviolet (EUV) observation of Her X-1. Simultaneous X-ray observations with the Rossi X-ray Timing Explorer All-Sky Monitor (RXTE/ASM) X-ray show that Her X-1 is in an X-ray anomalous low state. The first 4 days are also observed with the RXTE proportional counter array (PCA), which shows that the X-ray properties are nearly the same as for normal low states in Her X-1 with flux reduced by a factor of 2. In contrast, the EUV emissionmore » from Her X-1 is reduced by a factor of {approx}4 compared to normal low states. The twisted-tilted accretion disk responsible for the normal 35 day X-ray cycle can be modified to explain this behavior. An increased disk twist reduces the X-ray illumination of HZ Her by a factor of {approx}2 and of the disk surface by a somewhat larger factor, leading to a larger reduction in EUV flux compared to X-ray flux.« less

  4. EUV near normal incidence collector development at SAGEM

    NASA Astrophysics Data System (ADS)

    Mercier Ythier, R.; Bozec, X.; Geyl, R.; Rinchet, A.; Hecquet, Christophe; Ravet-Krill, Marie-Françoise; Delmotte, Franck; Sassolas, Benoît; Flaminio, Raffaele; Mackowski, Jean-Marie; Michel, Christophe; Montorio, Jean-Luc; Morgado, Nazario; Pinard, Laurent; Roméo, Elodie

    2008-03-01

    Through its participation to European programs, SAGEM has worked on the design and manufacturing of normal incidence collectors for EUV sources. By opposition to grazing incidence, normal incidence collectors are expected to collect more light with a simpler and cheaper design. Designs are presented for the two current types of existing sources: Discharge Produced Plasma (DPP) and Laser Produced Plasma (LPP). Collection efficiency is calculated in both cases. It is shown that these collectors can achieve about 10 % efficiency for DPP sources and 40 % for LPP sources. SAGEM works on the collectors manufacturability are also presented, including polishing, coating and cooling. The feasibility of polishing has been demonstrated with a roughness better than 2 angstroms obtained on several materials (glass, silicon, Silicon Carbide, metals...). SAGEM is currently working with the Institut d'Optique and the Laboratoire des Materiaux Avancés on the design and the process of EUV coatings for large mirrors. Lastly, SAGEM has studied the design and feasibility of an efficient thermal control, based on a liquid cooling through slim channels machined close to the optical surface.

  5. Reconstruction of Solar Extreme Ultraviolet Flux 1740 - 2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, Leif

    2016-11-01

    Solar extreme ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo-ionization of molecular oxygen. Solar heating of the ionosphere creates thermal winds, which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and falls with the Sun, and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us to deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the "Magnetic Crusade" of the 1830s and less reliable, but still usable, data are available for portions of the 100 years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F_{10.7} flux and the sunspot number, and we find that the reconstructed EUV flux reproduces the F_{10.7} flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant "solar magnetic ground state".

  6. Pathways to Earth-like atmospheres. Extreme ultraviolet (EUV)-powered escape of hydrogen-rich protoatmospheres.

    PubMed

    Lammer, Helmut; Kislyakova, K G; Odert, P; Leitzinger, M; Schwarz, R; Pilat-Lohinger, E; Kulikov, Yu N; Khodachenko, M L; Güdel, M; Hanslmeier, M

    2011-12-01

    We discuss the evolution of the atmosphere of early Earth and of terrestrial exoplanets which may be capable of sustaining liquid water oceans and continents where life may originate. The formation age of a terrestrial planet, its mass and size, as well as the lifetime in the EUV-saturated early phase of its host star play a significant role in its atmosphere evolution. We show that planets even in orbits within the habitable zone of their host stars might not lose nebular- or catastrophically outgassed initial protoatmospheres completely and could end up as water worlds with CO2 and hydrogen- or oxygen-rich upper atmospheres. If an atmosphere of a terrestrial planet evolves to an N2-rich atmosphere too early in its lifetime, the atmosphere may be lost. We show that the initial conditions set up by the formation of a terrestrial planet and by the evolution of the host star's EUV and plasma environment are very important factors owing to which a planet may evolve to a habitable world. Finally we present a method for studying the discussed atmosphere evolution hypotheses by future UV transit observations of terrestrial exoplanets.

  7. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mirkarimi, Paul B.; Bajt, Sasa; Wall, Mark A.

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decreasemore » more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar. (c) 2000 Optical Society of America.« less

  8. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography.

    PubMed

    Mirkarimi, P B; Bajt, S; Wall, M A

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.

  9. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  10. Phase measurements of EUV mask defects

    DOE PAGES

    Claus, Rene A.; Wang, Yow-Gwo; Wojdyla, Antoine; ...

    2015-02-22

    Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than themore » conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.« less

  11. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  12. INFRARED STUDY OF UV/EUV IRRADIATION OF NAPHTHALENE IN H2O+NH3 ICE

    NASA Astrophysics Data System (ADS)

    Chen, Y.-J.; Nuevo, M.; Yeh, F.-C.; Yih, T.-S.; Sun, W.-H.; Ip, W.-H.; Fung, H.-S.; Lee, Y.-Y.; Wu, C.-Y. R.

    We have carried out photon irradiation study of naphthalene (C10H8), the smallest polycyclic aromatic hydrocarbon (PAH) in water and ammonia ice mixtures. Photons provided by a synchrotron radiation light source in two broad-band energy ranges in the ultraviolet/near extreme ultraviolet (4-20 eV) and the extreme ultraviolet (13-45 eV) ranges were used for the irradiation of H2O+NH3+C10H8 = 1:1:1 ice mixtures at 15K. We could identify several photo-products, namely CH4, C2H6, C3H8, CO, CO2, HNCO, OCN-, and probably quinoline (C9H7N) and phenanthridine (C13H9N). We found that the light hydrocarbons are preferably produced for the ice mixture subjected to 4-20 eV photons. However, the production yields of CO, CO2, and OCN- species seem to be higher for the mixture subjected to EUV photons (13-45 eV). Therefore, naphthalene and its photo-products appear to be more efficiently destroyed when high energy photons (E > 20 eV) are used. This has important consequences on the photochemical evolution of PAHs in astrophysical environments.

  13. The Extreme Ultraviolet Explorer science instruments development - Lessons learned

    NASA Technical Reports Server (NTRS)

    Malina, Roger F.; Battel, S.

    1991-01-01

    The science instruments development project for the Extreme Ultraviolet Explorer (EUVE) satellite is reviewed. Issues discussed include the philosophical basis of the program, the establishment of a tight development team, the approach to planning and phasing activities, the handling of the most difficult technical problems, and the assessment of the work done during the preimplemntation period of the project.

  14. Removal of Tin from Extreme Ultraviolet Collector Optics by an In-Situ Hydrogen Plasma

    NASA Astrophysics Data System (ADS)

    Elg, Daniel Tyler

    Throughout the 1980s and 1990s, as the semiconductor industry upheld Moore's Law and continuously shrank device feature sizes, the wavelength of the lithography source remained at or below the resolution limit of the minimum feature size. Since 2001, however, the light source has been the 193nm ArF excimer laser. While the industry has managed to keep up with Moore's Law, shrinking feature sizes without shrinking the lithographic wavelength has required extra innovations and steps that increase fabrication time, cost, and error. These innovations include immersion lithography and double patterning. Currently, the industry is at the 14 nm technology node. Thus, the minimum feature size is an order of magnitude below the exposure wavelength. For the 10 nm node, triple and quadruple patterning have been proposed, causing potentially even more cost, fabrication time, and error. Such a trend cannot continue indefinitely in an economic fashion, and it is desirable to decrease the wavelength of the lithography sources. Thus, much research has been invested in extreme ultraviolet lithography (EUVL), which uses 13.5 nm light. While much progress has been made in recent years, some challenges must still be solved in order to yield a throughput high enough for EUVL to be commercially viable for high-volume manufacturing (HVM). One of these problems is collector contamination. Due to the 92 eV energy of a 13.5 nm photon, EUV light must be made by a plasma, rather than by a laser. Specifically, the industrially-favored EUV source topology is to irradiate a droplet of molten Sn with a laser, creating a dense, hot laser-produced plasma (LPP) and ionizing the Sn to (on average) the +10 state. Additionally, no materials are known to easily transmit EUV. All EUV light must be collected by a collector optic mirror, which cannot be guarded by a window. The plasmas used in EUV lithography sources expel Sn ions and neutrals, which degrade the quality of collector optics. The mitigation

  15. An operations and command systems for the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Muscettola, Nicola; Korsmeyer, David J.; Olson, Eric C.; Wong, Gary

    1994-01-01

    About 40% of the budget of a scientific spacecraft mission is usually consumed by Mission Operations & Data Analysis (MO&DA) with MO driving these costs. In the current practice, MO is separated from spacecraft design and comes in focus relatively late in the mission life cycle. As a result, spacecraft may be designed that are very difficult to operate. NASA centers have extensive MO expertise but often lessons learned in one mission are not exploited for other parallel or future missions. A significant reduction of MO costs is essential to ensure a continuing and growing access to space for the scientific community. We are addressing some of these issues with a highly automated payload operations and command system for an existing mission, the Extreme Ultraviolet Explorer (EUVE). EUVE is currently operated jointly by the Goddard Space Flight Center (GSFC), responsible for spacecraft operations, and the Center for Extreme Ultraviolet Astrophysics (CEA) of the University of California, Berkeley, which controls the telescopes and scientific instruments aboard the satellite. The new automated system is being developed by a team including personnel from the NASA Ames Research Center (ARC), the Jet Propulsion Laboratory (JPL) and the Center for EUV Astrophysics (CEA). An important goal of the project is to provide AI-based technology that can be easily operated by nonspecialists in AI. Another important goal is the reusability of the techniques for other missions. Models of the EUVE spacecraft need to be built both for planning/scheduling and for monitoring. In both cases, our modeling tools allow the assembly of a spacecraft model from separate sub-models of the various spacecraft subsystems. These sub-models are reusable; therefore, building mission operations systems for another small satellite mission will require choosing pre-existing modules, reparametrizing them with respect to the actual satellite telemetry information, and reassembling them in a new model. We

  16. Ultimate waveform reproducibility of extreme-ultraviolet pulses by high-harmonic generation in quartz

    NASA Astrophysics Data System (ADS)

    Garg, M.; Kim, H. Y.; Goulielmakis, E.

    2018-05-01

    Optical waveforms of light reproducible with subcycle precision underlie applications of lasers in ultrafast spectroscopies, quantum control of matter and light-based signal processing. Nonlinear upconversion of optical pulses via high-harmonic generation in gas media extends these capabilities to the extreme ultraviolet (EUV). However, the waveform reproducibility of the generated EUV pulses in gases is inherently sensitive to intensity and phase fluctuations of the driving field. We used photoelectron interferometry to study the effects of intensity and carrier-envelope phase of an intense single-cycle optical pulse on the field waveform of EUV pulses generated in quartz nanofilms, and contrasted the results with those obtained in gas argon. The EUV waveforms generated in quartz were found to be virtually immune to the intensity and phase of the driving field, implying a non-recollisional character of the underlying emission mechanism. Waveform-sensitive photonic applications and precision measurements of fundamental processes in optics will benefit from these findings.

  17. Progress on EUV mask fabrication for 32-nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Zhang, Guojing; Yan, Pei-Yang; Liang, Ted; Park, Seh-jin; Sanchez, Peter; Shu, Emily Y.; Ultanir, Erdem A.; Henrichs, Sven; Stivers, Alan; Vandentop, Gilroy; Lieberman, Barry; Qu, Ping

    2007-05-01

    Extreme ultraviolet lithography (EUVL) tool development achieved a big milestone last year as two full-field Alpha Demo Tools (ADT) were shipped to customers by ASML. In the future horizon, a full field "EUV1" exposure tool from Nikon will be available by the end of 20071 and the pre-production EUV exposure tools from ASML are targeted for 20092. It is essential that high quality EUVL masks can be made and delivered to the EUVL tool users to support the technology development. In the past year, we have demonstrated mask fabrication with low stress absorber deposition and good etch process control yielding a vertical etch profile and a mask CD control of 5.7 nm for 32 nm (1x) space and 7.4 nm for 32 nm (1x) lines. Mask pattern resolution of 15 nm (1x) dense lines was achieved. Full field reflective mask die-to-die inspection at a 125nm pixel size was demonstrated after low defect multilayer blanks became available. In this paper, we will present details of the Intel EUVL Mask Pilot Line progress in EUVL mask defect reduction, pattern CD performance, program defect mask design and inspection, in-house absorber film development and its performance, and EUVL metrology tool development. We will demonstrate an overall improvement in EUV mask manufacturing readiness due to our Pilot Line activities.

  18. SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Balachandran, Dave; He, Long; Kearney, Patrick; Karumuri, Anil; Goodwin, Frank; Cummings, Kevin

    2015-03-01

    Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.

  19. Exploring the readiness of EUV photo materials for patterning advanced technology nodes

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Vesters, Yannick; Shehzad, Atif; Vandenberghe, Geert; Foubert, Philippe; Beral, Christophe; Van Den Heuvel, Dieter; Mao, Ming; Lazzarino, Fred

    2017-03-01

    Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.

  20. Method and apparatus for inspecting an EUV mask blank

    DOEpatents

    Goldberg, Kenneth A.

    2005-11-08

    An apparatus and method for at-wavelength EUV mask-blank characterization for inspection of moderate and low spatial frequency coating uniformity using a synchrotron or other source of EUV light. The apparatus provides for rapid, non-destruction, non-contact, at-wavelength qualification of large mask areas, and can be self-calibrating or be calibrated to well-characterized reference samples. It can further check for spatial variation of mask reflectivity or for global differences among masks. The apparatus and method is particularly suited for inspection of coating uniformity and quality and can detect defects in the order of 50 .mu.m and above.

  1. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    NASA Astrophysics Data System (ADS)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  2. CCD imaging system for the EUV solar telescope

    NASA Astrophysics Data System (ADS)

    Gong, Yan; Song, Qian; Ye, Bing-Xun

    2006-01-01

    In order to develop the detector adapted to the space solar telescope, we have built a CCD camera system capable of working in the extra ultraviolet (EUV) band, which is composed of one phosphor screen, one intensified system using a photocathode/micro-channel plate(MCP)/ phosphor, one optical taper and one chip of front-illuminated (FI) CCD without screen windows. All of them were stuck one by one with optical glue. The working principle of the camera system is presented; moreover we have employed the mesh experiment to calibrate and test the CCD camera system in 15~24nm, the position resolution of about 19 μm is obtained at the wavelength of 17.1nm and 19.5nm.

  3. The Development of a New Model of Solar EUV Irradiance Variability

    NASA Technical Reports Server (NTRS)

    Warren, Harry; Wagner, William J. (Technical Monitor)

    2002-01-01

    The goal of this research project is the development of a new model of solar EUV (Extreme Ultraviolet) irradiance variability. The model is based on combining differential emission measure distributions derived from spatially and spectrally resolved observations of active regions, coronal holes, and the quiet Sun with full-disk solar images. An initial version of this model was developed with earlier funding from NASA. The new version of the model developed with this research grant will incorporate observations from SoHO as well as updated compilations of atomic data. These improvements will make the model calculations much more accurate.

  4. The Extreme Ultraviolet Explorer - Optics fabrication and performance

    NASA Technical Reports Server (NTRS)

    Green, J.; Finley, D.; Bowyer, S.; Malina, R. F.

    1986-01-01

    The fabrication methods, testing and evaluation techniques, and performance results are presented for the mirrors for the Extreme Ultraviolet Explorer (EUVE). The finest mirror produced to date has a measured half energy width of 8 arcsec at optical wavelengths. With a polished nickel surface, the telescope throughput was 35 percent at 44 A and 60 percent at 256 A. The surface roughness is 20 A rms.

  5. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    NASA Astrophysics Data System (ADS)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  6. Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography

    DOEpatents

    Montcalm, Claude; Stearns, Daniel G.; Vernon, Stephen P.

    1999-01-01

    A passivating overcoat bilayer is used for multilayer reflective coatings for extreme ultraviolet (EUV) or soft x-ray applications to prevent oxidation and corrosion of the multilayer coating, thereby improving the EUV optical performance. The overcoat bilayer comprises a layer of silicon or beryllium underneath at least one top layer of an elemental or a compound material that resists oxidation and corrosion. Materials for the top layer include carbon, palladium, carbides, borides, nitrides, and oxides. The thicknesses of the two layers that make up the overcoat bilayer are optimized to produce the highest reflectance at the wavelength range of operation. Protective overcoat systems comprising three or more layers are also possible.

  7. The solar flare extreme ultraviolet to hard X-ray ratio

    NASA Technical Reports Server (NTRS)

    Mcclymont, A. N.; Canfield, R. C.

    1986-01-01

    Simultaneous measurements of the peak 10-1030 A extreme ultraviolet (EUV) flux enhancement and more than 10 keV hard X-ray (HXR) peak flux of many solar flare bursts, ranging over about four orders of magnitude in HXR intensity, are studied. A real departure from linearity is found in the relationship between the peak EUV and HXR fluxes in impulsive flare bursts. This relationship is well described by a given power law. Comparison of the predictions of the impulsive nonthermal thick-target electron beam model with observations shows that the model satisfactorily predicts the observed time differences between the HXR and EUV peaks and explains the data very well under given specific assumptions. It is concluded that the high-energy fluxes implied by the invariant area thick-target model cannot be completely ruled out, while the invariant area model with smaller low cutoff requires impossibly large beam densities. A later alternative thick-target model is suggested.

  8. Extreme ultraviolet interferometry of warm dense matter in laser plasmas.

    PubMed

    Gartside, L M R; Tallents, G J; Rossall, A K; Wagenaars, E; Whittaker, D S; Kozlová, M; Nejdl, J; Sawicka, M; Polan, J; Kalal, M; Rus, B

    2010-11-15

    We demonstrate that interferometric probing with extreme ultraviolet (EUV) laser light enables determination of the degree of ionization of the "warm dense matter" produced between the critical and ablation surfaces of laser plasmas. Interferometry has been utilized to measure both transmission and phase information for an EUV laser beam at the photon energy of 58.5 eV, probing longitudinally through laser-irradiated plastic (parylene-N) targets (thickness 350 nm) irradiated by a 300 ps duration pulse of wavelength 438 nm and peak irradiance 10(12) W cm(-2). The transmission of the EUV probe beam provides a measure of the rate of target ablation, as ablated plasma becomes close to transparent when the photon energy is less than the ionization energy of the predominant ion species. We show that refractive indices η below the solid parylene N (η(solid) = 0.946) and expected plasma values are produced in the warm dense plasma created by laser irradiation due to bound-free absorption in C(+).

  9. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  10. Extreme Ultraviolet Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO): Overview of Science Objectives, Instrument Design, Data Products, and Model Developments

    NASA Technical Reports Server (NTRS)

    Woods, T. N.; Eparvier, F. G.; Hock, R.; Jones, A. R.; Woodraska, D.; Judge, D.; Didkovsky, L.; Lean, J.; Mariska, J.; Warren, H.; hide

    2010-01-01

    The highly variable solar extreme ultraviolet (EUV) radiation is the major energy input to the Earth's upper atmosphere, strongly impacting the geospace environment, affecting satellite operations, communications, and navigation. The Extreme ultraviolet Variability Experiment (EVE) onboard the NASA Solar Dynamics Observatory (SDO) will measure the solar EUV irradiance from 0.1 to 105 nm with unprecedented spectral resolution (0.1 nm), temporal cadence (ten seconds), and accuracy (20%). EVE includes several irradiance instruments: The Multiple EUV Grating Spectrographs (MEGS)-A is a grazingincidence spectrograph that measures the solar EUV irradiance in the 5 to 37 nm range with 0.1-nm resolution, and the MEGS-B is a normal-incidence, dual-pass spectrograph that measures the solar EUV irradiance in the 35 to 105 nm range with 0.1-nm resolution. To provide MEGS in-flight calibration, the EUV SpectroPhotometer (ESP) measures the solar EUV irradiance in broadbands between 0.1 and 39 nm, and a MEGS-Photometer measures the Sun s bright hydrogen emission at 121.6 nm. The EVE data products include a near real-time space-weather product (Level 0C), which provides the solar EUV irradiance in specific bands and also spectra in 0.1-nm intervals with a cadence of one minute and with a time delay of less than 15 minutes. The EVE higher-level products are Level 2 with the solar EUV irradiance at higher time cadence (0.25 seconds for photometers and ten seconds for spectrographs) and Level 3 with averages of the solar irradiance over a day and over each one-hour period. The EVE team also plans to advance existing models of solar EUV irradiance and to operationally use the EVE measurements in models of Earth s ionosphere and thermosphere. Improved understanding of the evolution of solar flares and extending the various models to incorporate solar flare events are high priorities for the EVE team.

  11. An Extreme-ultraviolet Wave Generating Upward Secondary Waves in a Streamer-like Solar Structure

    NASA Astrophysics Data System (ADS)

    Zheng, Ruisheng; Chen, Yao; Feng, Shiwei; Wang, Bing; Song, Hongqiang

    2018-05-01

    Extreme-ultraviolet (EUV) waves, spectacular horizontally propagating disturbances in the low solar corona, always trigger horizontal secondary waves (SWs) when they encounter the ambient coronal structure. We present the first example of upward SWs in a streamer-like structure after the passing of an EUV wave. This event occurred on 2017 June 1. The EUV wave happened during a typical solar eruption including a filament eruption, a coronal mass ejection (CME), and a C6.6 flare. The EUV wave was associated with quasi-periodic fast propagating (QFP) wave trains and a type II radio burst that represented the existence of a coronal shock. The EUV wave had a fast initial velocity of ∼1000 km s‑1, comparable to high speeds of the shock and the QFP wave trains. Intriguingly, upward SWs rose slowly (∼80 km s‑1) in the streamer-like structure after the sweeping of the EUV wave. The upward SWs seemed to originate from limb brightenings that were caused by the EUV wave. All of the results show that the EUV wave is a fast-mode magnetohydrodynamic (MHD) shock wave, likely triggered by the flare impulses. We suggest that part of the EUV wave was probably trapped in the closed magnetic fields of the streamer-like structure, and upward SWs possibly resulted from the release of slow-mode trapped waves. It is believed that the interplay of the strong compression of the coronal shock and the configuration of the streamer-like structure is crucial for the formation of upward SWs.

  12. Novel EUV mask black border and its impact on wafer imaging

    NASA Astrophysics Data System (ADS)

    Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Watanabe, Genta; Ito, Shin; Yoshida, Itaru; Maruyama, Shingo; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-03-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.

  13. EUV Solar Instrument Development at the Marshall Space Flight Center

    NASA Astrophysics Data System (ADS)

    Kobayashi, K.; Cirtain, J. W.; Davis, J. M.; West, E.; Golub, L.; Korreck, K. E.; Tsuneta, S.; Bando, T.

    2009-12-01

    The three sounding rocket instrument programs currently underway at the NASA Marshall Space Flight Center represent major advances in solar observations, made possible by improvements in EUV optics and detector technology. The Solar Ultraviolet Magnetograph Instrument (SUMI) is an EUV spectropolarimeter designed to measure the Zeeman splitting of two chromospheric EUV lines, the 280 nm MgII and 155 nm CIV lines. SUMI directly observes the magnetic field in the low-beta region where most energetic phenomena are though to originate. In conjunction with visible-light magnetographs, this observation allows us to track the evolution of the magnetic field as it evolves from the photosphere to the upper chromosphere. SUMI incorporates a normal incidence Cassegrain telescope, a MgF2 double-Wollaston polarizing beam splitter and two TVLS (toroidal varied line space) gratings, and is capable of observing two orthogonal polarizations in two wavelength bands simultaneously. SUMI has been fully assembled and tested, and currently scheduled for launch in summer of 2010. The High-resolution Coronal Imager is a normal-incidence EUV imaging telescope designed to achieve 0.2 arcsecond resolution, with a pixel size of 0.1 arcsecond. This is a factor of 25 improvement in aerial resolution over the Transition Region And Coronal Explorer (TRACE). Images obtained by TRACE indicate presence of unresolved structures; higher resolution images will reveal the scale and topology of structures that make up the corona. The telescope mirrors are currently being fabricated, and the instrument has been funded for flight. In addition, a Lyman alpha spectropolarimeter is under development in collaboration with the National Astronomical Observatory of Japan. This aims to detect the linear polarization in the chromosphere caused by the Hanle effect. Horizontal magnetic fields in the chromosphere are expected to be detectable as polarization near disk center, and off-limb observations will reveal the

  14. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, M. C. E.; Lemaire, P.; Marsch, E.; Poland, A. I.

    1988-01-01

    The SUMER (solar ultraviolet measurements of emitted radiation) experiment is described. It will study flows, turbulent motions, waves, temperatures and densities of the plasma in the upper atmosphere of the Sun. Structures and events associated with solar magnetic activity will be observed on various spatial and temporal scales. This will contribute to the understanding of coronal heating processes and the solar wind expansion. The instrument will take images of the Sun in EUV (extreme ultra violet) light with high resolution in space, wavelength and time. The spatial resolution and spectral resolving power of the instrument are described. Spectral shifts can be determined with subpixel accuracy. The wavelength range extends from 500 to 1600 angstroms. The integration time can be as short as one second. Line profiles, shifts and broadenings are studied. Ratios of temperature and density sensitive EUV emission lines are established.

  15. Characterization and control of EUV scanner dose uniformity and stability

    NASA Astrophysics Data System (ADS)

    Robinson, Chris; Corliss, Dan; Meli, Luciana; Johnson, Rick

    2018-03-01

    The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability. Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.

  16. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    NASA Astrophysics Data System (ADS)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  17. Exploring EUV and SAQP pattering schemes at 5nm technology node

    NASA Astrophysics Data System (ADS)

    Hamed Fatehy, Ahmed; Kotb, Rehab; Lafferty, Neal; Jiang, Fan; Word, James

    2018-03-01

    For years, Moore's law keeps driving the semiconductors industry towards smaller dimensions and higher density chips with more devices. Earlier, the correlation between exposure source's wave length and the smallest resolvable dimension, mandated the usage of Deep Ultra-Violent (DUV) optical lithography system which has been used for decades to sustain Moore's law, especially when immersion lithography was introduced with 193nm ArF laser sources. As dimensions of devices get smaller beyond Deep Ultra-Violent (DUV) optical resolution limits, the need for Extremely Ultra-Violent (EUV) optical lithography systems was a must. However, EUV systems were still under development at that time for the mass-production in semiconductors industry. Theretofore, Multi-Patterning (MP) technologies was introduced to swirl about DUV optical lithography limitations in advanced nodes beyond minimum dimension (CD) of 20nm. MP can be classified into two main categories; the first one is to split the target itself across multiple masks that give the original target patterns when they are printed. This category includes Double, Triple and Quadruple patterning (DP, TP, and QP). The second category is the Self-Aligned Patterning (SAP) where the target is divided into Mandrel patterns and non-Mandrel patterns. The Mandrel patterns get printed first, then a self-aligned sidewalls are grown around these printed patterns drawing the other non-Mandrel targets, afterword, a cut mask(s) is used to define target's line-ends. This approach contains Self-Aligned-Double Pattering (SADP) and Self-Aligned- Quadruple-Pattering (SAQP). DUV and MP along together paved the way for the industry down to 7nm. However, with the start of development at the 5nm node and the readiness of EUV, the differentiation question is aroused again, which pattering approach should be selected, direct printing using EUV or DUV with MP, or a hybrid flow that contains both DUV-MP and EUV. In this work we are comparing two

  18. Update on EUV radiometry at PTB

    NASA Astrophysics Data System (ADS)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  19. EUV multilayer mirrors with enhanced stability

    NASA Astrophysics Data System (ADS)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  20. Modeling and measurement of hydrogen radical densities of in situ plasma-based Sn cleaning source

    NASA Astrophysics Data System (ADS)

    Elg, Daniel T.; Panici, Gianluca A.; Peck, Jason A.; Srivastava, Shailendra N.; Ruzic, David N.

    2017-04-01

    Extreme ultraviolet (EUV) lithography sources expel Sn debris. This debris deposits on the collector optic used to focus the EUV light, lowering its reflectivity and EUV throughput to the wafer. Consequently, the collector must be cleaned, causing source downtime. To solve this, a hydrogen plasma source was developed to clean the collector in situ by using the collector as an antenna to create a hydrogen plasma and create H radicals, which etch Sn as SnH4. This technique has been shown to remove Sn from a 300-mm-diameter stainless steel dummy collector. The H radical density is of key importance in Sn etching. The effects of power, pressure, and flow on radical density are explored. A catalytic probe has been used to measure radical density, and a zero-dimensional model is used to provide the fundamental science behind radical creation and predict radical densities. Model predictions and experimental measurements are in good agreement. The trends observed in radical density, contrasted with measured Sn removal rates, show that radical density is not the limiting factor in this etching system; other factors, such as SnH4 redeposition and energetic ion bombardment, must be more fully understood in order to predict removal rates.

  1. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    NASA Astrophysics Data System (ADS)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  2. Extreme Ultraviolet Fractional Orbital Angular Momentum Beams from High Harmonic Generation

    PubMed Central

    Turpin, Alex; Rego, Laura; Picón, Antonio; San Román, Julio; Hernández-García, Carlos

    2017-01-01

    We investigate theoretically the generation of extreme-ultraviolet (EUV) beams carrying fractional orbital angular momentum. To this end, we drive high-order harmonic generation with infrared conical refraction (CR) beams. We show that the high-order harmonic beams emitted in the EUV/soft x-ray regime preserve the characteristic signatures of the driving beam, namely ringlike transverse intensity profile and CR-like polarization distribution. As a result, through orbital and spin angular momentum conservation, harmonic beams are emitted with fractional orbital angular momentum, and they can be synthesized into structured attosecond helical beams –or “structured attosecond light springs”– with rotating linear polarization along the azimuth. Our proposal overcomes the state of the art limitations for the generation of light beams far from the visible domain carrying non-integer orbital angular momentum and could be applied in fields such as diffraction imaging, EUV lithography, particle trapping, and super-resolution imaging. PMID:28281655

  3. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  4. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  5. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  6. Extreme-UV electrical discharge source

    DOEpatents

    Fornaciari, Neal R.; Nygren, Richard E.; Ulrickson, Michael A.

    2002-01-01

    An extreme ultraviolet and soft x-ray radiation electric capillary discharge source that includes a boron nitride housing defining a capillary bore that is positioned between two electrodes one of which is connected to a source of electric potential can generate a high EUV and soft x-ray radiation flux from the capillary bore outlet with minimal debris. The electrode that is positioned adjacent the capillary bore outlet is typically grounded. Pyrolytic boron nitride, highly oriented pyrolytic boron nitride, and cubic boron nitride are particularly suited. The boron nitride capillary bore can be configured as an insert that is encased in an exterior housing that is constructed of a thermally conductive material. Positioning the ground electrode sufficiently close to the capillary bore outlet also reduces bore erosion.

  7. Ionospheric Change and Solar EUV Irradiance

    NASA Astrophysics Data System (ADS)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  8. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory.

    PubMed

    Soufli, Regina; Baker, Sherry L; Windt, David L; Gullikson, Eric M; Robinson, Jeff C; Podgorski, William A; Golub, Leon

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV) wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement with EUV reflectance measurements of the mirrors after multilayer coating.

  9. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, Regina; Baker, Sherry L.; Windt, David L.

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV)wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement withmore » EUV reflectance measurements of the mirrors after multilayer coating.« less

  10. Honing the accuracy of extreme-ultraviolet optical system testing: at-wavelength and visible-light measurements of the ETS Set-2 projection optic

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Bokor, Jeffrey; Chapman, Henry N.

    2002-07-01

    As the quality of optical systems for extreme ultraviolet lithography improves, high-accuracy wavefront metrology for alignment and qualification becomes ever more important. To enable the development of diffraction-limited EUV projection optics, visible-light and EUV interferometries must work in close collaboration. We present a detailed comparison of EUV and visible-light wavefront measurements performed across the field of view of a lithographic-quality EUV projection optical system designed for use in the Engineering Test Stand developed by the Virtual National Laboratory and the EUV Limited Liability Company. The comparisons reveal that the present level of RMS agreement lies in the 0.3-0.4-nm range. Astigmatism is the most significant aberration component for the alignment of this optical system; it is also the dominant term in the discrepancy, and the aberration with the highest measurement uncertainty. With EUV optical systems requiring total wavefront quality in the (lambda) EUV/50 range, and even higher surface-figure quality for the individual mirror elements, improved accuracy through future comparisons, and additional studies, are required.

  11. A study of EUV emission from the O4f star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1995-01-01

    Our 20 ks observation did not allow us to carry out our primary objective, i.e., to test the limitations of deeply embedded EUV and X-ray sources. However, it did provide a very useful constraint in our analysis of a newly acquired high S/N ROSAT PSPC X-ray spectrum of Zeta Pup. In addition, modifications to our stellar wind opacity code have been preformed to investigate the sensitivity of the EUV opacity energy range to different photospheric model flux inputs and different wind structures. These analyses provided the justification for a 140 ks follow up EUVE Cycle III observation of this star. We have recently been informed that our requested observation has been accepted as a Type 1 target for Cycle III. The remainder of this report focuses on the following: (1) a brief background on the status of X-ray emission from OB stars; (2) a discussion on the importance of EUV observations; (3) a discussion of our scientific objectives; and (4) a summary of our technical approach for our Cycle III observation (including the predicted EUV counts for various lines.)

  12. Amorphous silicon carbide coatings for extreme ultraviolet optics

    NASA Technical Reports Server (NTRS)

    Kortright, J. B.; Windt, David L.

    1988-01-01

    Amorphous silicon carbide films formed by sputtering techniques are shown to have high reflectance in the extreme ultraviolet spectral region. X-ray scattering verifies that the atomic arrangements in these films are amorphous, while Auger electron spectroscopy and Rutherford backscattering spectroscopy show that the films have composition close to stoichiometric SiC, although slightly C-rich, with low impurity levels. Reflectance vs incidence angle measurements from 24 to 1216 A were used to derive optical constants of this material, which are presented here. Additionally, the measured extreme ultraviolet efficiency of a diffraction grating overcoated with sputtered amorphous silicon carbide is presented, demonstrating the feasibility of using these films as coatings for EUV optics.

  13. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    NASA Astrophysics Data System (ADS)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  14. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    NASA Astrophysics Data System (ADS)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  15. Solar EUV irradiance for space weather applications

    NASA Astrophysics Data System (ADS)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  16. The extreme ultraviolet spectrograph: A radial groove grating, sounding rocket-borne, astronomical instrument

    NASA Technical Reports Server (NTRS)

    Wilkinson, Erik; Green, James C.; Cash, Webster

    1993-01-01

    The design, calibration, and sounding rocket flight performance of a novel spectrograph suitable for moderate-resolution EUV spectroscopy are presented. The sounding rocket-borne instrument uses a radial groove grating to maintain a high system efficiency while controlling the aberrations induced when doing spectroscopy in a converging beam. The instrument has a resolution of approximately 2 A across the 200-330 A bandpass with an average effective area of 2 sq cm. The instrument, called the Extreme Ultraviolet Spectrograph, acquired the first EUV spectra in this wavelength region of the hot white dwarf G191-B2B and the late-type star Capella.

  17. EUV-induced oxidation of carbon on TiO2.

    PubMed

    Faradzhev, Nadir S; Hill, Shannon B

    2016-10-01

    Previously we reported estimates of the maximum etch rates of C on TiO 2 by oxidizers including NO, O 3 and H 2 O 2 when irradiated by a spatially-non-uniform beam of extreme ultraviolet (EUV) radiation at 13.5 nm (Faradzhev et al., 2013). Here we extend that work by presenting temporally and spatially resolved measurements of the C etching by these oxidizers as a function of EUV intensity in the range (0.3 to 3) mW/mm 2 [(0.2 to 2) × 10 16 photons s -1 cm -2 ]. We find that the rates for NO scale linearly with intensity and are smaller than those for O 3 , which exhibit a weak, sub-linear intensity dependence in this range. We demonstrate that these behaviors are consistent with adsorption of the oxidizing precursor on the C surface followed by a photon-stimulated reaction resulting in volatile C-containing products. The kinetics of photon-induced C etching by hydrogen peroxide, however, appear to be more complex. The spatially resolved measurements reveal that C removal by H 2 O 2 begins at the edges of the C spot, where the light intensity is the lowest, and proceeds toward the center of the spot. This localization of the reaction may occur because hydroxyl radicals are produced efficiently on the catalytically active TiO 2 surface.

  18. Extreme ultraviolet interferometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.

    EUV lithography is a promising and viable candidate for circuit fabrication with 0.1-micron critical dimension and smaller. In order to achieve diffraction-limited performance, all-reflective multilayer-coated lithographic imaging systems operating near 13-nm wavelength and 0.1 NA have system wavefront tolerances of 0.27 nm, or 0.02 waves RMS. Owing to the highly-sensitive resonant reflective properties of multilayer mirrors and extraordinarily tight tolerances set forth for their fabrication, EUV optical systems require at-wavelength EUV interferometry for final alignment and qualification. This dissertation discusses the development and successful implementation of high-accuracy EUV interferometric techniques. Proof-of-principle experiments with a prototype EUV point-diffraction interferometer for themore » measurement of Fresnel zoneplate lenses first demonstrated sub-wavelength EUV interferometric capability. These experiments spurred the development of the superior phase-shifting point-diffraction interferometer (PS/PDI), which has been implemented for the testing of an all-reflective lithographic-quality EUV optical system. Both systems rely on pinhole diffraction to produce spherical reference wavefronts in a common-path geometry. Extensive experiments demonstrate EUV wavefront-measuring precision beyond 0.02 waves RMS. EUV imaging experiments provide verification of the high-accuracy of the point-diffraction principle, and demonstrate the utility of the measurements in successfully predicting imaging performance. Complementary to the experimental research, several areas of theoretical investigation related to the novel PS/PDI system are presented. First-principles electromagnetic field simulations of pinhole diffraction are conducted to ascertain the upper limits of measurement accuracy and to guide selection of the pinhole diameter. Investigations of the relative merits of different PS/PDI configurations accompany a general study of the most significant

  19. Coordinated XTE/EUVE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, ASCA, and XTE observed the eclipsing binary Algol (Beta Per) from 1-7 Feb. 96. The coordinated observation covered approximately 2 binary orbits of the system, with a net exposure of approximately 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointing), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the Fe abundance in the Algol system.

  20. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  1. Note: Enhancement of the extreme ultraviolet emission from a potassium plasma by dual laser irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Yamaguchi, Mami; Otsuka, Takamitsu

    2014-09-15

    Emission spectra from multiply charged potassium ions ranging from K{sup 3+} to K{sup 5+} have been obtained in the extreme ultraviolet (EUV) spectral region. A strong emission feature peaking around 38 nm, corresponding to a photon energy of 32.6 eV, is the dominant spectral feature at time-averaged electron temperatures in the range of 8−12 eV. The variation of this emission with laser intensity and the effects of pre-pulses on the relative conversion efficiency (CE) have been explored experimentally and indicate that an enhancement of about 30% in EUV CE is readily attainable.

  2. Identification of coronal sources of the solar wind from solar images in the EUV spectral range

    NASA Astrophysics Data System (ADS)

    Slemzin, V. A.; Shugai, Yu. S.

    2015-01-01

    Methods of localizing coronal sources of the solar wind (SW), such as coronal holes, quasi-stationary fluxes from active regions, and transient sources associated with small-scale active phenomena are considered based on vacuum-ultraviolet (EUV) images of the corona at low solar activity during the initial period of the 24th solar cycle (2010). It is shown that a SW velocity profile can be calculated from the relative areas of coronal holes (CH) at the central part of the disk based on the images in the ranges of 193 and 171 Å. The images in the 193 Å describe the geometry of large HCs that represent sources of fast SW well. The images in 171 Å are a better visualization of small CHs, based on which the profile of a slow SW component was calculated to a high accuracy (up to 65 km/s). According to Hinode/EIS data of October 15, 2010, using the Doppler spectroscopy method at the streamer base over the active region 11112, the source of the outgoing plasma flux with the mean velocity of 17 km/s was localized in the magnetic field region with an intensity of less than 200 Gauss. According to the estimate, the density of the plasma flux from this source is an order of magnitude greater than the value required for explaining the distinction between the calculated and measured profiles of a slow SW velocity. For finding the transient SW component based on small-scale flare activity, SW parameters were analyzed for the periods of flares accompanied by coronal mass ejections (CMEs), and for the periods without flares, according to the data obtained in 2010 from the ACE and GOES satellites and by coronagraphs on the STEREO-A and - B spacecraft. The ion ratios C+6/C+5 and O+7/O+6 and the mean charge of Fe ions for periods with flares were shown to be shifted toward large values, suggesting the presence of a hot SW component associated with flare activity. A noticeable correlation between the maximum charge of Fe ions and the peak power of a flare, previously observed for

  3. Materials Properties and Solvated Electron Dynamics of Isolated Nanoparticles and Nanodroplets Probed with Ultrafast Extreme Ultraviolet Beams.

    PubMed

    Ellis, Jennifer L; Hickstein, Daniel D; Xiong, Wei; Dollar, Franklin; Palm, Brett B; Keister, K Ellen; Dorney, Kevin M; Ding, Chengyuan; Fan, Tingting; Wilker, Molly B; Schnitzenbaumer, Kyle J; Dukovic, Gordana; Jimenez, Jose L; Kapteyn, Henry C; Murnane, Margaret M

    2016-02-18

    We present ultrafast photoemission measurements of isolated nanoparticles in vacuum using extreme ultraviolet (EUV) light produced through high harmonic generation. Surface-selective static EUV photoemission measurements were performed on nanoparticles with a wide array of compositions, ranging from ionic crystals to nanodroplets of organic material. We find that the total photoelectron yield varies greatly with nanoparticle composition and provides insight into material properties such as the electron mean free path and effective mass. Additionally, we conduct time-resolved photoelectron yield measurements of isolated oleylamine nanodroplets, observing that EUV photons can create solvated electrons in liquid nanodroplets. Using photoemission from a time-delayed 790 nm pulse, we observe that a solvated electron is produced in an excited state and subsequently relaxes to its ground state with a lifetime of 151 ± 31 fs. This work demonstrates that femotosecond EUV photoemission is a versatile surface-sensitive probe of the properties and ultrafast dynamics of isolated nanoparticles.

  4. Diagnosis of energy transport in iron buried layer targets using an extreme ultraviolet laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shahzad, M.; Culfa, O.; Rossall, A. K.

    2015-02-15

    We demonstrate the use of extreme ultra-violet (EUV) laboratory lasers in probing energy transport in laser irradiated solid targets. EUV transmission through targets containing a thin layer of iron (50 nm) encased in plastic (CH) after irradiation by a short pulse (35 fs) laser focussed to irradiances 3 × 10{sup 16} Wcm{sup −2} is measured. Heating of the iron layer gives rise to a rapid decrease in EUV opacity and an increase in the transmission of the 13.9 nm laser radiation as the iron ionizes to Fe{sup 5+} and above where the ion ionisation energy is greater than the EUV probe photon energy (89 eV).more » A one dimensional hydrodynamic fluid code HYADES has been used to simulate the temporal variation in EUV transmission (wavelength 13.9 nm) using IMP opacity values for the iron layer and the simulated transmissions are compared to measured transmission values. When a deliberate pre-pulse is used to preform an expanding plastic plasma, it is found that radiation is important in the heating of the iron layer while for pre-pulse free irradiation, radiation transport is not significant.« less

  5. Apollo-Soyuz survey of the extreme-ultraviolet/soft X-ray background

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stern, R.; Bowyer, S.

    1979-06-15

    The results of an extensive sky survey of the extreme-ultraviolet (EUV)/soft X-ray background are reported. The data were obtained with a telescope, designed and calibrated at the University of California at Berkeley, which observed EUV sources and the diffuse background as part of the Apollo-Soyuz mission in 1975 July. With a primary field of view of 2 /sup 0/.3 +- 0 /sup 0/.1 FWHM and four EUV bandpass filters (16--25, 20--73, 80--108, and 80--250 eV), the EUV telescope obtained useful background data for 21 sky points, 11 large angle scans, and an additional group of short observations of both types.more » Analysis of the data reveals an intense 80--108 eV diffuse flux of 4.0 +- 1.3 photons cm/sup -2/ sr/sup -1/ eV/sup -1/ (broad-band weighted average). This is roughly a factor of 10 higher than the corresponding 150--280 eV average intensity and confirms the earlier results of Cash, Malina, and Stern. Galactic contributions to the background intensity at still lower energies are most likely masked by large fluxes of geocoronal or interplanetary solar-scattered resonance radiation; however, we drive upper limits to the local galactic background of 2 x 10/sup 4/ and 6 x 10/sup 2/ photons cm/sup -2/ sr/sup -1/ eV/sup -1/ averaged over the 16--25 eV and 20--73 eV bands, respectively. The uniformity of the background flux is uncertain due to limitations in the statistical accuracy of the data; we discuss probable upper limits to any spatial anisotropy. No evidence is found for a correlation between the telescope count rate and Earth-based parameters (zenith angle, Sun angle, etc.) for E> or approx. =80 eV. Unlike some previous claims for the soft X-ray background, no simple dependence upon galactic latitude is seen.Fitting models of thermal emission to the Apollo-Soyuz data yields constraints on model parameters that are consistent for a limited range of temperatures with the EUV results of Cash, Malina, and Stern and the soft X-ray data of Burstein et al.« less

  6. Undercover EUV Solar Jets Observed by the Interface Region Imaging Spectrograph

    NASA Astrophysics Data System (ADS)

    Chen, N.-H.; Innes, D. E.

    2016-12-01

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si IV spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg II line profiles produced by the jets spires: reversed and non-reversed. Mg II lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (108 erg cm-2 s-1) toward the corona and the downward component is less than 3%.

  7. Extreme Ultraviolet Emission Spectrum of CO_2 Induced by Electron Impact at 200 eV

    NASA Technical Reports Server (NTRS)

    Kanik, I.; Ajello, J. M.; James, G. K.

    1993-01-01

    We present the extreme ultraviolet (EUV) emission spectrum of CO_2 induced by electronimpact at 200 eV. There are 36 spectral features which are identified with a resolution of 0.5 nmover the wavelength range of 40 to 125 nm. Absolute emission cross sections were obtained for eachof these features. The EUV emission spectrum induced by electron impact consist of atomicmultiplets of CI,II and OI,II,III as well as CO and CO^+ molecular band systems produced bydissociative excitation. The CI (119.4 nm) multiplet is the strongest feature of CI with a peak crosssection of 3.61 x 10^(-19) cm^2 at 200 eV. The strongest feature of OI in the EUV spectrum is theOI (99.0 nm) multiplet with a peak cross section of 3.59 x 10^(-19) cm^2 at 200 eV.

  8. Alignment of a multilayer-coated imaging system using extreme ultraviolet Foucault and Ronchi interferometric testing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ray-Chaudhuri, A.K.; Ng, W.; Cerrina, F.

    1995-11-01

    Multilayer-coated imaging systems for extreme ultraviolet (EUV) lithography at 13 nm represent a significant challenge for alignment and characterization. The standard practice of utilizing visible light interferometry fundamentally provides an incomplete picture since this technique fails to account for phase effects induced by the multilayer coating. Thus the development of optical techniques at the functional EUV wavelength is required. We present the development of two EUV optical tests based on Foucault and Ronchi techniques. These relatively simple techniques are extremely sensitive due to the factor of 50 reduction in wavelength. Both techniques were utilized to align a Mo--Si multilayer-coated Schwarzschildmore » camera. By varying the illumination wavelength, phase shift effects due to the interplay of multilayer coating and incident angle were uniquely detected. {copyright} {ital 1995} {ital American} {ital Vacuum} {ital Society}« less

  9. Ionising sources in the coma of 67P probed by Rosetta

    NASA Astrophysics Data System (ADS)

    Heritier, Kevin; Galand, Marina; Henri, Pierre; Eriksson, Anders; Odelstad, Elias; Altwegg, Kathrin; Beth, Arnaud; Broiles, Thomas; Burch, Jim; Carr, Christopher; Cupido, Emanuele; Glassmeier, Karl-Heinz; Nilsson, Hans; Richter, Ingo; Rubin, Martin; Vallieres, Xavier; Vigren, Erik

    2017-04-01

    An ionospheric model has been developed in order to quantify the ion number density in the coma of 67P/Churyumov-Gerasimenko. The model is driven by Rosetta Orbiter Spectrometer for Ion and Neutral Analysis (ROSINA)/Cometary Pressure Sensor (COPS) neutral density and assumes isentropic expansion for the neutral density profile. The two ionisation sources considered are photo-ionisation by solar extreme ultraviolet (EUV) radiation and electron-impact ionisation. The EUV radiation is estimated from fluxes measured by the Thermosphere Ionosphere Mesosphere Energetics and Dynamics (TIMED)/ Solar EUV Experiment (SEE), taking into account the phase shift and the heliocentric distance ratio; between Earth and comet 67P. The electron-impact ionisation production rates are derived from Rosetta Plasma Consortium (RPC)-Ion and Electron Sensor (IES) integrated electron fluxes and corrected for the S/C potential from RPC/LAngmuir Probe (LAP) measurements. Our results are compared with in situ measurements of the plasma density from RPC-Mutual Impedance Probe (MIP) and RPC-LAP. There is a good agreement between the modelled and RPC observed electron densities. The ionospheric model enables to distinguish the relative contributions of the different sources to the total cometary plasma. At high heliocentric distances, electron-impact ionisation becomes the dominant ionisation source and is enhanced over the winter hemisphere. As the solar activity has decreased since the beginning of the mission in 2014, the relative importance of photo-ionisation has decreased as well. However, at low heliocentric distances, photo-ionisation seems to be the most dominant ionising source, in particular through the perihelion period in summer 2015.

  10. Berkeley extreme-ultraviolet airglow rocket spectrometer - BEARS

    NASA Technical Reports Server (NTRS)

    Cotton, D. M.; Chakrabarti, S.

    1992-01-01

    The Berkeley EUV airglow rocket spectrometer (BEARS) instrument is described. The instrument was designed in particular to measure the dominant lines of atomic oxygen in the FUV and EUV dayglow at 1356, 1304, 1027, and 989 A, which is the ultimate source of airglow emissions. The optical and mechanical design of the instrument, the detector, electronics, calibration, flight operations, and results are examined.

  11. Line analysis of EUV Spectra from Molybdenum and Tungsten Injected with Impurity Pellets in LHD

    NASA Astrophysics Data System (ADS)

    Chowdhuri, Malay Bikas; Morita, Shigeru; Goto, Motoshi; Nishimura, Hiroaki; Nagai, Keiji; Fujioka, Shinsuke

    Spectroscopic data on high-Z materials for impurity diagnostics are important due to its possible use as a plasma facing component in the next generation fusion device. For this purpose molybdenum and tungsten are injected by an impurity pellet injector into the large helical device (LHD) plasmas. Emissions from such highly ionized elements mostly fall in extreme ultraviolet (EUV) and soft X-ray ranges. The EUV spectra in a range of 20-500 Å are recorded using a flat-field EUV spectrometer. The observed emissions are identified with the help of its temporal evolution and detailed analysis is done with electron temperature profiles. At high central electron temperature (˜2.2 keV) molybdenum appears as an Al-, Mg- and Na-like ionization stages. Typical examples of identified transitions are Mo XXXI 190.46 Å (3 s2 1S-3s3p 3P) and Mo XXXII 176.63 Å (3s 2S-3p 2P). For tungsten, on the other hand, three well-separated bands appear in wavelength range of 24-80 Å. The transitions around 33 Å have been tentatively identified with the help of calculated values. Most of the isolated lines on the top of pseudo-continuum bands around 50 and 60 Å are identified, and the wavelengths are compared with previous experimental studies and also with calculated values.

  12. Design, fabrication and performance of two grazing incidence telescopes for celestial extreme ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Lampton, M.; Cash, W.; Malina, R. F.; Bowyer, S.

    1977-01-01

    The design and performance of grazing incidence telescopes for celestial extreme ultraviolet (EUV) astronomy are described. The telescopes basically consist of a star tracker, collimator, grazing incidence mirror, vacuum box lid, vacuum housing, filters, a ranicon detector, an electronics box, and an aspect camera. For the survey mirror a Wolter-Schwarzschild type II configuration was selected. Diamond-turning was used for mirror fabrication, a technique which machines surfaces to the order of 10 microns over the required dimensions. The design of the EUV spectrometer is discussed with particular reference to the optics for a primarily spectroscopic application and the fabrication of the f/10 optics.

  13. On the covering fraction variability in an EUV mini-BAL outflow from PG 1206+459

    NASA Astrophysics Data System (ADS)

    Muzahid, S.; Srianand, R.; Charlton, J.; Eracleous, M.

    2016-04-01

    We report on the first detection of extreme-ultraviolet (EUV) absorption variability in the Ne VIII λλ770, 780 mini-broad absorption line (mini-BAL) in the spectrum of the quasar (QSO) PG 1206+459. The observed equivalent width (EW) of the Ne VIII doublet shows a ˜4σ variation over a time-scale of 2.8 months in the QSO's rest frame. Both members of the Ne VIII doublet exhibit non-black saturation, indicating partial coverage of the continuum source. An increase in the Ne VIII covering fraction from fc = 0.59 ± 0.05 to 0.72 ± 0.03 is observed over the same period. The Ne VIII profiles are too highly saturated to be susceptible to changes in the ionization state of the absorbing gas. In fact, we do not observe any significant variation in the EW and/or column density after correcting the spectra for partial coverage. We, thus, propose transverse motions of the absorbing gas as the cause of the observed variability. Using a simple model of a transiting cloud we estimate a transverse speed of ˜1800 km s-1. For Keplerian motion, this corresponds to a distance between the absorber and the central engine of ˜1.3 pc, which places the absorber just outside the broad-line region. We further estimate a density of ˜5 × 106 cm-3 and a kinetic luminosity of ˜1043-1044 erg s-1. Such large kinetic powers suggest that outflows detected via EUV lines are potentially major contributors to active galactic nuclei feedback.

  14. Extreme Ultraviolet Variability Experiment (EVE) Multiple EUV Grating Spectrographs (MEGS): Radiometric Calibrations and Results

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Crotser, D.; Eparvier, F. G.; Woodraska, D. L.; Chamberlin, P. C.; Woods, E. C.

    2010-01-01

    The NASA Solar Dynamics Observatory (SDO), scheduled for launch in early 2010, incorporates a suite of instruments including the Extreme Ultraviolet Variability Experiment (EVE). EVE has multiple instruments including the Multiple Extreme ultraviolet Grating Spectrographs (MEGS) A, B, and P instruments, the Solar Aspect Monitor (SAM), and the Extreme ultraviolet SpectroPhotometer (ESP). The radiometric calibration of EVE, necessary to convert the instrument counts to physical units, was performed at the National Institute of Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF III) located in Gaithersburg, Maryland. This paper presents the results and derived accuracy of this radiometric calibration for the MEGS A, B, P, and SAM instruments, while the calibration of the ESP instrument is addressed by Didkovsky et al. . In addition, solar measurements that were taken on 14 April 2008, during the NASA 36.240 sounding-rocket flight, are shown for the prototype EVE instruments.

  15. Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks

    NASA Astrophysics Data System (ADS)

    Sherwin, Stuart; Neureuther, Andrew; Naulleau, Patrick

    2017-10-01

    Achieving high-throughput extreme ultraviolet (EUV) patterning remains a major challenge due to low source power; phase-shift masks can help solve this challenge for dense features near the resolution limit by creating brighter images than traditional absorber masks when illuminated with the same source power. We explore applications of etched multilayer phase-shift masks for EUV lithography, both in the current-generation 0.33 NA and next-generation 0.55 NA systems. We derive analytic formulas for the thin-mask throughput gains, which are 2.42× for lines and spaces and 5.86× for contacts compared with an absorber mask with dipole and quadrupole illumination, respectively. Using rigorous finite-difference time-domain simulations, we quantify variations in these gains by pitch and orientation, finding 87% to 113% of the thin-mask value for lines and spaces and a 91% to 99% for contacts. We introduce an edge placement error metric, which accounts for CD errors, relative feature motion, and telecentricity errors, and use this metric both to optimize mask designs for individual features and to explore which features can be printed on the same mask. Furthermore, we find that although partial coherence shrinks the process window, at an achievable sigma of 0.2 we obtain a depth of focus of 340 nm and an exposure latitude of 39.2%, suggesting that partial coherence will not limit the feasibility of this technology. Finally, we show that many problems such as sensitivity to etch uniformity can be greatly mitigated using a central obscuration in the imaging pupil.

  16. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thompson, B. J.; Young, C. A., E-mail: barbara.j.thompson@nasa.gov

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using themore » following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.« less

  17. Persistence Mapping Using EUV Solar Imager Data

    NASA Technical Reports Server (NTRS)

    Thompson, B. J.; Young, C. A.

    2016-01-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call "Persistence Mapping," to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or "time-lapse" imaging uses the full sample (of size N ), Persistence Mapping rejects (N - 1)/N of the data set and identifies the most relevant 1/N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  18. Bright high-repetition-rate source of narrowband extreme-ultraviolet harmonics beyond 22 eV

    PubMed Central

    Wang, He; Xu, Yiming; Ulonska, Stefan; Robinson, Joseph S.; Ranitovic, Predrag; Kaindl, Robert A.

    2015-01-01

    Novel table-top sources of extreme-ultraviolet light based on high-harmonic generation yield unique insight into the fundamental properties of molecules, nanomaterials or correlated solids, and enable advanced applications in imaging or metrology. Extending high-harmonic generation to high repetition rates portends great experimental benefits, yet efficient extreme-ultraviolet conversion of correspondingly weak driving pulses is challenging. Here, we demonstrate a highly-efficient source of femtosecond extreme-ultraviolet pulses at 50-kHz repetition rate, utilizing the ultraviolet second-harmonic focused tightly into Kr gas. In this cascaded scheme, a photon flux beyond ≈3 × 1013 s−1 is generated at 22.3 eV, with 5 × 10−5 conversion efficiency that surpasses similar harmonics directly driven by the fundamental by two orders-of-magnitude. The enhancement arises from both wavelength scaling of the atomic dipole and improved spatio-temporal phase matching, confirmed by simulations. Spectral isolation of a single 72-meV-wide harmonic renders this bright, 50-kHz extreme-ultraviolet source a powerful tool for ultrafast photoemission, nanoscale imaging and other applications. PMID:26067922

  19. A study of the mechanical vibrations of a table-top extreme ultraviolet interference nanolithography tool.

    PubMed

    Prezioso, S; De Marco, P; Zuppella, P; Santucci, S; Ottaviano, L

    2010-04-01

    A prototype low cost table-top extreme ultraviolet (EUV) laser source (1.5 ns pulse duration, lambda=46.9 nm) was successfully employed as a laboratory scale interference nanolithography (INL) tool. Interference patterns were obtained with a simple Lloyd's mirror setup. Periodic structures on Polymethylmethacrylate/Si substrates were produced on large areas (8 mm(2)) with resolutions from 400 to 22.5 nm half pitch (the smallest resolution achieved so far with table-top EUV laser sources). The mechanical vibrations affecting both the laser source and Lloyd's setup were studied to determine if and how they affect the lateral resolution of the lithographic system. The vibration dynamics was described by a statistical model based on the assumption that the instantaneous position of the vibrating mechanical parts follows a normal distribution. An algorithm was developed to simulate the process of sample irradiation under different vibrations. The comparison between simulations and experiments allowed to estimate the characteristic amplitude of vibrations that was deduced to be lower than 50 nm. The same algorithm was used to reproduce the expected pattern profiles in the lambda/4 half pitch physical resolution limit. In that limit, a nonzero pattern modulation amplitude was obtained from the simulations, comparable to the peak-to-valley height (2-3 nm) measured for the 45 nm spaced fringes, indicating that the mechanical vibrations affecting the INL tool do not represent a limit in scaling down the resolution.

  20. Large area, surface discharge pumped, vacuum ultraviolet light source

    DOEpatents

    Sze, Robert C.; Quigley, Gerard P.

    1996-01-01

    Large area, surface discharge pumped, vacuum ultraviolet (VUV) light source. A contamination-free VUV light source having a 225 cm.sup.2 emission area in the 240-340 nm region of the electromagnetic spectrum with an average output power in this band of about 2 J/cm.sup.2 at a wall-plug efficiency of approximately 5% is described. Only ceramics and metal parts are employed in this surface discharge source. Because of the contamination-free, high photon energy and flux, and short pulse characteristics of the source, it is suitable for semiconductor and flat panel display material processing.

  1. Large area, surface discharge pumped, vacuum ultraviolet light source

    DOEpatents

    Sze, R.C.; Quigley, G.P.

    1996-12-17

    Large area, surface discharge pumped, vacuum ultraviolet (VUV) light source is disclosed. A contamination-free VUV light source having a 225 cm{sup 2} emission area in the 240-340 nm region of the electromagnetic spectrum with an average output power in this band of about 2 J/cm{sup 2} at a wall-plug efficiency of approximately 5% is described. Only ceramics and metal parts are employed in this surface discharge source. Because of the contamination-free, high photon energy and flux, and short pulse characteristics of the source, it is suitable for semiconductor and flat panel display material processing. 3 figs.

  2. Million Degree Plasmas in Extreme Ultraviolet (EUV) Astrophysics. White Paper in Response to Astro2010 Science Call

    DTIC Science & Technology

    2010-01-01

    photometry , timing measurements of suitable cadence, and advanced theory are the keys to understanding the physics of million degree plasmas in...Disentangling these components requires time - and phase- resolved spectroscopic observations of a sample that spans a range of mass accretion rates...many narrow lines, or a continuum with strong, broad absorption features. Key Objective: Obtaining time - and phase- resolved high-resolution EUV

  3. Overlying extreme-ultraviolet arcades preventing eruption of a filament observed by AIA/SDO

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Huadong; Ma, Suli; Zhang, Jun, E-mail: hdchen@upc.edu.cn

    2013-11-20

    Using the multi-wavelength data from the Atmospheric Imaging Assembly/Solar Dynamic Observatory (AIA/SDO) and the Sun Earth Connection Coronal and Heliospheric Investigation/Solar Terrestrial Relations Observatory (SECCHI/STEREO), we report a failed filament eruption in NOAA AR 11339 on 2011 November 3. The eruption was associated with an X1.9 flare, but without any coronal mass ejection (CME), coronal dimming, or extreme ultraviolet (EUV) waves. Some magnetic arcades above the filament were observed distinctly in EUV channels, especially in the AIA 94 Å and 131 Å wavebands, before and during the filament eruption process. Our results show that the overlying arcades expanded along withmore » the ascent of the filament at first until they reached a projected height of about 49 Mm above the Sun's surface, where they stopped. The following filament material was observed to be confined by the stopped EUV arcades and not to escape from the Sun. After the flare, a new filament formed at the low corona where part of the former filament remained before its eruption. These results support that the overlying arcades play an important role in preventing the filament from successfully erupting outward. We also discuss in this paper the EUV emission of the overlying arcades during the flare. It is rare for a failed filament eruption to be associated with an X1.9 class flare, but not with a CME or EUV waves. Therefore, this study also provides valuable insight into the triggering mechanism of the initiation of CMEs and EUV waves.« less

  4. Availability of underlayer application to EUV process

    NASA Astrophysics Data System (ADS)

    Kosugi, Hitoshi; Fonseca, Carlos; Iwao, Fumiko; Marumoto, Hiroshi; Kim, Hyun-Woo; Cho, Kyoungyong; Park, Cheol-Hong; Park, Chang-Min; Na, Hai-Sub; Koh, Cha-Won; Cho, Hanku

    2011-04-01

    EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.

  5. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    NASA Astrophysics Data System (ADS)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  6. Extreme ultraviolet observations of HZ 43 and the local H/He ratio with the Hopkins Ultraviolet Telescope

    NASA Technical Reports Server (NTRS)

    Kimble, Randy A.; Davidsen, Arthur F.; Long, Knox S.; Feldman, Paul D.

    1993-01-01

    We present a spectrum of the hot DA white dwarf HZ 43 in the EUV, near the 504-A ionization edge of neutral helium, obtained with the Hopkins Ultraviolet Telescope (HUT) during the 1990 December Astro-1 mission. The interstellar column densities derived from this spectrum rule out the anomalous interstellar absorption model proposed by Heise et al.(1991), which required a greater column density of neutral helium than neutral hydrogen toward HZ 43 in order to explain the low EUV flux from HZ 43 reported by EXOSAT. Instead, we find the interstellar neutral H/He ratio toward HZ 43 to be consistent with the canonical cosmic abundance ratio of 10 or with the 11.6 +/- 1.0 ratio measured by HUT along the line of sight toward another DA white dwarf, G191-B2B. The HUT observations suggest that either there is a substantial calibration error in the EXOSAT spectroscopy of HZ 43, or otherwise undetected metals in the nominally pure hydrogen HZ 43 atmosphere suppress its flux between 150 and 300 A, or both.

  7. Laboratory Studies in UV and EUV Solar Physics

    NASA Technical Reports Server (NTRS)

    Parkinson, William

    2003-01-01

    The Ion Beam Experiment at the Center for Astrophysics is dedicated to the study of ion-electron collision processes of importance in solar physics. A paper describing our most recent measurement 'Absolute cross section for Si(2+)(3s3p(sup 3)Rho (sup 0) yields 3s3p(sup 1)Rho(sup 0)) electron-impact excitation' was published during the past year. Dr. Paul Janzen received his PhD. from the Harvard Physics Department on the basis of this and other work, such as the new electron cyclotron resonance (ECR) ion source. The ion source is producing stable beams with large currents for our present work on C(2+), and it also produces stable beams with large currents of more highly charged systems, for future work on systems such as O(4+). The past year has been focussed on our current program to measure absolute cross sections for Electron Impact Excitation (EIE) in C(2+), one of the primary ions used for probing the solar transition region. C(2+) beams produced by the ion source have been transported to the interaction region of the experiment, where the collisions are studied, and Visiting Scientist Dr. Adrian Daw is currently collecting data to measure the C(2+)(2s2p(sup 3)Rho(sup 0) yields 2p(sup 2)(sup 3)Rho) EIE cross section as a function of collision energy, under the guidance of Drs. John Kohl, Larry Gardner and Bill Parkinson. Also this year, modifications were made to the ECR ion source in order to produce greater currents of highly charged ions. Testing of the ion source was completed. Modifications were designed to extend the photon detection capabilities of the apparatus to shorter UV wavelengths, or EUV. Following the work on C(2+)(2s2p(sup 3)Pho(sup 0) yields 2p(sup 2)(sup 3)Rho), the extended UV detection capabilities will be used to measure the C(2+)(2s(sup 2)(sup 1)S yields 2s2p(sup 1)Rho(sup 0)) EIE cross section. The EUV modifications complement those of the new ion source, by enabling detection of EUV light generated by high charge state ions and putting

  8. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    NASA Astrophysics Data System (ADS)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  9. Studies of the extreme ultraviolet/soft x-ray background

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stern, R.A.

    1978-01-01

    The results of an extensive sky survey of the extreme ultraviolet (EUV)/soft x-ray background are reported. The data were obtained with a focusing telescope designed and calibrated at U.C. Berkeley which observed EUV sources and the diffuse background as part of the Apollo-Soyuz mission in July, 1975. With a primary field-of-view of 2.3 + 0.1/sup 0/ FWHM and four EUV bandpass filters (16 to 25, 20 to 73, 80 to 108, and 80 to 250 eV) the EUV telescope obtained background data included in the final observational sample for 21 discrete sky locations and 11 large angular scans, as wellmore » as for a number of shorter observations. Analysis of the data reveals as intense flux above 80 eV energy, with upper limits to the background intensity given for the lower energy filters Ca 2 x 10/sup 4/ and 6 x 10/sup 2/ ph cm/sup -2/ sec/sup -1/ ster/sup -1/ eV/sup -1/ at 21 and 45 eV respectively). The 80 to 108 eV flux agrees within statistical errors with the earlier results of Cash, Malina and Stern (1976): the Apollo-Soyuz average reported intensity is 4.0 +- 1.3 ph cm/sup -2/ sec/sup -1/ ster/sup -1/ eV/sup -1/ at Ca 100 eV, or roughly a factor of ten higher than the corresponding 250 eV intensity. The uniformity of the background flux is uncertain due to limitations in the statistical accuracy of the data; upper limits to the point-to-point standard deviation of the background intensity are (..delta..I/I approximately less than 0.8 +- 0.4 (80 to 108 eV) and approximately less than 0.4 +- 0.2 (80 to 250 eV). No evidence is found for a correlation between the telescope count rate and earth-based parameters (zenith angle, sun angle, etc.) for E approximately greater than 80 eV (the lower energy bandpasses are significantly affected by scattered solar radiation. Unlike some previous claims for the soft x-ray background, no simple dependence upon galactic latitude is seen.« less

  10. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, N.-H.; Innes, D. E.

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT tomore » 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.« less

  11. The Origin of the EUV Late Phase: A Case Study of the C8.8 Flare on 2010 May 5

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Klimchuk, J. A.; Eparvier, F. G.; Jones, A. R.

    2012-01-01

    Since the launch of NASA's Solar Dynamics Observatory on 2010 February 11, the Extreme ultraviolet Variability Experiment (EVE) has observed numerous flares. One interesting feature observed by EVE is that a subset of flares exhibit an additional enhancement of the 2-3 million K emission several hours after the flares soft X-ray emission. From the Atmospheric Imaging Assembly (AIA) images, we observe that this secondary emission, dubbed the EUV late phase, occurs in the same active region as the flare but not in the same coronal loops. Here, we examine the C8.8 flare that occurred on 2010 May 5 as a case study of EUV late phase flares. In addition to presenting detailed observations from both AIA and EVE, we develop a physical model of this flare and test it using the Enthalpy Based Thermal Evolution of Loops (EBTEL) model.

  12. Dynamical structure of extreme ultraviolet macrospicules

    NASA Technical Reports Server (NTRS)

    Karovska, Margarita; Habbal, Shadia Rifai

    1994-01-01

    We describe the substructures forming the macrospicules and their temporal evolution, as revealed by the application of an image enhancement algorithm to extreme ultraviolet (EUV) observations of macrospicules. The enhanced images uncover, for the first time, the substructures forming the column-like structures within the macrospicules and the low-lying arches at their base. The spatial and temporal evolution of macrospicules clearly show continuous interaction between these substructures with occasional ejection of plasma following a ballistic trajectory. We comment on the importance of these results for planning near future space observations of macrospicules with better temporal and spatial resolution.

  13. EUV Spectroscopy of High-redshift X-ray Objects

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.

    2010-03-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGNs for example, will have their maxima redshifted into the EUV waveband ( 90-912 Å/0.1-0.01 keV). Consequently, a wealth of spectral diagnostics, provided by, for example, the Fe L-shell complex ( 60-6 Å/0.2-2.0 keV) and the O VII/VIII lines ( 20 Å/0.5 keV), will be lost to X-ray instruments operating at traditional ( 0.5-10 keV) and higher X-ray energies. There are precedents in other wavebands. For example, HST evolutionary studies will become largely the province of JWST. Despite the successes of EUVE, the ROSAT WFC, and the Chandra LETG, the EUV continues to be unappreciated and under-utilized, partly because of a preconception that absorption by neutral galactic Hydrogen in the ISM prevents any useful extragalactic measurements at all EUV wavelengths and, until recently, by a lack of a suitable enabling technology. Thus, if future planned X-ray missions (e.g., IXO, Gen-X) are optimized again for traditional X-ray energies, their performance (effective area, resolving power) will be cut off at ultrasoft X-ray energies or at best be radically reduced in the EUV. This opens up a critical gap in performance located right at short EUV wavelengths, where the critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nano-laminate fabrication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on

  14. First environmental data from the EUV engineering test stand

    NASA Astrophysics Data System (ADS)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  15. Coordinated ASCA/EUVE/XTE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, Advanced Satellite for Cosmology and Astrophysics (ASCA), and X-ray Timing Explorer (XTE) observed the eclipsing binary Algol (Beta Per) from 1-7 Feb 1996. The coordinated observation covered approx. 2 binary orbits of the system, with a net exposure of approx. 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointings), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the abundance in the Algol system.

  16. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  17. High sensitivity microchannel plate detectors for space extreme ultraviolet missions.

    PubMed

    Yoshioka, K; Homma, T; Murakami, G; Yoshikawa, I

    2012-08-01

    Microchannel plate (MCP) detectors have been widely used as two-dimensional photon counting devices on numerous space EUV (extreme ultraviolet) missions. Although there are other choices for EUV photon detectors, the characteristic features of MCP detectors such as their light weight, low dark current, and high spatial resolution make them more desirable for space applications than any other detector. In addition, it is known that the photocathode can be tailored to increase the quantum detection efficiency (QDE) especially for longer UV wavelengths (100-150 nm). There are many types of photocathode materials available, typically alkali halides. In this study, we report on the EUV (50-150 nm) QDE evaluations for MCPs that were coated with Au, MgF(2), CsI, and KBr. We confirmed that CsI and KBr show 2-100 times higher QDEs than the bare photocathode MCPs, while Au and MgF(2) show reduced QDEs. In addition, the optimal geometrical parameters for the CsI deposition were also studied experimentally. The best CsI thickness was found to be 150 nm, and it should be deposited on the inner wall of the channels only where the EUV photons initially impinge. We will also discuss the techniques and procedures for reducing the degradation of the photocathode while it is being prepared on the ground before being deployed in space, as adopted by JAXA's EXCEED mission which will be launched in 2013.

  18. Lessons learned from the introduction of autonomous monitoring to the EUVE science operations center

    NASA Technical Reports Server (NTRS)

    Lewis, M.; Girouard, F.; Kronberg, F.; Ringrose, P.; Abedini, A.; Biroscak, D.; Morgan, T.; Malina, R. F.

    1995-01-01

    The University of California at Berkeley's (UCB) Center for Extreme Ultraviolet Astrophysics (CEA), in conjunction with NASA's Ames Research Center (ARC), has implemented an autonomous monitoring system in the Extreme Ultraviolet Explorer (EUVE) science operations center (ESOC). The implementation was driven by a need to reduce operations costs and has allowed the ESOC to move from continuous, three-shift, human-tended monitoring of the science payload to a one-shift operation in which the off shifts are monitored by an autonomous anomaly detection system. This system includes Eworks, an artificial intelligence (AI) payload telemetry monitoring package based on RTworks, and Epage, an automatic paging system to notify ESOC personnel of detected anomalies. In this age of shrinking NASA budgets, the lessons learned on the EUVE project are useful to other NASA missions looking for ways to reduce their operations budgets. The process of knowledge capture, from the payload controllers for implementation in an expert system, is directly applicable to any mission considering a transition to autonomous monitoring in their control center. The collaboration with ARC demonstrates how a project with limited programming resources can expand the breadth of its goals without incurring the high cost of hiring additional, dedicated programmers. This dispersal of expertise across NASA centers allows future missions to easily access experts for collaborative efforts of their own. Even the criterion used to choose an expert system has widespread impacts on the implementation, including the completion time and the final cost. In this paper we discuss, from inception to completion, the areas where our experiences in moving from three shifts to one shift may offer insights for other NASA missions.

  19. A chain of winking (oscillating) filaments triggered by an invisible extreme-ultraviolet wave

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, Yuandeng; Tian, Zhanjun; Zhao, Ruijuan

    2014-05-10

    Winking (oscillating) filaments have been observed for many years. However, observations of successive winking filaments in one event have not yet been reported. In this paper, we present the observations of a chain of winking filaments and a subsequent jet that are observed right after the X2.1 flare in AR11283. The event also produced an extreme-ultraviolet (EUV) wave that has two components: an upward dome-like wave (850 km s{sup –1}) and a lateral surface wave (554 km s{sup –1}) that was very weak (or invisible) in imaging observations. By analyzing the temporal and spatial relationships between the oscillating filaments andmore » the EUV waves, we propose that all the winking filaments and the jet were triggered by the weak (or invisible) lateral surface EUV wave. The oscillation of the filaments last for two or three cycles, and their periods, Doppler velocity amplitudes, and damping times are 11-22 minutes, 6-14 km s{sup –1}, and 25-60 minutes, respectively. We further estimate the radial component magnetic field and the maximum kinetic energy of the filaments, and they are 5-10 G and ∼10{sup 19} J, respectively. The estimated maximum kinetic energy is comparable to the minimum energy of ordinary EUV waves, suggesting that EUV waves can efficiently launch filament oscillations on their path. Based on our analysis results, we conclude that the EUV wave is a good agent for triggering and connecting successive but separated solar activities in the solar atmosphere, and it is also important for producing solar sympathetic eruptions.« less

  20. Comparative lifetesting results for microchannel plates in windowless EUV photon detectors

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Coburn, K. R.

    1984-01-01

    Microchannel plates (MCPs) from seven manufacturers were subjected to a series of tests to determine their suitability for the Extreme Ultraviolet Explorer satellite. Comparative data are presented for sixteen MCP tandem pairs with channel length to diameter ratios (l/d) ranging from 40:1 to 60:1 and for two saturable (curved channel) MCPs with l/d's of 80:1. Results for MCPs with funnelled channel throats are also discussed. Properties of the MCPs which were monitored include: background count rate, output charge pulse height distribution (PHD), modal gain, PHD full width half maximum (FWHM), and extreme ultraviolet (EUV) photon quantum efficiency. Five detectors were chosen for further lifetime testing consisting of a mild bake to 100 C, and charge extraction to 0.01 coulombs, repeated high voltage cycling and reexposure to one atmosphere conditions. The results of these tests and their implications for the flight detectors are discussed. Erratic events in the detector background were recorded, probably due to field emission from high voltage surfaces or the absorption of water vapor into the electrode following exposure to air. The steps taken to control the detector background are discussed.

  1. Model based high NA anamorphic EUV RET

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Wiaux, Vincent; Fenger, Germain; Clifford, Chris; Liubich, Vlad; Hendrickx, Eric

    2018-03-01

    With the announcement of the extension of the Extreme Ultraviolet (EUV) roadmap to a high NA lithography tool that utilizes anamorphic optics design, an investigation of design tradeoffs unique to the imaging of anamorphic lithography tool is shown. An anamorphic optical proximity correction (OPC) solution has been developed that models fully the EUV near field electromagnetic effects and the anamorphic imaging using the Domain Decomposition Method (DDM). Clips of imec representative for the N3 logic node were used to demonstrate the OPC solutions on critical layers that will benefit from the increased contrast at high NA using anamorphic imaging. However, unlike isomorphic case, from wafer perspective, OPC needs to treat x and y differently. In the paper, we show a design trade-off seen unique to Anamorphic EUV, namely that using a mask rule of 48nm (mask scale), approaching current state of the art, limitations are observed in the available correction that can be applied to the mask. The metal pattern has a pitch of 24nm and CD of 12nm. During OPC, the correction of the metal lines oriented vertically are being limited by the mask rule of 12nm 1X. The horizontally oriented lines do not suffer from this mask rule limitation as the correction is allowed to go to 6nm 1X. For this example, the masks rules will need to be more aggressive to allow complete correction, or design rules and wafer processes (wafer rotation) would need to be created that utilize the orientation that can image more aggressive features. When considering VIA or block level correction, aggressive polygon corner to corner designs can be handled with various solutions, including applying a 45 degree chop. Multiple solutions are discussed with the metrics of edge placement error (EPE) and Process Variation Bands (PVBands), together with all the mask constrains. Noted in anamorphic OPC, the 45 degree chop is maintained at the mask level to meet mask manufacturing constraints, but results in skewed

  2. High efficiency spectrographs for the EUV and soft X-rays

    NASA Technical Reports Server (NTRS)

    Cash, W.

    1983-01-01

    The use of grazing incidence optics and reflection grating designs is shown to be a method that improves the performance of spectrographs at wavelengths shorter than 1200 A. Emphasis is laid on spectroscopic designs for X ray and EUV astronomy, with sample designs for an objective reflection grating spectrograph (ORGS) and an echelle spectrograph for wavelengths longer than 100 A. Conical diffraction allows operations at grazing incidence in the echelle spectrograph. In ORGS, the extreme distance of X ray objects aids in collimating the source radiation, which encounters conical diffraction within the instrument, proceeds parallel to the optical axis, and arrives at the detector. A series of gratings is used to achieve the effect. A grazing echelle is employed for EUV observations, and offers a resolution of 20,000 over a 300 A bandpass.

  3. Probing the Production of Extreme-ultraviolet Late-phase Solar Flares Using the Model Enthalpy-based Thermal Evolution of Loops

    NASA Astrophysics Data System (ADS)

    Dai, Yu; Ding, Mingde

    2018-04-01

    Recent observations in extreme-ultraviolet (EUV) wavelengths reveal an EUV late phase in some solar flares that is characterized by a second peak in warm coronal emissions (∼3 MK) several tens of minutes to a few hours after the soft X-ray (SXR) peak. Using the model enthalpy-based thermal evolution of loops (EBTEL), we numerically probe the production of EUV late-phase solar flares. Starting from two main mechanisms of producing the EUV late phase, i.e., long-lasting cooling and secondary heating, we carry out two groups of numerical experiments to study the effects of these two processes on the emission characteristics in late-phase loops. In either of the two processes an EUV late-phase solar flare that conforms to the observational criteria can be numerically synthesized. However, the underlying hydrodynamic and thermodynamic evolutions in late-phase loops are different between the two synthetic flare cases. The late-phase peak due to a long-lasting cooling process always occurs during the radiative cooling phase, while that powered by a secondary heating is more likely to take place in the conductive cooling phase. We then propose a new method for diagnosing the two mechanisms based on the shape of EUV late-phase light curves. Moreover, from the partition of energy input, we discuss why most solar flares are not EUV late flares. Finally, by addressing some other factors that may potentially affect the loop emissions, we also discuss why the EUV late phase is mainly observed in warm coronal emissions.

  4. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  5. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  6. A Penning discharge source for extreme ultraviolet calibration

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Jelinsky, Patrick; Bowyer, Stuart; Malina, Roger F.

    1986-01-01

    A Penning discharge lamp for use in the calibration of instruments and components for the extreme ultraviolet has been developed. This source is sufficiently light and compact to make it suitable for mounting on the movable slit assembly of a grazing incidence Rowland circle monochromator. Because this is a continuous discharge source, it is suitable for use with photon counting detectors. Line radiation is provided both by the gas and by atoms sputtered off the interchangeable metal cathodes. Usable lines are produced by species as highly ionized as Ne IV and Al V. The wavelength coverage provided is such that a good density of emission lines is available down to wavelengths as short as 100A. This source fills the gap between 100 and 300A, which is inadequately covered by the other available compact continuous radiation sources.

  7. SOLAR-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2001-01-01

    This Monthly Progress Report covers the reporting period through June 2001, Phase C/D, Detailed Design and Development Through Launch Plus Thirty Days, for selected components and subsystems of the Extreme ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  8. Solar-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2002-01-01

    This Monthly Progress Report covers the reporting period August 2002 of the Detailed Design and Development through Launch plus Thirty Days, Phase C/D, for selected components and subsystems of the Extreme ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  9. SOLAR-B Mission Extreme Ultraviolet (EUV) Imaging Spectrometer (EIS) Instrument Components

    NASA Technical Reports Server (NTRS)

    Doschek, George A.

    2001-01-01

    This Monthly Progress Report covers the reporting period July 2001 of the Detailed Design and Development through Launch plus Thirty Days, Phase C/D, for selected components and subsystems of the Extreme Ultraviolet Imaging Spectrometer (EIS) instrument, hereafter referred to as EIS Instrument Components. This document contains the program status through the reporting period and forecasts the status for the upcoming reporting period.

  10. Monitoring of solar far ultraviolet radiation from the OSO-5 satellite

    NASA Technical Reports Server (NTRS)

    Rense, W. A.; Parker, R.

    1972-01-01

    A spectrophotometer for monitoring the solar EUV in three broad wavelength bands is described. The kind of data obtained, along with sources of error, are presented. The content of the tape library which contains the data is outlined. The scientific results are discussed. These include the following: solar flares in the EUV, solar eclipse observations in the EUV, SFD's and relationship to solar flares, and the application of satellite sunrise and sunset data for the study of model upper atmospheres for the earth.

  11. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Ohomori, Katsumi; Kozawa, Takahiro

    2015-03-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub 10nm. An anion-bound polymer(ABP), in which at the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using γ and EUV radiolysis. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The protons of acids are considered to be mainly generated through the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through the hole transfer to the decomposition products of onium salts.

  12. Ultraviolet source for rocket measurements of nitric oxide in the upper atmosphere. [D region

    NASA Technical Reports Server (NTRS)

    Siddiqui, J. M. H.

    1974-01-01

    An ultraviolet source suitable for balloon and rocket payloads for measurements of nitric oxide in the lower D-region of the ionosphere was developed. The source primarily emits 1236 A and 1165 A photons obtained from an R.F.-excited krypton discharge in a resonator of coaxial geometry. Ultraviolet flux output greater than 10 to the 14th power photons/sec can be obtained from this source. A systematic design philosophy is developed which enables the photon output to be optimized with respect to photon wavelength, gas pressure, R.F., resonator geometry, and gas to be used. Critical factors in the design are discussed in detail.

  13. Probing the infrared counterparts of diffuse far-ultraviolet sources in the Galaxy

    NASA Astrophysics Data System (ADS)

    Saikia, Gautam; Shalima, P.; Gogoi, Rupjyoti; Pathak, Amit

    2017-12-01

    Recent availability of high quality infrared (IR) data for diffuse regions in the Galaxy and external galaxies have added to our understanding of interstellar dust. A comparison of ultraviolet (UV) and IR observations may be used to estimate absorption, scattering and thermal emission from interstellar dust. In this paper, we report IR and UV observations for selective diffuse sources in the Galaxy. Using archival mid-infrared (MIR) and far-infrared (FIR) observations from Spitzer Space Telescope, we look for counterparts of diffuse far-ultraviolet (FUV) sources observed by the Voyager, Far Ultraviolet Spectroscopic Explorer (FUSE) and Galaxy Evolution Explorer (GALEX) telescopes in the Galaxy. IR emission features at 8 μm are generally attributed to Polycyclic Aromatic Hydrocarbon (PAH) molecules, while emission at 24 μm are attributed to Very Small Grains (VSGs). The data presented here is unique and our study tries to establish a relation between various dust populations. By studying the FUV-IR correlations separately at low and high latitude locations, we have identified the grain component responsible for the diffuse FUV emission.

  14. Surface modification of polymers for biocompatibility via exposure to extreme ultraviolet radiation.

    PubMed

    Inam Ul Ahad; Bartnik, Andrzej; Fiedorowicz, Henryk; Kostecki, Jerzy; Korczyc, Barbara; Ciach, Tomasz; Brabazon, Dermot

    2014-09-01

    Polymeric biomaterials are being widely used for the treatment of various traumata, diseases and defects in human beings due to ease in their synthesis. As biomaterials have direct interaction with the extracellular environment in the biological world, biocompatibility is a topic of great significance. The introduction or enhancement of biocompatibility in certain polymers is still a challenge to overcome. Polymer biocompatibility can be controlled by surface modification. Various physical and chemical methods (e.g., chemical and plasma treatment, ion implantation, and ultraviolet irradiation etc.) are in use or being developed for the modification of polymer surfaces. However an important limitation in their employment is the alteration of bulk material. Different surface and bulk properties of biomaterials are often desirable for biomedical applications. Because extreme ultraviolet (EUV) radiation penetration is quite limited even in low density mediums, it could be possible to use it for surface modification without influencing the bulk material. This article reviews the degree of biocompatibility of different polymeric biomaterials being currently employed in various biomedical applications, the surface properties required to be modified for biocompatibility control, plasma and laser ablation based surface modification techniques, and research studies indicating possible use of EUV for enhancing biocompatibility. © 2013 Wiley Periodicals, Inc.

  15. SiC-based Photo-detectors for UV, VUV, EUV and Soft X-ray Detection

    NASA Technical Reports Server (NTRS)

    Yan, Feng

    2006-01-01

    A viewgraph presentation describing an ideal Silicon Carbide detector for ultraviolet, vacuum ultraviolet, extreme ultraviolet and soft x-ray detection is shown. The topics include: 1) An ideal photo-detector; 2) Dark current density of SiC photodiodes at room temperature; 3) Dark current in SiC detectors; 4) Resistive and capacitive feedback trans-impedance amplifier; 5) Avalanche gain; 6) Excess noise; 7) SNR in single photon counting mode; 8) Structure of SiC single photon counting APD and testing structure; 9) Single photon counting waveform and testing circuit; 10) Amplitude of SiC single photon counter; 11) Dark count of SiC APD photon counters; 12) Temperature-dependence of dark count rate; 13) Reduce the dark count rate by reducing the breakdown electric field; 14) Spectrum range for SiC detectors; 15) QE curves of Pt/4H-SiC photodiodes; 16) QE curve of SiC; 17) QE curves of SiC photodiode vs. penetration depth; 18) Visible rejection of SiC photodiodes; 19) Advantages of SiC photodiodes; 20) Competitors of SiC detectors; 21) Extraterrestrial solar spectra; 22) Visible-blind EUV detection; 23) Terrestrial solar spectra; and 24) Less than 1KeV soft x-ray detection.

  16. Extreme ultraviolet spectra of Venusian airglow observed by EXCEED

    NASA Astrophysics Data System (ADS)

    Nara, Yusuke; Yoshikawa, Ichiro; Yoshioka, Kazuo; Murakami, Go; Kimura, Tomoki; Yamazaki, Atsushi; Tsuchiya, Fuminori; Kuwabara, Masaki; Iwagami, Naomoto

    2018-06-01

    Extreme ultraviolet (EUV) spectra of Venus in the wavelength range 520 - 1480 Å with 3 - 4 Å resolutions were obtained in March 2014 by an EUV imaging spectrometer EXCEED (Extreme Ultraviolet Spectroscope for Exospheric Dynamics) on the HISAKI spacecraft. Due to its high sensitivity and long exposure time, many new emission lines and bands were identified. Already known emissions such as the O II 834 Å, O I 989 Å, H ILy - β 1026 Å, and the C I 1277 Å lines (Broadfoot et al., 1974; Bertaux et al., 1980; Feldman et al., 2000) are also detected in the EXCEED spectrum. In addition, N2 band systems such as the Lyman-Birge-Hopfield (a 1Πg - X 1Σg+) (2, 0), (2, 1), (3, 1), (3, 2) and (5, 3) bands, the Birge-Hopfield (b1Πu - X 1 Σg+) (1, 3) band, and the Carroll-Yoshino (c 4‧ 1 Σu+ - X 1Σg+) (0, 0) and (0, 1) bands together are identified for the first time in the Venusian airglow. We also identified the CO Hopfield-Birge (B 1Σ+ - X 1Σ+) (1, 0) band in addition to the already known (0, 0) band, and the CO Hopfield-Birge (C 1Σ+ - X 1Σ+) (0, 1), (0, 2) bands in addition to the already known (0, 0) band (Feldman et al., 2000; Gérard et al., 2011).

  17. Contamination control approach for the Extreme Ultraviolet Explorer satellite instrumentation

    NASA Technical Reports Server (NTRS)

    Mrowka, Stan; Jelinsky, Sharon; Jelinsky, Patrick; Malina, Roger F.

    1987-01-01

    The Extreme Ultraviolet Explorer will perform an all-sky survey and spectroscopic observations over the wavelength range 80-900A. Hydrocarbon and particulate contamination will potentially affect the throughput and signal to noise ratio of the signal detected by the instruments. A witness sample program is here used to investigate and monitor the effects of specific contaminants on EUV reflectivity. Witness samples were intentionally contaminated with thin layers of pump oil. An oil layer 150 A thick was applied and found to evaporate over 8 hours. The EUV reflectivity and imaging properties were then measured and found to be acceptable for grazing angles between 5 and 30 deg. In a second test, layers 500 A thick were deposited and then allowed to evaporate in vacuum; once the oil had evaporated to at least 350 A, the final sample reflectivity was degraded less than 10 percent, but the image was degraded severely by scattering. An outline of the contamination control program is also presented.

  18. Imaging characteristics of the Extreme Ultraviolet Explorer microchannel plate detectors

    NASA Technical Reports Server (NTRS)

    Vallerga, J. V.; Kaplan, G. C.; Siegmund, O. H. W.; Lampton, M.; Malina, R. F.

    1989-01-01

    The Extreme Ultraviolet Explorer (EUVE) satellite will conduct an all-sky survey over the wavelength range from 70 A to 760 A using four grazing-incidence telescopes and seven microchannel-plate (MCP) detectors. The imaging photon-counting MCP detectors have active areas of 19.6 cm2. Photon arrival position is determined using a wedge-and-strip anode and associated pulse-encoding electronics. The imaging characteristics of the EUVE flight detectors are presented including image distortion, flat-field response, and spatial differential nonlinearity. Also included is a detailed discussion of image distortions due to the detector mechanical assembly, the wedge-and-strip anode, and the electronics. Model predictions of these distortions are compared to preflight calibration images which show distortions less than 1.3 percent rms of the detector diameter of 50 mm before correction. The plans for correcting these residual detector image distortions to less than 0.1 percent rms are also presented.

  19. Cluster beam targets for laser plasma extreme ultraviolet and soft x-ray sources

    DOEpatents

    Kublak, Glenn D.; Richardson, Martin C. (CREOL

    1996-01-01

    Method and apparatus for producing extreme ultra violet (EUV) and soft x-ray radiation from an ultra-low debris plasma source are disclosed. Targets are produced by the free jet expansion of various gases through a temperature controlled nozzle to form molecular clusters. These target clusters are subsequently irradiated with commercially available lasers of moderate intensity (10.sup.11 -10.sup.12 watts/cm.sup.2) to produce a plasma radiating in the region of 0.5 to 100 nanometers. By appropriate adjustment of the experimental conditions the laser focus can be moved 10-30 mm from the nozzle thereby eliminating debris produced by plasma erosion of the nozzle.

  20. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  1. TIMED/GUVI Observations of Aurora, Ionosphere, Thermosphere and Solar EUV Variations

    NASA Astrophysics Data System (ADS)

    Zhang, Y.; Paxton, L. J.; Schaefer, R. K.

    2017-12-01

    The FUV (100-200 nm) emissions from the ionosphere and thermosphere carry rich information of the density and composition of the IT system, aurora and solar EUV flux. The key emissions include atomic hydrogen line (121.6nm), atomic oxygen lines (e.g. 130.4, 135.6, 164.1 nm), atomic nitrogen lines (e.g. 120.0, 149.3, 174.3 nm), molecular nitrogen bands (LBH and VK bands) and nitric oxide ɛ bands. TIMED/GUVI data cover the nearly full FUV range and generate many space weather products (ionosphere, thermosphere, aurora and solar EUV) that extend the products from other missions (such as NASA GOLD and ICON) and help to solve some of MIT (Magnetosphere-Ionosphere-Thermosphere) science problems and serve as validation data sources for models.

  2. Temporal variations of solar EUV, UV, and 10,830-A radiations

    NASA Technical Reports Server (NTRS)

    Donnelly, R. F.; Hinteregger, H. E.; Heath, D. F.

    1986-01-01

    The temporal characteristics of the full-disk chromospheric EUV fluxes agree well with those of the ground-based measurements of the chromospheric He I absorption line at 10,830 A and differ systematically from those of the coronal EUV and 10.7-cm flux. The ratio of the flux increase during the rise of solar cycle 21 to that during solar rotation variations is uniformly high for the chromospheric EUV and corroborating 10,830-A fluxes, highest for the transition region and 'cool' coronal EUV fluxes (T less than 2 x 10 to the 6th K), and lowest for the 'hot' coronal EUV and 10.7-cm flux. The rise and decay rates of episodes of major activity progress from those for the hot coronal EUV lines and the 10.7-cm flux to slower values for the chromospheric H Lyman alpha line, 10,830-A line, and photospheric 2050-A UV flux. It is suggested that active region remnants contribute significantly to the solar cycle increase and during the decay of episodes of major activity. The ratio of power in 13-day periodicity to that for 27 days in high (1/3) for the photospheric UV flux, medium (1/6) for the chromospheric EUV and 10,830-A fluxes, and small to negligible for the hot coronal EUV fluxes. These ratios are used to estimate the dependence of active region emission on the solar central meridian distance for chromospheric and coronal EUV flux.

  3. On the Failure of Standard Emission Measure Analysis for Solar Extreme-Ultraviolet and Ultraviolet Irradiance Spectra

    NASA Astrophysics Data System (ADS)

    Judge, P. G.; Woods, T. N.; Brekke, P.; Rottman, G. J.

    1995-12-01

    We perform emission measure analysis of new and accurate UV ( lambda > 1200 A) and extreme-ultraviolet (EUV) ( lambda <= 1200 A) irradiance ("Sun-as-a-star") emission-line spectra of the Sun. Our data consist of (1) daily averaged UV irradiances from the SOLSTICE on the UARS spacecraft and (2) EUV irradiances obtained on the same date from a \\frac {1}{4} m spectrograph flown on a sounding rocket. Both instruments have a spectral resolution of roughly 1 A. The absolute uncertainties in these data are at most +/-15% (+/-2 sigma ), one of the highest photometric accuracies yet achieved. We find large, highly significant and systematic discrepancies in the emission measure analysis of transition region lines which can only be accounted for by a breakdown of one or more standard assumptions. All strong lines above 1000 A, which are from the Li and Na isoelectronic sequences, are too strong by factors of between 2.5 and 7 compared with their counterparts in the EUV region. Previous studies were tantalizingly close to finding these discrepancies, but those data lacked the wavelength coverage and relative photometric precision necessary for definitive conclusions. We argue that either dynamical effects, inaccurate treatments of atomic processes, and/or Lyman continuum absorption are the culprits. However, we favor the former explanation. In any event, this study should have implications for models of the solar transition region, for observing programs with the CDS and SUMER instruments on SOHO, and for analysis of UV spectra for stars across the cool half of the H-R diagram. Finally, the discrepancy is not seen for the "coronal" Li-like ions.

  4. EUV mirror based absolute incident flux detector

    DOEpatents

    Berger, Kurt W.

    2004-03-23

    A device for the in-situ monitoring of EUV radiation flux includes an integrated reflective multilayer stack. This device operates on the principle that a finite amount of in-band EUV radiation is transmitted through the entire multilayer stack. This device offers improvements over existing vacuum photo-detector devices since its calibration does not change with surface contamination.

  5. Synchrotron radiation calibration of the EUVE variable line-spaced diffraction gratings at the NBS SURF II facility

    NASA Technical Reports Server (NTRS)

    Jelinsky, P.; Jelinsky, S. R.; Miller, A.; Vallerga, J.; Malina, R. F.

    1988-01-01

    The Extreme Ultraviolet Explorer (EUVE) has a spectrometer which utilizes variable line-spaced, plane diffraction gratings in the converging beam of a Wolter-Schwarzschild type II mirror. The gratings, microchannel plate detector, and thin film filters have been calibrated with continuum radiation provided by the NBS SURF II facility. These were calibrated in a continuum beam to find edges or other sharp spectral features in the transmission of the filters, quantum efficiency of the microchannel plate detector, and efficiency of the gratings. The details of the calibration procedure and the results of the calibration are presented.

  6. Results from the calibration of the Extreme Ultraviolet Explorer instruments

    NASA Technical Reports Server (NTRS)

    Welsh, Barry Y.; Jelinsky, Pat; Vedder, Peter W.; Vallerga, John V.; Finley, David S.; Malina, Roger F.

    1991-01-01

    The paper describes the main features and selected results of the calibration of the scientific instruments to be flown on the Extreme Ultraviolet Explorer in 1991. The instrument payload includes three grazing incidence scanning telescopes and an EUV spectrometer/deep survey instrument covering the spectral region 70-800 A. The measured imaging characteristics, the effective areas, and the details of spectral responses of the instruments are presented. Diagrams of the cross-sectional views of the scanning telescope and the deep-survey/spectrometer telescope are included.

  7. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  8. EUV and X-ray spectroheliograph study

    NASA Technical Reports Server (NTRS)

    Knox, E. D.; Pastor, R. A.; Salamon, A. L.; Sterk, A. A.

    1975-01-01

    The results of a program directed toward the definition of an EUV and X-ray spectroheliograph which has significant performance and operational improvements over the OSO-7 instrument are documented. The program investigated methods of implementing selected changes and incorporated the results of the study into a set of drawings which defines the new instrument. The EUV detector performance degradation observed during the OSO-7 mission was investigated and the most probable cause of the degradation identified.

  9. Laboratory calibration of density-dependent lines in the extreme ultraviolet spectral region

    NASA Astrophysics Data System (ADS)

    Lepson, J. K.; Beiersdorfer, P.; Gu, M. F.; Desai, P.; Bitter, M.; Roquemore, L.; Reinke, M. L.

    2012-05-01

    We have been making spectral measurements in the extreme ultraviolet (EUV) from different laboratory sources in order to investigate the electron density dependence of various astrophysically important emission lines and to test the atomic models underlying the diagnostic line ratios. The measurement are being performed at the Livermore EBIT-I electron beam ion trap, the National Spherical Torus Experiment (NSTX) at Princeton, and the Alcator C-Mod tokamak at the Massachusetts Institute of Technology, which together span an electron density of four orders of magnitude and which allow us to test the various models at high and low density limits. Here we present measurements of Fe XXII and Ar XIV, which include new data from an ultra high resolution (λ/Δλ >4000) spectrometer at the EBIT-I facility. We found good agreement between the measurements and modeling calculations for Fe XXII, but poorer agreement for Ar XIV.

  10. EUV spectroscopy of high-redshift x-ray objects

    NASA Astrophysics Data System (ADS)

    Kowalski, M. P.; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.; Barstow, M. A.

    2010-07-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGN for example, will be redshifted into the EUV waveband. Consequently, a wealth of critical spectral diagnostics, provided by, for example, the Fe L-shell complex and the O VII/VIII lines, will be lost to future planned X-ray missions (e.g., IXO, Gen-X) if operated at traditional X-ray energies. This opens up a critical gap in performance located at short EUV wavelengths, where critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nanolaminate replication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on next-generation instrument designs. We conclude with a discussion of a breakthrough technology, nanolaminate replication, which enables such instruments.

  11. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  12. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  13. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  14. Method of fabricating reflection-mode EUV diffraction elements

    DOEpatents

    Naulleau, Patrick P.

    2002-01-01

    Techniques for fabricating a well-controlled, quantized-level, engineered surface that serves as substrates for EUV reflection multilayer overcomes problems associated with the fabrication of reflective EUV diffraction elements. The technique when employed to fabricate an EUV diffraction element that includes the steps of: (a) forming an etch stack comprising alternating layers of first and second materials on a substrate surface where the two material can provide relative etch selectivity; (b) creating a relief profile in the etch stack wherein the relief profile has a defined contour; and (c) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. For a typical EUV multilayer, if the features on the substrate are larger than 50 nm, the multilayer will be conformal to the substrate. Thus, the phase imparted to the reflected wavefront will closely match that geometrically set by the surface height profile.

  15. Effects of cloud, aerosol, and ozone on surface spectral Ultraviolet and total irradiance observed in Seoul, Korea

    NASA Astrophysics Data System (ADS)

    Lee, Hana; Kim, Jhoon; Kim, Woogyung; Lee, Yun Gon; Cho, Hi Ku

    2015-04-01

    In recent years, there have been substantial attempts to model the radiative transfer for climatological and biological purposes. However, the incorporation of clouds, aerosols and ozone into the modeling process is one of the difficult tasks due to their variable transmission in both temporal and space domains. In this study we quantify the atmospheric transmissions by clouds, aerosol optical depth (AOD at 320 nm) and total ozone (Ozone) together with all skies in three solar radiation components of the global solar (GS 305-2800nm), total ultraviolet (TUV 290-363nm) and the erythemal weighted ultraviolet (EUV 290-325nm) irradiances with statistical methods using the data at Seoul. The purpose of this study also is to clarify the different characteristics between cloud, AOD and Ozone in the wavelength-dependent solar radiation components. The ozone, EUV and TUV used in this study (March 2003 - February 2014) have been measured with Dobson Spectrophotometer (Beck #124) and Brewer Spectrophotometer (SCI-TEC#148) at Yonsei University, respectively. GS, Cloud Cover (CC) are available from the Korean Meteorological Agency. The measured total (effect of cloud, aerosol, and ozone) transmissions on annual average showed 74%, 76% and 80% of GS, TUV and EUV irradiance, respectively. For the comparison of the measured values with modeled, we have also constructed a multiple linear regression model for the total transmission. The average ratio of measured to modeled total transmission were 0.94, 0.96 and 0.96 with higher measured than modeled value in the three components, respectively, The individual transmission by clouds under the constant AOD and Ozone atmosphere on average showed 68%, 71% and 76% and further the overcast clouds reduced the transmissions to the 45%, 54% and 59% of the clear sky irradiance in the GS, TUV and EUV, respectively. The annual transmissions by AOD showed on average 67%, 70% and 74% and further the high loadings 2.5-4.0 AOD reduced the

  16. Using synchrotron light to accelerate EUV resist and mask materials learning

    NASA Astrophysics Data System (ADS)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  17. EUNIS; Extreme-Ultraviolet Normal-Incidence Spectrometer

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.; Davila, Joseph M.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    GSFC is in the process of assembling an Extreme-Ultraviolet Normal Incidence Spectrometer called EUNIS, to be flown as a sounding rocket payload. The instrument builds on the many technical innovations pioneered by our highly successful SERTS experiment, which has now flown a total of ten times, most recently last summer. The new design will have somewhat improved spatial and spectral resolutions, as well as two orders of magnitude greater sensitivity, permitting high signal/noise EUV spectroscopy with a temporal resolution near 1 second for the first time ever. In order to achieve such high time cadence, a novel detector system is being developed, based on Active-Pixel-Sensor electronics, a key component of our design.

  18. High reflectance coatings for space applications in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Gum, Jeffrey S.; Osantowski, John F.; Fleetwood, Charles M.

    1993-01-01

    Advances in optical coating and materials technology have made possible the development of instruments with substantially improved efficiency and made possible to consider more complex optical designs in the EUV. The importance of recent developments in chemical vapor deposited silicon carbide (CVD-SiC), SiC films and multilayer coatings is discussed in the context of EUV instrumentation design. The EUV performance of these coatings as well as some strengths and problem areas for their use in space will be addressed.

  19. Degradation-Free Spectrometers for Solar EUV Measurements: A Progress Report

    NASA Astrophysics Data System (ADS)

    Wieman, S. R.; Judge, D. L.; Didkovsky, L. V.

    2009-12-01

    Solar EUV observations will be made using two new degradation-free EUV spectrometers on a sounding rocket flight scheduled for Summer 2010. The two instruments, a rare gas photoionization-based Optics-Free Spectrometer (OFS) and a Dual Grating Spectrometer (DGS), are filter-free and optics-free. OFS can measure the solar EUV spectrum with a spectral resolution comparable to that of grating-based EUV spectrometers. The DGS is designed to provide solar irradiance at Lyman-alpha and He II to overlap EUV observations from SOHO/SEM and SDO/EVE. Electronic and mechanical designs for the flight prototype instruments and results of tests performed with the instruments in the laboratory are reported. The spectrometers are being developed and demonstrated as part of the Degradation Free Spectrometers (DFS) project under NASA’s Low Cost Access to Space (LCAS) program and are supported by NASA Grant NNX08BA12G.

  20. Spherical EUV and Plasma Spectrometer (seps) -a Monitor to Measure the Plasma and EUV Environment in Space

    NASA Astrophysics Data System (ADS)

    Brunner, Raimund; Schmidtke, Gerhard; Konz, Werner; Pfeffer, Wilfried

    A low-cost monitor to measure the EUV and plasma environment in space is presented. The device consists of three (or more) isolated spheres, a metallic sphere, one or more highly trans-parent Inner Grids and Outer Grids. Each one is being connected to a sensitive floating elec-trometer. By setting different potentials to the grids as well as to the sphere and varying one or more of their voltages, measurements of spectral solar EUV irradiance (15-200 nm), of local plasma parameters such as electron and ion densities, electron energies and temperatures as well as ion compositions and debris events can be derived from the current recordings. This detector does not require any (solar) pointing device. The primary goal is to study the impact of solar activity events (e.g. CMEs) as well as subsequent reactions of the ionospheric/thermospheric systems (including space weather occurences). The capability of SEPS for measuring EUV pho-ton fluxes as well as plasma parameters in the energy range from 0 to +/-70 eV is demonstrated by laboratory measurements as performed in the IPM laboratory, at BESSY-PTB electron syn-chrotron in Berlin and at ESA/ESTEC plasma chamber. Based on the laboratory recording of plasma recombination EUV emission the sensor is suitable to detect also auroral and airglow radiations. -The state of the art in the development of this device is reported.

  1. Combined ultraviolet studies of astronomical source

    NASA Technical Reports Server (NTRS)

    Dupress, A. K.; Baliunas, S. L.; Blair, W. P.; Hartmann, L. W.; Huchra, J. P.; Raymond, J. C.; Smith, G. H.; Soderblom, D. R.

    1985-01-01

    As part of its Ultraviolet Studies of Astronomical Sources the Smithsonian Astrophysical Observatory for the period 1 Feb. 1985 to 31 July 1985 observed the following: the Cygnus Loop; oxygen-rich supernova remnants in 1E0102-72; the Large Magellanic Cloud supernova remnants; P Cygni profiles in dwarf novae; soft X-ray photoionization of interstellar gas; spectral variations in AM Her stars; the mass of Feige 24; atmospheric inhomogeneities in Lambda Andromedae and FF Aquarii; photometric and spectroscopic observation of Capella; Alpha Orionis; metal deficient giant stars; M 67 giants; high-velocity winds from giant stars; accretion disk parameters in cataclysmic variables; chromospheric emission of late-type dwarfs in visual binaries; chromospheres and transient regions of stars in the Ursa Major group; and low-metallicity blue galaxies.

  2. Alternate charging profiles for the onboard nickel cadmium batteries of the Explorer Platform/Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Rao, Gopalakrishna M.; Prettyman-Lukoschek, Jill S.

    1995-01-01

    The Explorer Platform/Extreme Ultraviolet Explorer (EP/EUVE) spacecraft power is provided by the Modular Power Subsystems (MPS) which contains three 50 ampere-hour Nickel Cadmium (NiCd) batteries. The batteries were fabricated by McDonnell Douglas Electronics Systems Company, with the cells fabricated by Gates Aerospace Batteries (GAB), Gainesville, Florida. Shortly following launch, the battery performance characteristics showed similar signatures as the anomalous performance observed on both the Upper Atmosphere Research Satellite (UARS) and the Compton Gamma Ray Observatory (CGRO). This prompted the development and implementation of alternate charging profiles to optimize the spacecraft battery performance. The Flight Operations Team (FOT), under the direction of Goddard Space Flight Center's (GSFC) EP/EUVE Project and Space Power Applications Branch have monitored and managed battery performance through control of the battery Charge to Discharge (C/D) ratio and implementation of a Solar Array (SA) offset. This paper provides a brief overview of the EP/EUVE mission, the MPS, the FOT's battery management for achieving the alternate charging profile, and the observed spacecraft battery performance.

  3. Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Kim, Jinsu; Lowe, Jeff; Dattilo, Davide; Koh, Soowan; Choi, Jun Yeol; Dietze, Uwe; Shoki, Tsutomu; Kim, Byung Gook; Jeon, Chan-Uk

    2015-10-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation.

  4. The development of a tunable, single-frequency ultraviolet laser source for UV filtered Rayleigh scattering

    NASA Technical Reports Server (NTRS)

    Finkelstein, N.; Gambogi, J.; Lempert, Walter R.; Miles, Richard B.; Rines, G. A.; Finch, A.; Schwarz, R. A.

    1995-01-01

    We present the development of a flexible, high power, narrow line width, tunable ultraviolet source for diagnostic application. By frequency tripling the output of a pulsed titanium-sapphire laser, we achieve broadly tunable (227-360 nm) ultraviolet light with high quality spatial and spectral resolution. We also present the characterization of a mercury vapor cell which provides a narrow band, sharp edge absorption filter at 253.7 nm. These two components form the basis for the extension of the Filtered Rayleigh Scattering technique into the ultraviolet. The UV-FRS system is comprised of four pieces: a single frequency, cw tunable Ti:Sapphire seeding source; a high-powered pulsed Ti:Sapphire oscillator; a third harmonic generator system; and an atomic mercury vapor filter. In this paper we discuss the development and characterization of each of these elements.

  5. Repeatability of in vitro measurements of the ultraviolet protection factor (UPF) by spectrophotometry with automatic sampling.

    PubMed

    Hoffmann, K; Kesners, P; Bader, A; Avermaete, A; Altmeyer, P; Gambichler, T

    2001-11-01

    Spectrophotometric assessment (in vitro) is the most established method for determining the ultraviolet protection factor (UPF) of textiles. Apart from stringent requirements for measurement precision, practical methods are required for the routine determination of the UPF. We report here spectrophotometric measurements of textiles using a newly developed autosampler. Measurement precision was evaluated under repeatable conditions. Fifteen different textiles were spectrophotometrically assessed for the determination of the UPF. Sample handling inside the spectrophotometer was performed with a computer-controlled sampling device, capable of loading and unloading a textile sample from a magazine as well as rotating the sample perpendicular to the spectrometer beam. In order to evaluate the repeatability of measurements, one sample of each textile was assessed eight times under the same conditions in the same laboratory. A mean percentage of the standard error of 1% [E(UPF)] was calculated for the UPF measurements. For UPFs >30, a significantly higher E(UPF) was found (r=0.78; P<0.001). E(UV) (3.9%) of ultraviolet A (UVA) transmission differed significantly from E(UV) (1.1 %) of ultraviolet B (UVB) transmission (P<0.05). Though a slight decrease of repeatability was observed for UVA transmission measurements and UPFs higher than 30, our data indicate a high measurement precision under repeatable conditions. In conclusion, spectrophotometric measurements of textiles with the aid of the autosampler presented have been shown to be highly practical, time saving and precise.

  6. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    NASA Astrophysics Data System (ADS)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  7. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    NASA Astrophysics Data System (ADS)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  8. Vertical Oscillation of a Coronal Cavity Triggered by an EUV Wave

    NASA Astrophysics Data System (ADS)

    Zhang, Q. M.; Ji, H. S.

    2018-06-01

    In this paper, we report our multiwavelength observations of the vertical oscillation of a coronal cavity on 2011 March 16. The elliptical cavity with an underlying horn-like quiescent prominence was observed by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. The width and height of the cavity are 150″ and 240″, and the centroid of cavity is 128″ above the solar surface. At ∼17:50 UT, a C3.8 two-ribbon flare took place in active region 11169 close to the solar western limb. Meanwhile, a partial halo coronal mass ejection erupted and propagated at a linear speed of ∼682 km s‑1. Associated with the eruption, a coronal extreme-ultraviolet (EUV) wave was generated and propagated in the northeast direction at a speed of ∼120 km s‑1. Once the EUV wave arrived at the cavity from the top, it pushed the large-scale overlying magnetic field lines downward before bouncing back. At the same time, the cavity started to oscillate coherently in the vertical direction and lasted for ∼2 cycles before disappearing. The amplitude, period, and damping time are 2.4–3.5 Mm, 29–37 minutes, and 26–78 minutes, respectively. The vertical oscillation of the cavity is explained by a global standing MHD wave of fast kink mode. To estimate the magnetic field strength of the cavity, we use two independent methods of prominence seismology. It is found that the magnetic field strength is only a few Gauss and less than 10 G.

  9. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    NASA Technical Reports Server (NTRS)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  10. THE INFLUENCE OF THE EXTREME ULTRAVIOLET SPECTRAL ENERGY DISTRIBUTION ON THE STRUCTURE AND COMPOSITION OF THE UPPER ATMOSPHERE OF EXOPLANETS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, J. H.; Ben-Jaffel, Lotfi, E-mail: guojh@ynao.ac.cn, E-mail: bjaffel@iap.fr

    2016-02-20

    By varying the profiles of stellar extreme ultraviolet (EUV) spectral energy distributions (SEDs), we tested the influences of stellar EUV SEDs on the physical and chemical properties of an escaping atmosphere. We apply our model to study four exoplanets: HD 189733b, HD 209458b, GJ 436b, and Kepler-11b. We find that the total mass loss rates of an exoplanet, which are determined mainly by the integrated fluxes, are moderately affected by the profiles of the EUV SED, but the composition and species distributions in the atmosphere can be dramatically modified by the different profiles of the EUV SED. For exoplanets withmore » a high hydrodynamic escape parameter (λ), the amount of atomic hydrogen produced by photoionization at different altitudes can vary by one to two orders of magnitude with the variation of stellar EUV SEDs. The effect of photoionization of H is prominent when the EUV SED is dominated by the low-energy spectral region (400–900 Å), which pushes the transition of H/H{sup +} to low altitudes. In contrast, the transition of H/H{sup +} moves to higher altitudes when most photons are concentrated in the high-energy spectral region (50–400 Å). For exoplanets with a low λ, the lower temperatures of the atmosphere make many chemical reactions so important that photoionization alone can no longer determine the composition of the escaping atmosphere. For HD 189733b, it is possible to explain the time variability of Lyα between 2010 and 2011 by a change in the EUV SED of the host K-type star, yet invoking only thermal H i in the atmosphere.« less

  11. Plasma cleaning of nanoparticles from EUV mask materials by electrostatics

    NASA Astrophysics Data System (ADS)

    Lytle, W. M.; Raju, R.; Shin, H.; Das, C.; Neumann, M. J.; Ruzic, D. N.

    2008-03-01

    Particle contamination on surfaces used in extreme ultraviolet (EUV) mask blank deposition, mask fabrication, and patterned mask handling must be avoided since the contamination can create significant distortions and loss of reflectivity. Particles on the order of 10nm are problematic during MLM mirror fabrication, since the introduced defects disrupt the local Bragg planes. The most serious problem is the accumulation of particles on surfaces of patterned blanks during EUV light exposure, since > 25nm particles will be printed without an out-of-focus pellicle. Particle contaminants are also a problem with direct imprint processes since defects are printed every time. Plasma Assisted Cleaning by Electrostatics (PACE) works by utilizing a helicon plasma as well as a pulsed DC substrate bias to charge particle and repel them electrostatically from the surface. Removal of this nature is a dry cleaning method and removes contamination perpendicular from the surface instead of rolling or sweeping the particles off the surface, a benefit when cleaning patterned surfaces where contamination can be rolled or trapped between features. Also, an entire mask can be cleaned at once since the plasma can cover the entire surface, thus there is no need to focus in on an area to clean. Sophisticated particle contamination detection system utilizing high power laser called DEFCON is developed to analyze the particle removal after PACE cleaning process. PACE has shown greater than 90 % particle removal efficiencies for 30 to 220 nm PSL particles on ruthenium capped quartz. Removal results for silicon surfaces and quartz surfaces show similar removal efficiencies. Results of cleaning 80 nm PSL spheres from silicon substrates will be shown.

  12. Pluto's Ultraviolet Airglow and Detection of Ions in the Upper Atmosphere

    NASA Astrophysics Data System (ADS)

    Steffl, A.; Young, L. A.; Kammer, J.; Gladstone, R.; Hinson, D. P.; Summers, M. E.; Strobel, D. F.; Stern, S. A.; Weaver, H. A., Jr.; Olkin, C.; Ennico Smith, K.

    2017-12-01

    In July 2015, the Alice ultraviolet spectrograph aboard the New Horizons spacecraft made numerous observations of Pluto and its atmosphere. We present here the far ultraviolet reflectance spectrum of Pluto and airglow emissions from its atmosphere. At wavelengths greater than 1400Å, Pluto's spectrum is dominated by sunlight reflected from the surface of the planet. Various hydrocarbon species such as C2H4 are detected in absorption of the solar continuum. Below 1400Å, Pluto's atmosphere is opaque and the surface cannot be detected. However, after carefully removing various sources of background light, we see extremely faint airglow emissions (<0.05 Rayleighs/Ångstrom) from Pluto's atmosphere. All of the emissions are produced by nitrogen in various forms: molecular, atomic, and singly ionized. The detection of N+ at 1086Å is the first, and thus far only, direct detection of ions in Pluto's atmosphere. This N+ emission line is produced primarily by dissociative photoionization of molecular N2 by solar EUV photons (energy > 34.7 eV; wavelength < 360Å). Notably absent from Pluto's spectrum are emission lines from argon at 1048 and 1067Å. We place upper limits on the amount of argon in Pluto's atmosphere above the tau=1 level (observed to be at 750km tangent altitude) that are significantly lower than pre-encounter atmospheric models.

  13. Divertor extreme ultraviolet (EUV) survey spectroscopy in DIII-D

    NASA Astrophysics Data System (ADS)

    McLean, Adam; Allen, Steve; Ellis, Ron; Jarvinen, Aaro; Soukhanovskii, Vlad; Boivin, Rejean; Gonzales, Eduardo; Holmes, Ian; Kulchar, James; Leonard, Anthony; Williams, Bob; Taussig, Doug; Thomas, Dan; Marcy, Grant

    2017-10-01

    An extreme ultraviolet spectrograph measuring resonant emissions of D and C in the lower divertor has been added to DIII-D to help resolve an 2X discrepancy between bolometrically measured radiated power and that predicted by boundary codes for DIII-D, JET and ASDEX-U. With 290 and 450 gr/mm gratings, the DivSPRED spectrometer, an 0.3 m flat-field McPherson model 251, measures ground state transitions for D (the Lyman series) and C (e.g., C IV, 155 nm) which account for >75% of radiated power in the divertor. Combined with Thomson scattering and imaging in the DIII-D divertor, measurements of position, temperature and fractional power emission from plasma components are made and compared to UEDGE/SOLPS-ITER. Mechanical, optical, electrical, vacuum, and shielding aspects of DivSPRED are presented. Work supported under USDOE Cooperative Agreement DE-FC02-04ER54698 and DE-AC52-07NA27344, and by the LLNL Laboratory Directed R&D Program, project #17-ERD-020.

  14. Nanoscale inhomogeneity and photoacid generation dynamics in extreme ultraviolet resist materials

    NASA Astrophysics Data System (ADS)

    Wu, Ping-Jui; Wang, Yu-Fu; Chen, Wei-Chi; Wang, Chien-Wei; Cheng, Joy; Chang, Vencent; Chang, Ching-Yu; Lin, John; Cheng, Yuan-Chung

    2018-03-01

    The development of extreme ultraviolet (EUV) lithography towards the 22 nm node and beyond depends critically on the availability of resist materials that meet stringent control requirements in resolution, line edge roughness, and sensitivity. However, the molecular mechanisms that govern the structure-function relationships in current EUV resist systems are not well understood. In particular, the nanoscale structures of the polymer base and the distributions of photoacid generators (PAGs) should play a critical roles in the performance of a resist system, yet currently available models for photochemical reactions in EUV resist systems are exclusively based on homogeneous bulk models that ignore molecular-level details of solid resist films. In this work, we investigate how microscopic molecular organizations in EUV resist affect photoacid generations in a bottom-up approach that describes structure-dependent electron-transfer dynamics in a solid film model. To this end, molecular dynamics simulations and stimulated annealing are used to obtain structures of a large simulation box containing poly(4-hydroxystyrene) (PHS) base polymers and triphenylsulfonium based PAGs. Our calculations reveal that ion-pair interactions govern the microscopic distributions of the polymer base and PAG molecules, resulting in a highly inhomogeneous system with nonuniform nanoscale chemical domains. Furthermore, the theoretical structures were used in combination of quantum chemical calculations and the Marcus theory to evaluate electron transfer rates between molecular sites, and then kinetic Monte Carlo simulations were carried out to model electron transfer dynamics with molecular structure details taken into consideration. As a result, the portion of thermalized electrons that are absorbed by the PAGs and the nanoscale spatial distribution of generated acids can be estimated. Our data reveal that the nanoscale inhomogeneous distributions of base polymers and PAGs strongly affect the

  15. SLOW PATCHY EXTREME-ULTRAVIOLET PROPAGATING FRONTS ASSOCIATED WITH FAST CORONAL MAGNETO-ACOUSTIC WAVES IN SOLAR ERUPTIONS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Y.; Ding, M. D.; Chen, P. F., E-mail: guoyang@nju.edu.cn

    2015-08-15

    Using the high spatiotemporal resolution extreme ultraviolet (EUV) observations of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory, we conduct a statistical study of the observational properties of the coronal EUV propagating fronts. We find that it might be a universal phenomenon for two types of fronts to coexist in a large solar eruptive event. It is consistent with the hybrid model of EUV propagating fronts, which predicts that coronal EUV propagating fronts consist of both a fast magneto-acoustic wave and a nonwave component. We find that the morphologies, propagation behaviors, and kinematic features of the two EUVmore » propagating fronts are completely different from each other. The fast magneto-acoustic wave fronts are almost isotropic. They travel continuously from the flaring region across multiple magnetic polarities to global distances. On the other hand, the slow nonwave fronts appear as anisotropic and sequential patches of EUV brightening. Each patch propagates locally in the magnetic domains where the magnetic field lines connect to the bottom boundary and stops at the magnetic domain boundaries. Within each magnetic domain, the velocities of the slow patchy nonwave component are an order of magnitude lower than that of the fast-wave component. However, the patches of the slow EUV propagating front can jump from one magnetic domain to a remote one. The velocities of such a transit between different magnetic domains are about one-third to one-half of those of the fast-wave component. The results show that the velocities of the nonwave component, both within one magnetic domain and between different magnetic domains, are highly nonuniform due to the inhomogeneity of the magnetic field in the lower atmosphere.« less

  16. Design and pitch scaling for affordable node transition and EUV insertion scenario

    NASA Astrophysics Data System (ADS)

    Kim, Ryoung-han; Ryckaert, Julien; Raghavan, Praveen; Sherazi, Yasser; Debacker, Peter; Trivkovic, Darko; Gillijns, Werner; Tan, Ling Ee; Drissi, Youssef; Blanco, Victor; Bekaert, Joost; Mao, Ming; Larivière, Stephane; McIntyre, Greg

    2017-04-01

    imec's DTCO and EUV achievement toward imec 7nm (iN7) technology node which is industry 5nm node equivalent is reported with a focus on cost and scaling. Patterning-aware design methodology supports both iArF multiple patterning and EUV under one compliant design rule. FinFET device with contacted poly pitch of 42nm and metal pitch of 32nm with 7.5-track, 6.5-track, and 6-track standard cell library are explored. Scaling boosters are used to provide additional scaling and die cost benefit while lessening pitch shrink burden, and it makes EUV insertion more affordable. EUV pattern fidelity is optimized through OPC, SMO, M3D, mask sizing and SRAF. Processed wafers were characterized and edge-placement-error (EPE) variability is validated for EUV insertion. Scale-ability and cost of ownership of EUV patterning in aligned with iN7 standard cell design, integration and patterning specification are discussed.

  17. Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography

    NASA Astrophysics Data System (ADS)

    Singh, Vikram; Satyanarayana, Vardhineedi Sri Venkata; Batina, Nikola; Reyes, Israel Morales; Sharma, Satinder K.; Kessler, Felipe; Scheffer, Francine R.; Weibel, Daniel E.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2014-10-01

    Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising next-generation lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ/cm2. The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.

  18. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  19. Experimental station for ultrafast extreme ultraviolet spectroscopy for non-equilibrium dynamics in warm dense matter

    NASA Astrophysics Data System (ADS)

    Lee, Jong-won; Geng, Xiaotao; Jung, Jae Hyung; Cho, Min Sang; Yang, Seong Hyeok; Jo, Jawon; Lee, Chang-lyoul; Cho, Byoung Ick; Kim, Dong-Eon

    2018-07-01

    Recent interest in highly excited matter generated by intense femtosecond laser pulses has led to experimental methods that directly investigate ultrafast non-equilibrium electronic and structural dynamics. We present a tabletop experimental station for the extreme ultraviolet (EUV) spectroscopy used to trace L-edge dynamics in warm dense aluminum with a temporal resolution of a hundred femtoseconds. The system consists of the EUV probe generation part via a high-order harmonic generation process of femtosecond laser pulses with atomic clusters, a beamline with high-throughput optics and a sample-refreshment system of nano-foils utilizing the full repetition rate of the probe, and a flat-field EUV spectrograph. With the accumulation of an order of a hundred shots, a clear observation of the change in the aluminum L-shell absorption was achieved with a temporal resolution of 90 fs in a 600-fs window. The signature of a non-equilibrium electron distribution over a 10-eV range and its evolution to a 1-eV Fermi distribution are observed. This demonstrates the capability of this apparatus to capture the non-equilibrium electron-hole dynamics in highly excited warm dense matter conditions.

  20. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    NASA Astrophysics Data System (ADS)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  1. Surface evaluation of the grazing incidence mirrors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Green, James; Finley, David S.; Bowyer, Stuart; Malina, Roger F.

    1987-01-01

    The EUV scattering from the Wolter-Schwarzschild type I short wavelength scanner mirror aboard the Extreme Ultraviolet Explorer is measured, and the results are used to evaluate the surface microroughness of the mirror. It is found that the most likely values for the mirror surface are sigma = 20 A, and rho = 40 microns. These results are consistent with previous estimates, but with a higher degree of certainty. The full-scale simulation presented here allows over 99 percent of the light distribution to be reasonably modeled.

  2. The initial data products from the EUVE software - A photon's journey through the End-to-End System

    NASA Technical Reports Server (NTRS)

    Antia, Behram

    1993-01-01

    The End-to-End System (EES) is a unique collection of software modules created for use at the Center for EUV Astrophysics. The 'pipeline' is a shell script which executes selected EES modules and creates initial data products: skymaps, data sets for individual sources (called 'pigeonholes') and catalogs of sources. This article emphasizes the data from the all-sky survey, conducted between July 22, 1992 and January 21, 1993. A description of each of the major data products will be given and, as an example of how the pipeline works, the reader will follow a photon's path through the software pipeline into a pigeonhole. These data products are the primary goal of the EUVE all-sky survey mission, and so their relative importance for the follow-up science will also be discussed.

  3. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    NASA Astrophysics Data System (ADS)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  4. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    NASA Astrophysics Data System (ADS)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (<10pm vertical) resolution, including the smallest heat sources probed (20nm) and thinnest film (10.9nm) fully mechanically characterized to date. By probing

  5. EUV Coronal Waves: Atmospheric and Heliospheric Connections and Energetics

    NASA Astrophysics Data System (ADS)

    Patsourakos, S.

    2015-12-01

    Since their discovery in late 90's by EIT on SOHO, the study EUV coronal waves has been a fascinating andfrequently strongly debated research area. While it seems as ifan overall consensus has been reached about the nurture and nature of this phenomenon,there are still several important questions regarding EUV waves. By focusing on the most recentobservations, we will hereby present our current understanding about the nurture and nature of EUV waves,discuss their connections with other atmospheric and heliospheric phenomena (e.g.,flares and CMEs, Moreton waves, coronal shocks, coronal oscillations, SEP events) and finallyassess their possible energetic contribution to the overall budget of relatederuptive phenomena.

  6. Synthesis of arbitrary pulse waveforms in QCL-seeded ns-pulse CO2 laser for optimization of an LPP EUV source.

    PubMed

    Nowak, Krzysztof M; Kurosawa, Yoshiaki; Suganuma, Takashi; Kawasuji, Yasufumi; Nakarai, Hiroaki; Saito, Takashi; Fujimoto, Junichi; Mizoguchi, Hakaru

    2016-07-01

    One of the unique features of the quantum-cascade-laser-seeded, nanosecond-pulse CO2 laser, invented for the purpose of generation of extreme UV by laser-produced-plasma, is a robust synthesis of arbitrary pulse waveforms. In the present Letter we report on experimental results that are, to our best knowledge, the first demonstration of such functionality obtainable from nanosecond-pulse CO2 laser technology. An online pulse duration adjustment within 10-40 ns was demonstrated, and a few exemplary pulse waveforms were synthesized, such as "tophat," "tailspike," and "leadspike" shapes. Such output characteristics may be useful to optimize the performance of LPP EUV source.

  7. Doing Solar Science With Extreme-ultraviolet and X-ray High Resolution Imaging Spectroscopy

    NASA Astrophysics Data System (ADS)

    Doschek, G. A.

    2005-12-01

    In this talk I will demonstrate how high resolution extreme-ultraviolet (EUV) and/or X-ray imaging spectroscopy can be used to provide unique information for solving several current key problems of the solar atmosphere, e.g., the morphology and reconnection site of solar flares, the structure of the transition region, and coronal heating. I will describe the spectra that already exist relevant to these problems and what the shortcomings of the data are, and how an instrument such as the Extreme-ultraviolet Imaging Spectrometer (EIS) on Solar-B as well as other proposed spectroscopy missions such as NEXUS and RAM will improve on the existing observations. I will discuss a few particularly interesting properties of the spectra and atomic data for highly ionized atoms that are important for the science problems.

  8. The effect of viewing angle on the spectral behavior of a Gd plasma source near 6.7 nm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Gorman, Colm; Li Bowen; Cummins, Thomas

    2012-04-02

    We have demonstrated the effect of viewing angle on the extreme ultraviolet (EUV) emission spectra of gadolinium (Gd) near 6.7 nm. The spectra are shown to have a strong dependence on viewing angle when produced with a laser pulse duration of 10 ns, which may be attributed to absorption by low ion stages of Gd and an angular variation in the ion distribution. Absorption effects are less pronounced at a 150-ps pulse duration due to reduced opacity resulting from plasma expansion. Thus for evaluating source intensity, it is necessary to allow for variation with both viewing angle and target orientation.

  9. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A.

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUVmore » waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.« less

  10. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  11. Topside Ionospheric Response to Solar EUV Variability

    NASA Astrophysics Data System (ADS)

    Anderson, P. C.; Hawkins, J.

    2015-12-01

    We present an analysis of 23 years of thermal plasma measurements in the topside ionosphere from several DMSP spacecraft at ~800 km. The solar cycle variations of the daily averaged densities, temperatures, and H+/O+ ratios show a strong relationship to the solar EUV as described by the E10.7 solar EUV proxy with cross-correlation coefficients (CCCs) with the density greater than 0.85. The H+/O+ varies dramatically from solar maximum when it is O+ dominated to solar minimum when it is H+ dominated. These ionospheric parameters also vary strongly with season, particularly at latitudes well away from the equator where the solar zenith angle (SZA) varies greatly with season. There are strong 27-day solar rotation periodicities in the density, associated with the periodicities in the solar EUV as measured by the TIMED SEE and SDO EVE instruments, with CCCs at times greater than 0.9 at selected wavelengths. Empirical Orthogonal Function (EOF) analysis captures over 95% of the variation in the density over the 23 years in the first two principle components. The first principle component (PC1) is clearly associated with the solar EUV showing a 0.91 CCC with the E10.7 proxy while the PC1 EOFs remain relatively constant with latitude indicating that the solar EUV effects are relatively independent of latitude. The second principle component (PC2) is clearly associated with the SZA variation, showing strong correlations with the SZA and the concomitant density variations at latitudes away from the equator and with the PC2 EOFs having magnitudes near zero at the equator and maximum at high latitude. The magnitude of the variation of the response of the topside ionosphere to solar EUV variability is shown to be closely related to the composition. This is interpreted as the result of the effect of composition on the scale height in the topside ionosphere and the "pivot effect" in which the variation in density near the F2 peak is expected to be amplified by a factor of e at an

  12. Resonance Scattering of Fe XVII X-ray and EUV Lines

    NASA Technical Reports Server (NTRS)

    Bhatia, A. K.; Saba, J. L. R.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    Over the years a number of calculations have been carried out to derive intensities of various X-ray and EUV lines in Fe XVII to compare with observed spectra. The predicted intensities have not agreed with solar observations, particularly for the line at 1.5.02 Angstroms; resonance scattering has been suggested as the source for much of the disagreement. The atomic data calculated earlier used seven configurations having n=3 orbitals and the scattering calculations were carried out only for incident energies above the threshold of the highest fine-structure level. These calculations have now been extended to thirteen configurations having n=4 orbitals and the scattering calculations are carried out below as well as above the threshold of the highest fine structure level. These improved calculations of Fe XVII change the intensity ratios compared to those obtained earlier, bringing the optically thin F(15.02)/F(16.78) ratio and several other ratios closer to the observed values. However, some disagreement with the solar observations still persists, even thought the agreement of the presently calculated optically thin F(15.02)/F(15.26) ratio with the experimental results of Brown et al. (1998) and Laming et al. (2000) has improved. Some of the remaining discrepancy is still thought to be the effect of opacity, which is consistent with expected physical conditions for solar sources. EUV intensity ratios are also calculated and compared with observations. Level populations and intensity ratios are calculated, as a function of column density of Fe XVII, in the slab and cylindrical geometries. As found previously, the predicted intensities for the resonance lines at 15.02 and 15.26 Angstroms exhibit initial increases in flux relative to the forbidden line at 17.10 Angstroms and the resonance line at 16.78 Angstroms as optical thickness increases. The same behavior is predicted for the lines at 12.262 and 12.122 Angstroms. Predicted intensities for some of the allowed

  13. Magnetic Flux Emergence and the Initiation of Filament Eruptions and CMEs as Observed by the EUV Imaging Telescope on SOHO

    NASA Astrophysics Data System (ADS)

    Neupert, W. M.

    2005-05-01

    Solar observations over more than twenty years (e.g., Gaizauskas and Svestka, 1987, summarizing the "Flare Build-up Study", Feynman and Martin, 1995, and more recently, Wang and Sheeley, 1999) have demonstrated that emergence of new magnetic flux in the vicinity of quiescent filament fields frequently leads to the eruption of those filaments, given polarity orientations favorable for magnetic reconnection. Concurrently, models of the interaction of such magnetic flux configurations have been developed to explain the initiation of flares (e.g., Priest and Forbes, 2002) and coronal mass ejections (Chen et al., 2002). We have used observations made in the 195 Angstrom (Fe XII) band by the EUV imaging Telescope (EIT) on SOHO to identify instances of emerging flux, indicated by new EUV emission, and subsequent eruption of a quiescent filament in a search for coronal changes that might appear as a result of merging magnetic fields. Limiting our study to quiescent filaments distant from active regions, we have identified events in which a slow increase in filament height begins shortly (a few hours) after first appearance of an EUV emission source either within or beside the filament channel. For long filaments, the apex of the rising filament appears to lie above the developing EUV source, implying that the field supporting the filament is locally interacting with the emerging field. Transient EUV features at onset of the eruptive phase include low-lying loops over the neutral line and, more rarely, localized sources apparently associated with the rising filament. No evidence of reconfiguring of an overlying corona (only faintly detected by the EIT) prior to CME initiation has been found. Our results support the hypothesis that at least in some instances the emergence of new magnetic field leads to a loss of filament equilibrium and a coronal mass ejection. This work is supported by NASA Intergovernmental Transfer W-10118 to NOAA's Space Environment Center. SOHO is a

  14. A preliminary estimate of the EUVE cumulative distribution of exposure time on the unit sphere. [Extreme Ultra-Violet Explorer

    NASA Technical Reports Server (NTRS)

    Tang, C. C. H.

    1984-01-01

    A preliminary study of an all-sky coverage of the EUVE mission is given. Algorithms are provided to compute the exposure of the celestial sphere under the spinning telescopes, taking into account that during part of the exposure time the telescopes are blocked by the earth. The algorithms are used to give an estimate of exposure time at different ecliptic latitudes as a function of the angle of field of view of the telescope. Sample coverage patterns are also given for a 6-month mission.

  15. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  16. SWAP: an EUV imager for solar monitoring on board of PROBA2

    NASA Astrophysics Data System (ADS)

    Katsiyannis, Athanassios C.; Berghmans, David; Hochedez, Jean-Francois; Nicula, Bogdan; Lawrence, Gareth; Defise, Jean-Marc; Ben-Moussa, Ali; Delouille, Veronique; Dominique, Marie; Lecat, Jean-Herve; Schmutz, W.; Theissen, Armin; Slemzin, Vladimir

    2005-08-01

    PROBA2 is an ESA technology demonstration mission to be launched in early 2007. The two primary scientific instruments on board of PROBA2 are SWAP (Sun Watcher using Active Pixel System detector and Image Processing) and the LYRA VUV radiometer. SWAP provides a full disk solar imaging capability with a bandpass filter centred at 17.5 nm (FeIX-XI) and a fast cadence of ≈1 min. The telescope is based on an off-axis Ritchey Chretien design while an extreme ultraviolet (EUV) enhanced APS CMOS will be used as a detector. As the prime goal of the SWAP is solar monitoring and advance warning of Coronal Mass Ejections (CME), on-board intellige nce will be implemented. Image recognition software using experimental algorithms will be used to detect CMEs during the first phase of eruption so the event can be tracked by the spacecraft without huma n intervention. LYRA will monitor solar irradiance in four different VUV passbands with a cadence of up to 100 Hz. The four channels were chosen for their relevance to solar physics, aeronomy and space weather: 115-125 nm (Lyman-α), 200-220 nm Herzberg continuum, the 17-70 nm Aluminium filter channel (that includes the HeII line at 30.4 nm) and the 1-20 nm Zirconium filter channel. On-board calibration sources will monitor the stability of the detectors and the filters throughout the duration of the mission.

  17. Optimizing laser produced plasmas for efficient extreme ultraviolet and soft X-ray light sources

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2014-08-01

    Photon sources produced by laser beams with moderate laser intensities, up to 1014 W/cm2, are being developed for many industrial applications. The performance requirements for high volume manufacture devices necessitate extensive experimental research supported by theoretical plasma analysis and modeling predictions. We simulated laser produced plasma sources currently being developed for several applications such as extreme ultraviolet lithography using 13.5% ± 1% nm bandwidth, possibly beyond extreme ultraviolet lithography using 6.× nm wavelengths, and water-window microscopy utilizing 2.48 nm (La-α) and 2.88 nm (He-α) emission. We comprehensively modeled plasma evolution from solid/liquid tin, gadolinium, and nitrogen targets as three promising materials for the above described sources, respectively. Results of our analysis for plasma characteristics during the entire course of plasma evolution showed the dependence of source conversion efficiency (CE), i.e., laser energy to photons at the desired wavelength, on plasma electron density gradient. Our results showed that utilizing laser intensities which produce hotter plasma than the optimum emission temperatures allows increasing CE for all considered sources that, however, restricted by the reabsorption processes around the main emission region and this restriction is especially actual for the 6.× nm sources.

  18. Detection of significant differences between absorption spectra of neutral helium and low temperature photoionized helium plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartnik, A.; Wachulak, P.; Fiedorowicz, H.

    2013-11-15

    In this work, spectral investigations of photoionized He plasmas were performed. The photoionized plasmas were created by irradiation of helium stream, with intense pulses from laser-plasma extreme ultraviolet (EUV) source. The EUV source was based on a double-stream Xe/Ne gas-puff target irradiated with 10 ns/10 J Nd:YAG laser pulses. The most intense emission from the source spanned a relatively narrow spectral region below 20 nm, however, spectrally integrated intensity at longer wavelengths was also significant. The EUV radiation was focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulse. The long-wavelength part of the EUVmore » radiation was used for backlighting of the photoionized plasmas to obtain absorption spectra. Both emission and absorption spectra in the EUV range were investigated. Significant differences between absorption spectra acquired for neutral helium and low temperature photoionized plasmas were demonstrated for the first time. Strong increase of intensities and spectral widths of absorption lines, together with a red shift of the K-edge, was shown.« less

  19. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    NASA Technical Reports Server (NTRS)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  20. Characteristics of EUV Coronal Jets Observed with STEREO/SECCHI

    NASA Astrophysics Data System (ADS)

    Nisticò, G.; Bothmer, V.; Patsourakos, S.; Zimbardo, G.

    2009-10-01

    In this paper we present the first comprehensive statistical study of EUV coronal jets observed with the SECCHI (Sun Earth Connection Coronal and Heliospheric Investigation) imaging suites of the two STEREO spacecraft. A catalogue of 79 polar jets is presented, identified from simultaneous EUV and white-light coronagraph observations, taken during the time period March 2007 to April 2008, when solar activity was at a minimum. The twin spacecraft angular separation increased during this time interval from 2 to 48 degrees. The appearances of the coronal jets were always correlated with underlying small-scale chromospheric bright points. A basic characterization of the morphology and identification of the presence of helical structure were established with respect to recently proposed models for their origin and temporal evolution. Though each jet appeared morphologically similar in the coronagraph field of view, in the sense of a narrow collimated outward flow of matter, at the source region in the low corona the jet showed different characteristics, which may correspond to different magnetic structures. A classification of the events with respect to previous jet studies shows that amongst the 79 events there were 37 Eiffel tower-type jet events, commonly interpreted as a small-scale (˜35 arc sec) magnetic bipole reconnecting with the ambient unipolar open coronal magnetic fields at its loop tops, and 12 lambda-type jet events commonly interpreted as reconnection with the ambient field happening at the bipole footpoints. Five events were termed micro-CME-type jet events because they resembled the classical coronal mass ejections (CMEs) but on much smaller scales. The remaining 25 cases could not be uniquely classified. Thirty-one of the total number of events exhibited a helical magnetic field structure, indicative for a torsional motion of the jet around its axis of propagation. A few jets are also found in equatorial coronal holes. In this study we present sample

  1. Impact of deformed extreme-ultraviolet pellicle in terms of CD uniformity

    NASA Astrophysics Data System (ADS)

    Kim, In-Seon; Yeung, Michael; Barouch, Eytan; Oh, Hye-Keun

    2015-07-01

    The usage of the extreme ultraviolet (EUV) pellicle is regarded as the solution for defect control since it can protect the mask from airborne debris. However some obstacles disrupt real-application of the pellicle such as structural weakness, thermal damage and so on. For these reasons, flawless fabrication of the pellicle is impossible. In this paper, we discuss the influence of deformed pellicle in terms of non-uniform intensity distribution and critical dimension (CD) uniformity. It was found that non-uniform intensity distribution is proportional to local tilt angle of pellicle and CD variation was linearly proportional to transmission difference. When we consider the 16 nm line and space pattern with dipole illumination (σc=0.8, σr=0.1, NA=0.33), the transmission difference (max-min) of 0.7 % causes 0.1 nm CD uniformity. Influence of gravity caused deflection to the aerial image is small enough to ignore. CD uniformity is less than 0.1 nm even for the current gap of 2 mm between mask and pellicle. However, heat caused EUV pellicle wrinkle might cause serious image distortion because a wrinkle of EUV pellicle causes a transmission loss variation as well as CD non-uniformity. In conclusion, local angle of a wrinkle, not a period or an amplitude of a wrinkle is a main factor to CD uniformity, and local angle of less than ~270 mrad is needed to achieve 0.1 nm CD uniformity with 16 nm L/S pattern.

  2. AN AUTOMATIC DETECTION METHOD FOR EXTREME-ULTRAVIOLET DIMMINGS ASSOCIATED WITH SMALL-SCALE ERUPTION

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alipour, N.; Safari, H.; Innes, D. E.

    2012-02-10

    Small-scale extreme-ultraviolet (EUV) dimming often surrounds sites of energy release in the quiet Sun. This paper describes a method for the automatic detection of these small-scale EUV dimmings using a feature-based classifier. The method is demonstrated using sequences of 171 Angstrom-Sign images taken by the STEREO/Extreme UltraViolet Imager (EUVI) on 2007 June 13 and by Solar Dynamics Observatory/Atmospheric Imaging Assembly on 2010 August 27. The feature identification relies on recognizing structure in sequences of space-time 171 Angstrom-Sign images using the Zernike moments of the images. The Zernike moments space-time slices with events and non-events are distinctive enough to be separatedmore » using a support vector machine (SVM) classifier. The SVM is trained using 150 events and 700 non-event space-time slices. We find a total of 1217 events in the EUVI images and 2064 events in the AIA images on the days studied. Most of the events are found between latitudes -35 Degree-Sign and +35 Degree-Sign . The sizes and expansion speeds of central dimming regions are extracted using a region grow algorithm. The histograms of the sizes in both EUVI and AIA follow a steep power law with slope of about -5. The AIA slope extends to smaller sizes before turning over. The mean velocity of 1325 dimming regions seen by AIA is found to be about 14 km s{sup -1}.« less

  3. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  4. Prospect of space-based interferometry at EUV and soft X-ray wavelengths

    NASA Technical Reports Server (NTRS)

    Welsh, Barry Y.; Chakrabarti, Supriya

    1992-01-01

    We review the current capabilities of high-resolution, spectroscopic, space-borne instrumentation available for both solar and stellar observations in the EUV and soft X-ray wavelength regimes, and describe the basic design of a compact, all-reflection interferometer based on the spatial heterodyne technique; this is capable of producing a resolving power (lambda/Delta-lambda) of about 20,000 in the 100-200 A region using presently available multilayer optical components. Such an instrument can be readily constructed with existing technology. Due to its small size and lack of moving parts, it is ideally suited to spaceborne applications. Based on best estimates of the efficiency of this instrument at soft X-ray wavelengths, we review the possible use of this high-resolution interferometer in obtaining high-resolution full-disk spectroscopy of the sun. We also discuss its possible use for observations of diffuse sources such as the EUV interstellar background radiation.

  5. Sources and measurement of ultraviolet radiation.

    PubMed

    Diffey, Brian L

    2002-09-01

    Ultraviolet (UV) radiation is part of the electromagnetic spectrum. The biological effects of UV radiation vary enormously with wavelength and for this reason the UV spectrum is further subdivided into three regions: UVA, UVB, and UVC. Quantities of UV radiation are expressed using radiometric terminology. A particularly important term in clinical photobiology is the standard erythema dose (SED), which is a measure of the erythemal effectiveness of a UV exposure. UV radiation is produced either by heating a body to an incandescent temperature, as is the case with solar UV, or by passing an electric current through a gas, usually vaporized mercury. The latter process is the mechanism whereby UV radiation is produced artificially. Both the quality (spectrum) and quantity (intensity) of terrestrial UV radiation vary with factors including the elevation of the sun above the horizon and absorption and scattering by molecules in the atmosphere, notably ozone, and by clouds. For many experimental studies in photobiology it is simply not practicable to use natural sunlight and so artificial sources of UV radiation designed to simulate the UV component of sunlight are employed; these are based on either optically filtered xenon arc lamps or fluorescent lamps. The complete way to characterize an UV source is by spectroradiometry, although for most practical purposes a detector optically filtered to respond to a limited portion of the UV spectrum normally suffices.

  6. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  7. Deep ultraviolet semiconductor light sources for sensing and security

    NASA Astrophysics Data System (ADS)

    Shatalov, Max; Bilenko, Yuri; Yang, Jinwei; Gaska, Remis

    2009-09-01

    III-Nitride based deep ultraviolet (DUV) light emitting diodes (LEDs) rapidly penetrate into sensing market owing to several advantages over traditional UV sources (i.e. mercury, xenon and deuterium lamps). Small size, a wide choice of peak emission wavelengths, lower power consumption and reduced cost offer flexibility to system integrators. Short emission wavelength offer advantages for gas detection and optical sensing systems based on UV induced fluorescence. Large modulation bandwidth for these devices makes them attractive for frequency-domain spectroscopy. We will review present status of DUV LED technology and discuss recent advances in short wavelength emitters and high power LED lamps.

  8. Telescience - Concepts And Contributions To The Extreme Ultraviolet Explorer Mission

    NASA Astrophysics Data System (ADS)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-10-01

    A goal of the telescience concept is to allow scientists to use remotely located instruments as they would in their laboratory. Another goal is to increase reliability and scientific return of these instruments. In this paper we discuss the role of transparent software tools in development, integration, and postlaunch environments to achieve hands on access to the instrument. The use of transparent tools helps to reduce the parallel development of capability and to assure that valuable pre-launch experience is not lost in the operations phase. We also discuss the use of simulation as a rapid prototyping technique. Rapid prototyping provides a cost-effective means of using an iterative approach to instrument design. By allowing inexpensive produc-tion of testbeds, scientists can quickly tune the instrument to produce the desired scientific data. Using portions of the Extreme Ultraviolet Explorer (EUVE) system, we examine some of the results of preliminary tests in the use of simulation and tran-sparent tools. Additionally, we discuss our efforts to upgrade our software "EUVE electronics" simulator to emulate a full instrument, and give the pros and cons of the simulation facilities we have developed.

  9. Solar Demon: near real-time Flare, Dimming and EUV wave monitoring

    NASA Astrophysics Data System (ADS)

    Kraaikamp, Emil; Verbeeck, Cis

    Dimmings and EUV waves have been observed routinely in EUV images since 1996. They are closely associated with coronal mass ejections (CMEs), and therefore provide useful information for early space weather alerts. On the one hand, automatic detection and characterization of dimmings and EUV waves can be used to gain better understanding of the underlying physical mechanisms. On the other hand, every dimming and EUV wave provides extra information on the associated front side CME, and can improve estimates of the geo-effectiveness and arrival time of the CME. Solar Demon has been designed to detect and characterize dimmings, EUV waves, as well as solar flares in near real-time on Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) data. The detection modules are running continuously at the Royal Observatory of Belgium on both quick-look data, as well as synoptic science data. The output of Solar Demon can be accessed in near real-time on the Solar Demon website, and includes images, movies, light curves, and the numerical evolution of several parameters. Solar Demon is the result of collaboration between the FP7 projects AFFECTS and COMESEP. Flare detections of Solar Demon are integrated into the COMESEP alert system. Here we present the Solar Demon detection algorithms and their output. We will show several interesting flare, dimming and EUV wave events, and present general statistics of the detections made so far during solar cycle 24.

  10. EUV efficiency of a 6000-grooves per mm diffraction grating

    NASA Technical Reports Server (NTRS)

    Hurwitz, Mark; Bowyer, Stuart; Edelstein, Jerry; Harada, Tatsuo; Kita, Toshiaki

    1990-01-01

    In order to explore whether grooves ruled mechanically at a density of 6000 per mm can perform well at EUV wavelengths, a sample grating is measured with this density in an EUV calibration facility. Measurements are presented of the planar uniform line-space diffraction grating's efficiency and large-angle scattering.

  11. Anti-parallel EUV Flows Observed along Active Region Filament Threads with Hi-C

    NASA Astrophysics Data System (ADS)

    Alexander, Caroline E.; Walsh, Robert W.; Régnier, Stéphane; Cirtain, Jonathan; Winebarger, Amy R.; Golub, Leon; Kobayashi, Ken; Platt, Simon; Mitchell, Nick; Korreck, Kelly; DePontieu, Bart; DeForest, Craig; Weber, Mark; Title, Alan; Kuzin, Sergey

    2013-09-01

    Plasma flows within prominences/filaments have been observed for many years and hold valuable clues concerning the mass and energy balance within these structures. Previous observations of these flows primarily come from Hα and cool extreme-ultraviolet (EUV) lines (e.g., 304 Å) where estimates of the size of the prominence threads has been limited by the resolution of the available instrumentation. Evidence of "counter-steaming" flows has previously been inferred from these cool plasma observations, but now, for the first time, these flows have been directly imaged along fundamental filament threads within the million degree corona (at 193 Å). In this work, we present observations of an AR filament observed with the High-resolution Coronal Imager (Hi-C) that exhibits anti-parallel flows along adjacent filament threads. Complementary data from the Solar Dynamics Observatory (SDO)/Atmospheric Imaging Assembly (AIA) and Helioseismic and Magnetic Imager are presented. The ultra-high spatial and temporal resolution of Hi-C allow the anti-parallel flow velocities to be measured (70-80 km s-1) and gives an indication of the resolvable thickness of the individual strands (0.''8 ± 0.''1). The temperature of the plasma flows was estimated to be log T (K) = 5.45 ± 0.10 using Emission Measure loci analysis. We find that SDO/AIA cannot clearly observe these anti-parallel flows or measure their velocity or thread width due to its larger pixel size. We suggest that anti-parallel/counter-streaming flows are likely commonplace within all filaments and are currently not observed in EUV due to current instrument spatial resolution.

  12. Segmentation of photospheric magnetic elements corresponding to coronal features to understand the EUV and UV irradiance variability

    NASA Astrophysics Data System (ADS)

    Zender, J. J.; Kariyappa, R.; Giono, G.; Bergmann, M.; Delouille, V.; Damé, L.; Hochedez, J.-F.; Kumara, S. T.

    2017-09-01

    Context. The magnetic field plays a dominant role in the solar irradiance variability. Determining the contribution of various magnetic features to this variability is important in the context of heliospheric studies and Sun-Earth connection. Aims: We studied the solar irradiance variability and its association with the underlying magnetic field for a period of five years (January 2011-January 2016). We used observations from the Large Yield Radiometer (LYRA), the Sun Watcher with Active Pixel System detector and Image Processing (SWAP) on board PROBA2, the Atmospheric Imaging Assembly (AIA), and the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO). Methods: The Spatial Possibilistic Clustering Algorithm (SPoCA) is applied to the extreme ultraviolet (EUV) observations obtained from the AIA to segregate coronal features by creating segmentation maps of active regions (ARs), coronal holes (CHs) and the quiet sun (QS). Further, these maps are applied to the full-disk SWAP intensity images and the full-disk (FD) HMI line-of-sight (LOS) magnetograms to isolate the SWAP coronal features and photospheric magnetic counterparts, respectively. We then computed full-disk and feature-wise averages of EUV intensity and line of sight (LOS) magnetic flux density over ARs/CHs/QS/FD. The variability in these quantities is compared with that of LYRA irradiance values. Results: Variations in the quantities resulting from the segmentation, namely the integrated intensity and the total magnetic flux density of ARs/CHs/QS/FD regions, are compared with the LYRA irradiance variations. We find that the EUV intensity over ARs/CHs/QS/FD is well correlated with the underlying magnetic field. In addition, variations in the full-disk integrated intensity and magnetic flux density values are correlated with the LYRA irradiance variations. Conclusions: Using the segmented coronal features observed in the EUV wavelengths as proxies to isolate the underlying

  13. A FAST PROPAGATING EXTREME-ULTRAVIOLET WAVE ASSOCIATED WITH A MINI-FILAMENT ERUPTION

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng Ruisheng; Jiang Yunchun; Yang Jiayan

    The fast extreme-ultraviolet (EUV) waves (>1000 km s{sup -1}) in the solar corona were very rare in the past. Taking advantage of the high temporal and spatial resolution of the Solar Dynamics Observatory observations, we present a fast EUV wave associated with a mini-filament eruption, a C1.0 flare, and a coronal mass ejection (CME) on 2011 September 30. The event took place at the periphery between two active regions (ARs). The mini-filament rapidly erupted as a blowout jet associated with a flare and a CME. The CME front was likely developed from the large-scale overlying loops. The wave onset wasmore » nearly simultaneous with the start of the jet and the flare. The wave departed far from the flare center and showed a close location relative to the rapid jet. The wave had an initial speed of about 1100 km s{sup -1} and a slight deceleration in the last phase, and the velocity decreased to about 500 km s{sup -1}. The wave propagated in a narrow angle extent, likely to avoid the ARs on both sides. All the results provide evidence that the fast EUV wave was a fast-mode MHD wave. The wave resisted being driven by the CME, because it opened up the large-scale loops and its front likely formed later than the wave. The wave was most likely triggered by the jet, due to their close timing and location relations.« less

  14. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    PubMed

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  15. Magnetron sputtering for the production of EUV mask blanks

    NASA Astrophysics Data System (ADS)

    Kearney, Patrick; Ngai, Tat; Karumuri, Anil; Yum, Jung; Lee, Hojune; Gilmer, David; Vo, Tuan; Goodwin, Frank

    2015-03-01

    Ion Beam Deposition (IBD) has been the primary technique used to deposit EUV mask blanks since 1995 when it was discovered it could produce multilayers with few defects. Since that time the IBD technique has been extensively studied and improved and is finally approaching usable defectivities. But in the intervening years, the defectivity of magnetron sputtering has been greatly improved. This paper evaluates the suitability of a modern magnetron tool to produce EUV mask blanks and the ability to support HVM production. In particular we show that the reflectivity and uniformity of these tools are superior to current generation IBD tools, and that the magnetron tools can produce EUV films with defect densities comparable to recent best IBD tool performance. Magnetron tools also offer many advantages in manufacturability and tool throughput; however, challenges remain, including transitioning the magnetron tools from the wafer to mask formats. While work continues on quantifying the capability of magnetron sputtering to meet the mask blank demands of the industry, for the most part the remaining challenges do not require any fundamental improvements to existing technology. Based on the recent results and the data presented in this paper there is a clear indication that magnetron deposition should be considered for the future of EUV mask blank production.

  16. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    NASA Astrophysics Data System (ADS)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  17. Development of a 1m-normal-incidence-EUV-Telescope

    NASA Technical Reports Server (NTRS)

    Grewing, M.; Kraemer, G.; Schulz-Luepertz, E.; Wulf-Mathies, C.; Bowyer, S.; Jacobsen, P.; Jelinsky, P.; Kimble, R.

    1982-01-01

    A brief description is given of the 1m-EUV-Telescope and its focal plane instrumentation, namely an EUV spectrometer and six EUV/FUV photometers. The telescope is scheduled for launch on an Aries rocket on June 17, 1982. The principal goals are the white dwarf HZ43 and a photometric scan across the sky in an area of the sky where 21 cm line observations reveal a steep density gradient. The optical bench of the telescope is a cylinder made of a graphite epoxy compound. Despite its low specific weight, the bench shows an excellent mechanical performance, with an elasticity modulus of approximately 70,000 N/cu mm. It is pointed out that by carefully combining layers with different winding angles of the carbon fiber, the thermal expansion along the cylinder axis is almost negligible, even under severe thermal loads

  18. Actinic imaging and evaluation of phase structures on EUV lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mochi, Iacopo; Goldberg, Kenneth; Huh, Sungmin

    2010-09-28

    The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of themore » object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.« less

  19. Thermal conduction properties of Mo/Si multilayers for extreme ultraviolet optics

    NASA Astrophysics Data System (ADS)

    Bozorg-Grayeli, Elah; Li, Zijian; Asheghi, Mehdi; Delgado, Gil; Pokrovsky, Alexander; Panzer, Matthew; Wack, Daniel; Goodson, Kenneth E.

    2012-10-01

    Extreme ultraviolet (EUV) lithography requires nanostructured optical components, whose reliability can be influenced by radiation absorption and thermal conduction. Thermal conduction analysis is complicated by sub-continuum electron and phonon transport and the lack of thermal property data. This paper measures and interprets thermal property data, and their evolution due to heating exposure, for Mo/Si EUV mirrors with 6.9 nm period and Mo/Si thickness ratios of 0.4/0.6 and 0.6/0.4. We use time-domain thermoreflectance and the 3ω method to estimate the thermal resistance between the Ru capping layer and the Mo/Si multilayers (RRu-Mo/Si = 1.5 m2 K GW-1), as well as the out-of-plane thermal conductivity (kMo/Si 1.1 W m-1 K-1) and thermal anisotropy (η = 13). This work also reports the impact of annealing on thermal conduction in a co-deposited MoSi2 layer, increasing the thermal conductivity from 1.7 W m-1 K-1 in the amorphous phase to 2.8 W m-1 K-1 in the crystalline phase.

  20. The extreme ultraviolet explorer archive

    NASA Astrophysics Data System (ADS)

    Polomski, E.; Drake, J. J.; Dobson, C.; Christian, C.

    1993-09-01

    The Extreme Ultrviolet Explorer (EUVE) public archive was created to handle the storage, maintenance, and distribution of EUVE data and ancillary documentation, information, and software. Access to the archive became available to the public on July 17, 1992, only 40 days after the launch of the EUVE satellite. A brief overview of the archive's contents and the various methods of access will be described.

  1. Near-threshold harmonics from a femtosecond enhancement cavity-based EUV source: effects of multiple quantum pathways on spatial profile and yield.

    PubMed

    Hammond, T J; Mills, Arthur K; Jones, David J

    2011-12-05

    We investigate the photon flux and far-field spatial profiles for near-threshold harmonics produced with a 66 MHz femtosecond enhancement cavity-based EUV source operating in the tight-focus regime. The effects of multiple quantum pathways in the far-field spatial profile and harmonic yield show a strong dependence on gas jet dynamics, particularly nozzle diameter and position. This simple system, consisting of only a 700 mW Ti:Sapphire oscillator and an enhancement cavity produces harmonics up to 20 eV with an estimated 30-100 μW of power (intracavity) and > 1μW (measured) of power spectrally-resolved and out-coupled from the cavity. While this power is already suitable for applications, a quantum mechanical model of the system indicates substantial improvements should be possible with technical upgrades.

  2. Ultraviolet radiation induced discharge laser

    DOEpatents

    Gilson, Verle A.; Schriever, Richard L.; Shearer, James W.

    1978-01-01

    An ultraviolet radiation source associated with a suitable cathode-anode electrode structure, disposed in a gas-filled cavity of a high pressure pulsed laser, such as a transverse electric atmosphere (TEA) laser, to achieve free electron production in the gas by photoelectric interaction between ultraviolet radiation and the cathode prior to the gas-exciting cathode-to-anode electrical discharge, thereby providing volume ionization of the gas. The ultraviolet radiation is produced by a light source or by a spark discharge.

  3. Ultra-low roughness magneto-rheological finishing for EUV mask substrates

    NASA Astrophysics Data System (ADS)

    Dumas, Paul; Jenkins, Richard; McFee, Chuck; Kadaksham, Arun J.; Balachandran, Dave K.; Teki, Ranganath

    2013-09-01

    EUV mask substrates, made of titania-doped fused silica, ideally require sub-Angstrom surface roughness, sub-30 nm flatness, and no bumps/pits larger than 1 nm in height/depth. To achieve the above specifications, substrates must undergo iterative global and local polishing processes. Magnetorheological finishing (MRF) is a local polishing technique which can accurately and deterministically correct substrate figure, but typically results in a higher surface roughness than the current requirements for EUV substrates. We describe a new super-fine MRF® polishing fluid whichis able to meet both flatness and roughness specifications for EUV mask blanks. This eases the burden on the subsequent global polishing process by decreasing the polishing time, and hence the defectivity and extent of figure distortion.

  4. Ultraviolet Changes of the Central Source and the Very Nearby Ejecta

    NASA Technical Reports Server (NTRS)

    Gull, Theodore R.; Nielsen, Krister; Vierira, Gladys; Hillier, John; Walborn, Nolan; Davidson, Kris

    2004-01-01

    We utilized the high spatial and high spectral resolution of the HST/STIS MAMA echelle modes in the ultraviolet (0.025 inch spatial resolution and 30,000 to 120,000 spectral resolving power) to view changes in and around Eta Carinae before and after the X-Ray drop which occurred on June 29, 2003 (M. Corcoran, IAUC 8160). Major changes in the spectra of the Central Source and nearby nebulosities occurred between June 22 and July 5. Visibility of the Central Source dropped, especially between 1175 and 1350 Angstroms, but not uniformly throughout the ultraviolet. This fading is likely due to multiple line absorptions both in the source and in the intervening ejecta. Nebular emission of Si III] and Fe III, located 0.09 sec. to the west, disappeared. By July 29, a bright feature extending up to 0.071 sec. east of the Central Source became prominent in broad emission lines near 2500 Angstroms, but was not noticeable longward of 2900 Angstroms. ACS/HRC imagery and STIS CCD spectra taken concurrently are being examined for larger scale changes. Numerous narrow velocity components between -146 and -585 kilometers per second were identified in spectra before the minimum. New components appeared primarily in Fe II absorption lines with velocities between -170 and -380 kilometers per second. While the lines of the -513 kilometers per second component did not change, most lines of the -146 kilometers per second component changed considerably. Lines originating from high energy levels diminished or disappeared, while lines originating from lower energy levels strengthened. Strong absorption lines of Ti II, not present before the X-Ray drop, appeared within seven days, but disappeared by July 29. Further analysis of these unprecedented data will provide significant new information about the structure of Eta Carinae and its periodic variations.

  5. Optical design of a stigmatic spectroheliometer for photometric studies of dynamic phenomena at extreme-ultraviolet wavelengths

    NASA Technical Reports Server (NTRS)

    Huber, M. C. E.; Timothy, J. G.

    1977-01-01

    The design of a stigmatic spectroheliometer for photometric studies of dynamic phenomena in the solar atmosphere at extreme ultraviolet (EUV) wavelengths is described. The normal-incidence spectrometer requires only one reflective surface, and is equipped with a series of exit slits and associated one-dimensional detector arrays that are mounted at the secondary (vertical) foci of the concave diffraction grating. It is shown that such a spectrometer mounted at the focus of an off-axis paraboloid telescope mirror of the size employed in the EUV spectroheliometer flown on Skylab could record monochromatic images of a 2 x 2 (arcmin) sq field-of-view with a spatial resolution element of 1 x 1 (arcsec) sq in a time of 4 s, 24 s, or 4 min, depending on whether the region studied is flaring, active, or quiet. The resulting spectroheliograms would have an average photometric precision of 10% and a spectral purity of 0.1 A.

  6. Extreme Ultraviolet Spectroscopy of the Thermosphere from the RAIDS Experiment on the ISS

    NASA Astrophysics Data System (ADS)

    Bishop, R. L.; Stephan, A. W.; Christensen, A. B.; Budzien, S. A.; Straus, P. R.; van Epps, Z.

    2009-12-01

    The RAIDS experiment is a suite of eight instruments to be flown aboard the Japanese Experiment Module-Exposed Facility on the International Space Station (ISS) in 2009. One of the sensors is the Extreme Ultraviolet Spectrograph (EUVS). The EUVS measures the radiance of the Earth’s airglow with a f/5 Wadsworth spectrograph fronted by a mechanical grid collimator. The 0.1 x 2.3 degree field of view is imaged onto a wedge-and-strip two dimensional detector and collapsed into a one-dimensional spectrum. The vertical profile is assembled from a series of these spectra obtained as the RAIDS platform scans in altitude. Two grating positions provide coverage of the 50.0-85.0 nm region or the 77.0-110.0 nm region at 1.2 nm spectral resolution. We will present a discussion of the scientific targets for the RAIDS EUVS and, if launched on schedule, also the first spectra observed from this sensor. The EUVS is sensitive to a number of emissions in the Earth’s dayglow including atomic and ionized oxygen and argon, ionized nitrogen, and atomic helium. One of the primary RAIDS science objectives is to use the EUVS to obtain simultaneous OII 83.4 nm and 61.7 nm limb profiles to perform an in-depth investigation of the OII excitation and emission processes in the daytime ionosphere. Some of the more dominant spectral features such as the OI (98.9, 102.7 nm), OII (83.4, 61.7 nm), and NII (108.5, 91.6 nm) lines will provide the opportunity to develop new methods to monitor thermospheric O and N2. The OI (102.7 nm) observations may also be used, in conjunction with other RAIDS measurements, to retrieve the spectrally unresolved H Lyman beta and thus a measure of atomic hydrogen. The argon emissions Ar I (104.8, 106.7 nm) and Ar II (91.96, 93.21 nm) will provide information on its relative abundance in the lower thermosphere. . Combinations of measurements, such as the EUVS OI (98.9 nm) and the RAIDS Near Infrared Spectrometer OI (799.0 nm) emission can be used to probe the details

  7. Ultraviolet Source For Testing Hydrogen-Fire Detectors

    NASA Technical Reports Server (NTRS)

    Hall, Gregory A.; Larson, William E.; Youngquist, Robert C.; Moerk, John S.; Haskell, William D.; Cox, Robert B.; Polk, Jimmy D.; Stout, Stephen J.; Strobel, James P.

    1995-01-01

    Hand-held portable unit emits ultraviolet light similar to that emitted by hydrogen burning in air. Developed for use in testing optoelectronic hydrogen-fire detectors, which respond to ultraviolet light at wavelengths from 180 to 240 nanometers. Wavelength range unique in that within it, hydrogen fires emit small but detectable amounts of radiation, light from incandescent lamps and Sun almost completely absent, and air sufficiently transmissive to enable detection of hydrogen fire from distance. Consequently, this spectral region favorable for detecting hydrogen fires while minimizing false alarms.

  8. Design and progress in the fabrication of an EUV micro exposure tool optics for PREUVE

    NASA Astrophysics Data System (ADS)

    Geyl, Roland; Tanne, Jean-Francois

    2001-12-01

    SAGEM, through its REOSC product line, is participating since November 1999 to PREUVE, the French EUV initiative, and work within this program especially in the field of EUV illumination and projection optics. After a short description of the PREUVE main lines of activity, we will detail our contributions to this program and work progress. This is mainly focused on basic EUV optics fabrication technology in order to ensure the fabrication of the entire optics assembly of an EUV micro exposure tool.

  9. EUV process improvement with novel litho track hardware

    NASA Astrophysics Data System (ADS)

    Stokes, Harold; Harumoto, Masahiko; Tanaka, Yuji; Kaneyama, Koji; Pieczulewski, Charles; Asai, Masaya

    2017-03-01

    Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased HVM feasibility. Targeted improvements in hardware design for advanced lithography are of interest to our group specifically for metrics such as CD uniformity, LWR, and defect density. Of course, our work is focused on EUV process steps that are specifically affected by litho track performance, and consequently, can be improved by litho track design improvement and optimization. In this study we are building on our experience to provide continual improvement for LWR, CDU, and Defects as applied to a standard EUV process by employing novel hardware solutions on our SOKUDO DUO coat develop track system. Although it is preferable to achieve such improvements post-etch process we feel, as many do, that improvements after patterning are a precursor to improvements after etching. We hereby present our work utilizing the SOKUDO DUO coat develop track system with an ASML NXE:3300 in the IMEC (Leuven, Belgium) cleanroom environment to improve aggressive dense L/S patterns.

  10. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  11. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  12. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  13. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  14. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  15. Multilayer deposition and EUV reflectance characterization of 131 ? flight mirrors for AIA at LLNL

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, R; Robinson, J C; Spiller, E

    2006-02-22

    Mo/Si multilayer coatings reflecting at 131 {angstrom} were deposited successfully on the AIA primary and secondary flight mirrors and on two coating witness Si wafers, on November 16, 2005, at LLNL. All coatings were characterized by means of EUV reflectance measurements at beamline 6.3.2 of the Advanced Light Source (ALS) synchrotron at LBNL, and were found to be well within specifications.

  16. Method of fabricating reflection-mode EUV diffusers

    DOEpatents

    Anderson, Erik; Naulleau, Patrick P.

    2005-03-01

    Techniques for fabricating well-controlled, random relief, engineered surfaces that serve as substrates for EUV optical devices are accomplished with grayscale exposure. The method of fabricating a multilevel EUV optical element includes: (a) providing a substrate; (b) depositing a layer of curable material on a surface of the substrate; (c) creating a relief profile in a layer of cured material from the layer of curable material wherein the relief profile comprises multiple levels of cured material that has a defined contour; and (d) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. The curable material can comprise photoresist or a low dielectric constant material.

  17. Structural properties of Al/Mo/SiC multilayers with high reflectivity for extreme ultraviolet light.

    PubMed

    Hu, Min-Hui; Le Guen, Karine; André, Jean-Michel; Jonnard, Philippe; Meltchakov, Evgueni; Delmotte, Franck; Galtayries, Anouk

    2010-09-13

    We present the results of an optical and chemical, depth and surface study of Al/Mo/SiC periodic multilayers, designed as high reflectivity coatings for the extreme ultra-violet (EUV) range. In comparison to the previously studied Al/SiC system, the introduction of Mo as a third material in the multilayer structure allows us to decrease In comparison to the previously studied Al/SiC system with a reflectance of 37% at near normal incidence around 17 nm, the introduction of Mo as a third material in the multilayer structure allows us to decrease the interfacial roughness and achieve an EUV reflectivity of 53.4%, measured with synchrotron radiation. This is the first report of a reflectivity higher than 50% around 17 nm. Time-of-flight secondary ion mass spectrometry (ToF-SIMS) and x-ray photoelectron spectroscopy (XPS) measurements are performed on the Al/Mo/SiC system in order to analyze the individual layers within the stack. ToF-SIMS and XPS results give evidence that the first SiC layer is partially oxidized, but the O atoms do not reach the first Mo and Al layers. We use these results to properly describe the multilayer stack and discuss the possible reasons for the difference between the measured and simulated EUV reflectivity values.

  18. The far ultraviolet spectrum of Pluto and the discovery of its ionosphere

    NASA Astrophysics Data System (ADS)

    Steffl, A.; Stern, A.; Gladstone, R.; Parker, J. W.; Greathouse, T. K.; Retherford, K. D.; Young, L. A.; Schindhelm, E.; Kammer, J.; Strobel, D. F.; Summers, M. E.; Versteeg, M.; Olkin, C.; Weaver, H. A., Jr.; Hinson, D. P.; Linscott, I.

    2016-12-01

    During the New Horizons spacecraft's encounter with Pluto in July 2015, the Alice far ultraviolet spectrograph made numerous observations of Pluto and its atmosphere. We present here the far ultraviolet spectrum of Pluto. We observe faint emission (<0.01 Rayleighs/Ångstrom) from singly ionized nitrogen at 108.6 nm-the first detection of an ionosphere at Pluto. This N+ line is produced primarily by dissociative photoionization of molecular N2 by solar EUV photons (energy > 34.7 eV; wavelength < 36nm). Notably absent from Pluto's spectrum are emission lines from argon at 104.8 and 106.7 nm. We place upper limits on the amount of argon in Pluto's atmosphere above the tau=1 level (observed to be at 750km tangent altitude) that are significantly lower than previous models. We also identify and derive column densities for various hydrocarbon species such as C2H4 through their absorption of sunlight reflected from Pluto's surface.

  19. ANTI-PARALLEL EUV FLOWS OBSERVED ALONG ACTIVE REGION FILAMENT THREADS WITH HI-C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alexander, Caroline E.; Walsh, Robert W.; Régnier, Stéphane

    Plasma flows within prominences/filaments have been observed for many years and hold valuable clues concerning the mass and energy balance within these structures. Previous observations of these flows primarily come from Hα and cool extreme-ultraviolet (EUV) lines (e.g., 304 Å) where estimates of the size of the prominence threads has been limited by the resolution of the available instrumentation. Evidence of 'counter-steaming' flows has previously been inferred from these cool plasma observations, but now, for the first time, these flows have been directly imaged along fundamental filament threads within the million degree corona (at 193 Å). In this work, wemore » present observations of an AR filament observed with the High-resolution Coronal Imager (Hi-C) that exhibits anti-parallel flows along adjacent filament threads. Complementary data from the Solar Dynamics Observatory (SDO)/Atmospheric Imaging Assembly (AIA) and Helioseismic and Magnetic Imager are presented. The ultra-high spatial and temporal resolution of Hi-C allow the anti-parallel flow velocities to be measured (70-80 km s{sup –1}) and gives an indication of the resolvable thickness of the individual strands (0.''8 ± 0.''1). The temperature of the plasma flows was estimated to be log T (K) = 5.45 ± 0.10 using Emission Measure loci analysis. We find that SDO/AIA cannot clearly observe these anti-parallel flows or measure their velocity or thread width due to its larger pixel size. We suggest that anti-parallel/counter-streaming flows are likely commonplace within all filaments and are currently not observed in EUV due to current instrument spatial resolution.« less

  20. DIFFRACTION, REFRACTION, AND REFLECTION OF AN EXTREME-ULTRAVIOLET WAVE OBSERVED DURING ITS INTERACTIONS WITH REMOTE ACTIVE REGIONS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen Yuandeng; Liu Yu; Zhao Ruijuan

    2013-08-20

    We present observations of the diffraction, refraction, and reflection of a global extreme-ultraviolet (EUV) wave propagating in the solar corona. These intriguing phenomena are observed when the wave interacts with two remote active regions, and together they exhibit properties of an EUV wave. When the wave approached AR11465, it became weaker and finally disappeared in the active region, but a few minutes later a new wavefront appeared behind the active region, and it was not concentric with the incoming wave. In addition, a reflected wave was also simultaneously observed on the wave incoming side. When the wave approached AR11459, itmore » transmitted through the active region directly and without reflection. The formation of the new wavefront and the transmission could be explained with diffraction and refraction effects, respectively. We propose that the different behaviors observed during the interactions may be caused by different speed gradients at the boundaries of the two active regions. We find that the EUV wave formed ahead of a group of expanding loops a few minutes after the start of the loops' expansion, which represents the initiation of the associated coronal mass ejection (CME). Based on these results, we conclude that the EUV wave should be a nonlinear magnetosonic wave or shock driven by the associated CME, which propagated faster than the ambient fast mode speed and gradually slowed down to an ordinary linear wave. Our observations support the hybrid model that includes both fast wave and slow non-wave components.« less

  1. SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform

    NASA Astrophysics Data System (ADS)

    Bekaert, Joost; Di Lorenzo, Paolo; Mao, Ming; Decoster, Stefan; Larivière, Stéphane; Franke, Joern-Holger; Blanco Carballo, Victor M.; Kutrzeba Kotowska, Bogumila; Lazzarino, Frederic; Gallagher, Emily; Hendrickx, Eric; Leray, Philippe; Kim, R. Ryoung-han; McIntyre, Greg; Colsters, Paul; Wittebrood, Friso; van Dijk, Joep; Maslow, Mark; Timoshkov, Vadim; Kiers, Ton

    2017-03-01

    The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an `aggressive' full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.

  2. Compact advanced extreme-ultraviolet imaging spectrometer for spatiotemporally varying tungsten spectra from fusion plasmas.

    PubMed

    Song, Inwoo; Seon, C R; Hong, Joohwan; An, Y H; Barnsley, R; Guirlet, R; Choe, Wonho

    2017-09-01

    A compact advanced extreme-ultraviolet (EUV) spectrometer operating in the EUV wavelength range of a few nanometers to measure spatially resolved line emissions from tungsten (W) was developed for studying W transport in fusion plasmas. This system consists of two perpendicularly crossed slits-an entrance aperture and a space-resolved slit-inside a chamber operating as a pinhole, which enables the system to obtain a spatial distribution of line emissions. Moreover, a so-called v-shaped slit was devised to manage the aperture size for measuring the spatial resolution of the system caused by the finite width of the pinhole. A back-illuminated charge-coupled device was used as a detector with 2048 × 512 active pixels, each with dimensions of 13.5 × 13.5 μm 2 . After the alignment and installation on Korea superconducting tokamak advanced research, the preliminary results were obtained during the 2016 campaign. Several well-known carbon atomic lines in the 2-7 nm range originating from intrinsic carbon impurities were observed and used for wavelength calibration. Further, the time behavior of their spatial distributions is presented.

  3. Energy transport in short-pulse-laser-heated targets measured using extreme ultraviolet laser backlighting.

    PubMed

    Wilson, L A; Tallents, G J; Pasley, J; Whittaker, D S; Rose, S J; Guilbaud, O; Cassou, K; Kazamias, S; Daboussi, S; Pittman, M; Delmas, O; Demailly, J; Neveu, O; Ros, D

    2012-08-01

    The accurate characterization of thermal electron transport and the determination of heating by suprathermal electrons in laser driven solid targets are both issues of great importance to the current experiments being performed at the National Ignition Facility, which aims to achieve thermonuclear fusion ignition using lasers. Ionization, induced by electronic heat conduction, can cause the opacity of a material to drop significantly once bound-free photoionization is no longer energetically possible. We show that this drop in opacity enables measurements of the transmission of extreme ultraviolet (EUV) laser pulses at 13.9 nm to act as a signature of the heating of thin (50 nm) iron layers with a 50-nm thick parylene-N (CH) overlay irradiated by 35-fs pulses at irradiance 3×10(16) Wcm(-2). Comparing EUV transmission measurements at different times after irradiation to fluid code simulations shows that the target is instantaneously heated by hot electrons (with approximately 10% of the laser energy), followed by thermal conduction with a flux limiter of ≈0.05.

  4. Simultaneous Extreme-Ultraviolet Explorer and Optical Observations of Ad Leonis: Evidence for Large Coronal Loops and the Neupert Effect in Stellar Flares

    NASA Technical Reports Server (NTRS)

    Hawley, Suzanne L.; Fisher, George H.; Simon, Theodore; Cully, Scott L.; Deustua, Susana E.; Jablonski, Marek; Johns-Krull, Christopher; Pettersen, Bjorn R.; Smith, Verne; Spiesman, William J.; hide

    1995-01-01

    We report on the first simultaneous Extreme-Ultraviolet Explorer (EUVE) and optical observations of flares on the dMe flare star AD Leonis. The data show the following features: (1) Two flares (one large and one of moderate size) of several hours duration were observed in the EUV wavelength range; (2) Flare emission observed in the optical precedes the emission seen with EUVE; and (3) Several diminutions (DIMs) in the optical continuum were observed during the period of optical flare activity. To interpret these data, we develop a technique for deriving the coronal loop length from the observed rise and decay behavior of the EUV flare. The technique is generally applicable to existing and future coronal observations of stellar flares. We also determine the pressure, column depth, emission measure, loop cross-sectional area, and peak thermal energy during the two EUV flares, and the temperature, area coverage, and energy of the optical continuum emission. When the optical and coronal data are combined, we find convincing evidence of a stellar 'Neupert effect' which is a strong signature of chromospheric evaporation models. We then argue that the known spatial correlation of white-light emission with hard X-ray emission in solar flares, and the identification of the hard X-ray emission with nonthermal bremsstrahlung produced by accelerated electrons, provides evidence that flare heating on dMe stars is produced by the same electron precipitation mechanism that is inferred to occur on the Sun. We provide a thorough picture of the physical processes that are operative during the largest EUV flare, compare and contrast this picture with the canonical solar flare model, and conclude that the coronal loop length may be the most important factor in determining the flare rise time and energetics.

  5. Hemispherical Nature of EUV Shocks Revealed by SOHO, STEREO, and SDO Observations

    NASA Technical Reports Server (NTRS)

    Gopalswamy, Natchimuthuk; Nitta, N.; Akiyama, S.; Makela, P.; Yashiro, S.

    2011-01-01

    EUV wave transients associated with type II radio bursts are manifestation of CME-driven shocks in the solar corona. We use recent EUV wave observations from SOHO, STEREO, and SDO for a set of CMEs to show that the EUV transients have a spherical shape in the inner corona. We demonstrate this by showing that the radius of the EUV transient on the disk observed by one instrument is approximately equal to the height of the wave above the solar surface in an orthogonal view provided by another instrument. The study also shows that the CME-driven shocks often form very low in the corona at a heliocentric distance of 1.2 Rs, even smaller than the previous estimates from STEREO/CORl data (Gopalswamy et aI., 2009, Solar Phys. 259, 227). These results have important implications for the acceleration of solar energetic particles by CMEs

  6. SOHO/CDS Measurements of Coronal EUV Polarization above the Limb

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2002-01-01

    Attempts to measure polarization in coronal extreme ultraviolet (EUV) emission above the solar limb have been made using the SOHO/CDS normal-incidence spectrometer which has a polarization sensitivity of about 50%, a property that causes variations in intensity response as a function of the spacecraft's roll angle for polarized light. Such observations were made on the disk and up to 0.22 solar radii above the solar limb in a number of EUV lines during two special roll-maneuvers of the SOHO spacecraft. Measurements of intensity gradients were made above a modestly active equatorial region in 1997 and above a relatively cool polar region in 2001. Observed emission lines include He I 584 A, He II 304 A, O IV 555+610 A, O V 630 A, Mg IX 368 A, Mg X 610+625 A, and Si XI 303 A, formed at temperatures that evenly cover the range in log T from 4.1 to 6.2. Near the disk, measured intensities of all lines fall off exponentially at different rates that can be used to determine the density scale-heights of the emitting plasma, since this emission is dominated by collisional excitation with an Ne-squared dependence. Assuming hydrostatic equilibrium, the intensity gradient for each line can then be converted into a 'scale-height temperature', which is found to be closely related to the ionization temperature of each line over the wide range of lines and solar conditions observed. Thus the large-scale corona is remarkably uniform, even though clearly displaying a great deal of structure and non-uniformity on smaller spatial scales. Beyond a certain distance, intensity gradients of the cooler lines switch over to a flatter exponential slope, suggesting that this radiation is dominated by resonance scattering which varies as Ne to the first power. Such radiation should also be linearly polarized in the plane containing the line-of-sight and the solar center, a signature that would strongly confirm this interpretation.

  7. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    PubMed

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  8. A volume-limited ROSAT survey of extreme ultraviolet emission from all nondegenerate stars within 10 parsecs

    NASA Technical Reports Server (NTRS)

    Wood, Brian E.; Brown, Alexander; Linsky, Jeffrey L.; Kellett, Barry J.; Bromage, Gordon E.; Hodgkin, Simon T.; Pye, John P.

    1994-01-01

    We report the results of a volume-limited ROSAT Wide Field Camera (WFC) survey of all nondegenerate stars within 10 pc. Of the 220 known star systems within 10 pc, we find that 41 are positive detections in at least one of the two WFC filter bandpasses (S1 and S2), while we consider another 14 to be marginal detections. We compute X-ray luminosities for the WFC detections using Einstein Imaging Proportional Counter (IPC) data, and these IPC luminosities are discussed along with the WFC luminosities throughout the paper for purposes of comparison. Extreme ultraviolet (EUV) luminosity functions are computed for single stars of different spectral types using both S1 and S2 luminosities, and these luminosity functions are compared with X-ray luminosity functions derived by previous authors using IPC data. We also analyze the S1 and S2 luminosity functions of the binary stars within 10 pc. We find that most stars in binary systems do not emit EUV radiation at levels different from those of single stars, but there may be a few EUV-luminous multiple-star systems which emit excess EUV radiation due to some effect of binarity. In general, the ratio of X-ray luminosity to EUV luminosity increases with increasing coronal emission, suggesting that coronally active stars have higher coronal temperatures. We find that our S1, S2, and IPC luminosities are well correlated with rotational velocity, and we compare activity-rotation relations determined using these different luminosities. Late M stars are found to be significantly less luminous in the EUV than other late-type stars. The most natural explanation for this results is the concept of coronal saturation -- the idea that late-type stars can emit only a limited fraction of their total luminosity in X-ray and EUV radiation, which means stars with very low bolometric luminosities must have relatively low X-ray and EUV luminosities as well. The maximum level of coronal emission from stars with earlier spectral types is studied

  9. An extreme ultraviolet spectrometer experiment for the Shuttle Get Away Special Program

    NASA Technical Reports Server (NTRS)

    Conway, R. R.; Mccoy, R. P.; Meier, R. R.; Mount, G. H.; Prinz, D. K.; Young, J. M.; Carruthers, G. R.

    1984-01-01

    An extreme ultraviolet (EUV) spectrometer experiment operated successfully during the STS-7 mission in an experiment to measure the global and diurnal variation of the EUV airglow. The spectrometer is an F 3.5 Wadsworth mount with mechanical collimator, a 75 x 75 mm grating, and a bare microchannel plate detector providing a spectral resolution of 7 X FWHM. Read-out of the signal is through discrete channels or resistive anode techniques. The experiment includes a microcomputer, 20 Mbit tape recorder, and a 28V, 40 Ahr silver-zinc battery. It is the first GAS payload to use an opening door. The spectrometer's 0.1 x 4.2 deg field of view is pointed vertically out of the shuttle bay. During the STS-7 flight data were acquired continuously for a period of 5 hours and 37 minutes, providing spectra of the 570 A to 850 A wavelength region of the airglow. Five diurnal cycles of the 584 A emission of neutral helium and the 834 A emission of ionized atomic oxygen were recorded. The experiment also recorded ion events and pressure pulses associated with thruster firings. The experiment is to fly again on Mission 41-F.

  10. Extreme Ultraviolet Spectra of Few-Times Ionized Tungsten for Divertor Plasma Diagnostics

    DOE PAGES

    Clementson, Joel; Lennartsson, Thomas; Beiersdorfer, Peter

    2015-09-09

    The extreme ultraviolet (EUV) emission from few-times ionized tungsten atoms has been experimentally studied at the Livermore electron beam ion trap facility. The ions were produced and confined during low-energy operations of the EBIT-I electron beam ion trap. By varying the electron-beam energy from around 30–300 eV, tungsten ions in charge states expected to be abundant in tokamak divertor plasmas were excited, and the resulting EUV emission was studied using a survey spectrometer covering 120–320 Å. It is found that the emission strongly depends on the excitation energy; below 150 eV, it is relatively simple, consisting of strong isolated linesmore » from a few charge states, whereas at higher energies, it becomes very complex. For divertor plasmas with tungsten impurity ions, this emission should prove useful for diagnostics of tungsten flux rates and charge balance, as well as for radiative cooling of the divertor volume. Several lines in the 194–223 Å interval belonging to the spectra of five- and seven-times ionized tungsten (Tm-like W VI and Ho-like W VIII) were also measured using a high-resolution spectrometer.« less

  11. EUV emission spectra in collisions of highly charged tantalum ions with nitrogen and oxygen molecules

    NASA Astrophysics Data System (ADS)

    Tanuma, Hajime; Numadate, Naoki; Uchikura, Yoshiyuki; Shimada, Kento; Akutsu, Takuto; Long, Elaine; O'Sullivan, Gerry

    2017-10-01

    We have performed ion beam collision experiments using multiply charged tantalum ions and observed EUV (extreme ultra-violet) emission spectra in collisions of ions with molecular targets, N2 and O2. Broad UTAs (un-resolved transition arrays) from multiply charged Ta ions were observed, and the mean wavelengths of the UTAs shifted and became shorter at higher charge statea of Ta ions. These UTAs may be attributed to the 4f-5d and 4f-5g transitions. Not only the UTA emission from incident ions, but also the sharp emission lines from multiply charged fragment atomic ions were observed. Production of temporary highly charged molecular ions, their kinetic energy and fragmentation processes have been investigated with coincident detection technique. However, the observation of emission from the fragments might be for the first time. The formation mechanisms of the multiply charged fragment atomic ions from target molecules are discussed.

  12. Development status of EUV sources for use in beta-tools and high-volume chip manufacturing tools

    NASA Astrophysics Data System (ADS)

    Stamm, U.; Kleinschmidt, J.; Bolshukhin, D.; Brudermann, J.; Hergenhan, G.; Korobotchko, V.; Nikolaus, B.; Schürmann, M. C.; Schriever, G.; Ziener, C.; Borisov, V. M.

    2006-03-01

    In the paper we give an update about the development status of gas discharge produced plasma (GDPP) EUV sources at XTREME technologies. Already in 2003 first commercial prototypes of xenon GDPP sources of the type XTS 13-35 based on the Z-pinch with 35 W power in 2π sr have been delivered and integrated into micro-exposure tools from Exitech, UK. The micro-exposure tools with these sources have been installed in industry in 2004. The first tool has made more than 100 million pulses without visible degradation of the source collector optics. For the next generation of full-field exposure tools (we call it Beta-tools) we develop GDPP sources with power of > 10 W in intermediate focus. Also these sources use xenon as fuel which has the advantage of not introducing additional contaminations. Here we describe basic performance of these sources as well as aspects of collector integration and debris mitigation and optics lifetime. To achieve source performance data required for high volume chip manufacturing we consider tin as fuel for the source because of its higher conversion efficiency compared to xenon. While we had earlier reported an output power of 400 W in 2π sr from a tin source we could reach meanwhile 800 W in 2π sr from the source in burst operation. Provided a high power collector is available with a realistic collector module efficiency of between 9% and 15 % these data would support 70-120 W power in intermediate focus. However, we do not expect that the required duty cycle and the required electrode lifetimes can be met with this standing electrode design Z-pinch approach. To overcome lifetime and duty cycle limitations we have investigated GDPP sources with tin fuel and rotating disk electrodes. Currently we can generate more than 200 W in 2π sr with these sources at 4 kHz repetition rate. To achieve 180 W power in intermediate focus which is the recent requirement of some exposure tool manufacturers this type of source needs to operate at 21-28 k

  13. Shot noise, LER, and quantum efficiency of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Brainard, Robert L.; Trefonas, Peter; Lammers, Jeroen H.; Cutler, Charlotte A.; Mackevich, Joseph F.; Trefonas, Alexander; Robertson, Stewart A.

    2004-05-01

    The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied. These resists were identical to EUV-2D except were prepared with seven levels of added base while keeping all other resist variables constant. These seven resists were patterned with EUV lithography, and LER was measured on 100-200 nm dense lines. Similarly, the resists were also imaged using DUV lithography and LER was determined for 300-500 nm dense lines. LER results for both wavelengths were plotted against Esize. Both curves show very similar LER behavior-the resists requiring low doses have poor LER, whereas the resists requiring high doses have good LER. One possible explanation for the observed LER response is that the added base improves LER by reacting with the photogenerated acid to control the lateral spread of acid, leading to better chemical contrast at the line edge. An alternative explanation to the observed relationship between LER and Esize is that shot-noise generated LER decreases as the number of photons absorbed at the line edge increases. We present an analytical model for the influence of shot noise based on Poisson statistics that preidicts that the LER is proportional to (Esize)-1/2. Indeed, both sets of data give straight lines when plotted this way (DUV r2 = 0.94; EUV r2 = 0.97). We decided to further evaluate this interpretation by constructing a simulation model for shot noise resulting from exposure and acid diffusion at the mask edge. In order to acquire the data for this model, we used the base titration method developed by Szmanda et al. to determine C-parameters and hence the quantum efficiency for producing photogenerated acid. This information, together with film absorptivity, allows the calculation of number and location of acid molecules generated at the mask edgte by assuming a stochastic distribution of individual photons corresponding to the aerial image function. The edge

  14. Study of extreme-ultraviolet emission and properties of a coronal streamer from PROBA2/SWAP, HINODE/EIS and Mauna Loa Mk4 observations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goryaev, F.; Slemzin, V.; Vainshtein, L.

    2014-02-01

    Wide-field extreme-ultraviolet (EUV) telescopes imaging in spectral bands sensitive to 1 MK plasma on the Sun often observe extended, ray-like coronal structures stretching radially from active regions to distances of 1.5-2 R {sub ☉}, which represent the EUV counterparts of white-light streamers. To explain this phenomenon, we investigated the properties of a streamer observed on 2010 October 20 and 21, by the PROBA2/SWAP EUV telescope together with the Hinode/EIS (HOP 165) and the Mauna Loa Mk4 white-light coronagraph. In the SWAP 174 Å band comprising the Fe IX-Fe XI lines, the streamer was detected to a distance of 2 Rmore » {sub ☉}. We assume that the EUV emission is dominated by collisional excitation and resonant scattering of monochromatic radiation coming from the underlying corona. Below 1.2 R {sub ☉}, the plasma density and temperature were derived from the Hinode/EIS data by a line-ratio method. Plasma conditions in the streamer and in the background corona above 1.2 R {sub ☉} from the disk center were determined by forward-modeling the emission that best fit the observational data in both EUV and white light. It was found that the plasma in the streamer above 1.2 R {sub ☉} is nearly isothermal, with a temperature of T = 1.43 ± 0.08 MK. The hydrostatic scale-height temperature determined from the evaluated density distribution was significantly higher (1.72 ± 0.08 MK), which suggests the existence of outward plasma flow along the streamer. We conclude that, inside the streamer, collisional excitation provided more than 90% of the observed EUV emission, whereas, in the background corona, the contribution of resonance scattering became comparable with that of collisions at R ≳ 2 R {sub ☉}.« less

  15. The development and test of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. Gethyn; Walker, A. B. C., Jr.; Morgan, J. S.; Huber, M. C. E.; Tondello, G.

    1992-01-01

    The objectives were to address currently unanswered fundamental questions concerning the fine scale structure of the chromosphere, transition region, and corona. The unique characteristics of the spectroheliometer was used in combination with plasma diagnostic techniques to study the temperature, density, and velocity structures of specific features in the solar outer atmosphere. A unified understanding was sought of the interplay between the time dependent geometry of the magnetic field structure and the associated flows of mass and energy, the key to which lies in the smallest spatial scales that are unobservable with current EUV instruments. Toroidal diffraction gratings were fabricated and tested by a new technique using an elastically deformable substrate. The toroidal diffraction gratings was procured and tested to be used for the evaluation of the Multi-Anode Microchannel Array (MAMA) detector systems for the Solar Ultraviolet Measurements of Emitted Radiation (SUMER) and UV Coronagraph Spectrometer (UVCS) instruments on the SOHO mission.

  16. Design of a grazing incidence EUV imaging spectrometer for the solar orbiter ESA mission

    NASA Astrophysics Data System (ADS)

    Da Deppo, Vania; Poletto, Luca

    2017-11-01

    The paper describes the optical design and performance of an extreme-ultraviolet (EUV) spectrometer for imaging spectroscopy to be part of the scientific payload of the Solar Orbiter (SOLO) mission. The main scientific objectives are to study the solar polar region and observe in detail the evolution of corona structures from a favourable point of view at only 45 solar radii from the Sun (0.2 AU). The instrument concept is based on a grazing incidence telescope, (1200 m focal length, 18 arcmin x 18 arcmin FoV), in Wolter configuration couple to a normalincidence VLS grating spectrometer, which preserve the stigmaticity in an extended spectral region and in the whole field-of-view. The spectral range covered by the instrument is the 116-126 nm region at the first order and the 57-63 nm region at the second order. The spectral resolving element is 65 mÅ (I order), corresponding to a velocity resolution of 16 km/s.

  17. Ultraviolet absorption hygrometer

    DOEpatents

    Gersh, M.E.; Bien, F.; Bernstein, L.S.

    1986-12-09

    An ultraviolet absorption hygrometer is provided including a source of pulsed ultraviolet radiation for providing radiation in a first wavelength region where water absorbs significantly and in a second proximate wavelength region where water absorbs weakly. Ultraviolet radiation in the first and second regions which has been transmitted through a sample path of atmosphere is detected. The intensity of the radiation transmitted in each of the first and second regions is compared and from this comparison the amount of water in the sample path is determined. 5 figs.

  18. The Solar Chromosphere/Corona Interface. I. Far-Ultraviolet to Extreme-Ultraviolet Observations and Modeling of Unresolved Coronal Funnels

    NASA Technical Reports Server (NTRS)

    Martinez-Galarce, Dennis S.; Walker, Arthur C., III; Barbee, Troy W., II; Hoover, Richard B.

    2003-01-01

    A coronal funnel model, developed by D. Rabin, was tested against a calibrated spectroheliogram recorded in the 170-1 75 A bandpass. This image was recorded on board a sounding-rocket experiment flown on 1994 November 3, called the Multi-Spectral Solar Telescope Array II (MSSTA II). MSSTA, a joint project of Stanford University, the NASA Marshall Space Flight Center, and the Lawrence Livermore National Laboratory' is an observing platform composed of a set of normal-incidence, multilayer-coated optics designed to obtain narrow-bandpass, high-resolution images (1 sec.- 3 sec.) at selected far-ultraviolet (FUV), extreme-ultraviolet (EUV), and soft X-ray wavelengths (44-1550 A). Using full disk images centered at 1550 A (C IV) and 173 A (Fe IX/X), the funnel model, which is based on coronal back-heating, was tested against the data incorporating observed constraints on global coverage and measured flux. Found was a class of funnel models that could account for the quiescent, globally diffuse and unresolved emission seen in the 171-175 A bandpass, where the funnels are assumed to be rooted in the C IV supergranular network. These models, when incorporated with the CHIANTI spectral code, suggest that this emission is mostly of upper transition region origin and primarily composed of Fe IX plasma. The funnels are found to have constrictions, Gamma approx. 6-20, which is in good agreement with the observations. Further, the fitted models simultaneously satisfy global areal constraints seen in both images; namely,that a global network of funnels must cover approx. 700 - 95% of the total solar surface area seen in the 171-175 A image, and a 5% of the disk area seen in the 1550 A bandpass. These findings support the configuration of the EUV magnetic network as suggested by Reeves et al. and put forth in more detail by Gabriel. Furthermore, the models are in good agreement with differential emission measure estimates made of the transition region by J. C. Raymond & J. G

  19. Solar EUV irradiance from the San Marco ASSI - A reference spectrum

    NASA Technical Reports Server (NTRS)

    Schmidtke, Gerhard; Woods, Thomas N.; Worden, John; Rottman, Gary J.; Doll, Harry; Wita, Claus; Solomon, Stanley C.

    1992-01-01

    The only satellite measurement of the solar EUV irradiance during solar cycle 22 has been obtained with the Airglow Solar Spectrometer Instrument (ASSI) aboard the San Marco 5 satellite flown in 1988. The ASSI in-flight calibration parameters are established by using the internal capabilities of ASSI and by comparing ASSI results to the results from other space-based experiments on the ASSI calibration rocket and the Solar Mesospheric Explorer (SME). A solar EUV irradiance spectrum derived from ASSI observations on November 10, 1988 is presented as a reference spectrum for moderate solar activity for the aeronomy community. This ASSI spectrum should be considered as a refinement and extension of the solar EUV spectrum published for the same day by Woods and Rottman (1990).

  20. Ultimate patterning limits for EUV at 5nm node and beyond

    NASA Astrophysics Data System (ADS)

    Ali, Rehab Kotb; Hamed Fatehy, Ahmed; Lafferty, Neal; Word, James

    2018-03-01

    The 5nm technology node introduces more aggressive geometries than previous nodes. In this paper, we are introducing a comprehensive study to examine the pattering limits of EUV at 0.33NA. The study is divided into two main approaches: (A) Exploring pattering limits of Single Exposure EUV Cut/Block mask in Self-Aligned-Multi-Patterning (SAMP) process, and (B) Exploring the pattering limits of a Single Exposure EUV printing of metal Layers. The printability of the resulted OPC masks is checked through a model based manufacturing flow for the two pattering approaches. The final manufactured patterns are quantified by Edge Placement Error (EPE), Process Variation Band (PVBand), soft/hard bridging and pinching, Image Log Slope (ILS) and Common Depth of Focus (CDOF)