Sample records for ultraviolet wavelengths rare

  1. Visible and near-ultraviolet spectra of low-pressure rare-gas microwave discharges

    NASA Technical Reports Server (NTRS)

    Campbell, J. P.; Spisz, E. W.; Bowman, R. L.

    1971-01-01

    The spectral emission characteristics of three commercial low pressure rare gas discharge lamps wire obtained in the near ultraviolet and visible wavelength range. All three lamps show a definite continuum over the entire wavelength range from 0.185 to 0.6 micrometers. Considerable line emission is superimposed on much of the continuum for wavelengths greater than 0.35 micrometers. These sources were used to make transmittance measurements on quartz samples in the near ultraviolet wavelength range.

  2. Photon-counting array detectors for space and ground-based studies at ultraviolet and vacuum ultraviolet /VUV/ wavelengths

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.; Bybee, R. L.

    1981-01-01

    The Multi-Anode Microchannel Arrays (MAMAs) are a family of photoelectric photon-counting array detectors, with formats as large as (256 x 1024)-pixels that can be operated in a windowless configuration at vacuum ultraviolet (VUV) and soft X-ray wavelengths or in a sealed configuration at ultraviolet and visible wavelengths. This paper describes the construction and modes of operation of (1 x 1024)-pixel and (24 x 1024)-pixel MAMA detector systems that are being built and qualified for use in sounding-rocket spectrometers for solar and stellar observations at wavelengths below 1300 A. The performance characteristics of the MAMA detectors at ultraviolet and VUV wavelengths are also described.

  3. Reference ultraviolet wavelengths of CrIII measured by Fourier transform spectrometry

    NASA Astrophysics Data System (ADS)

    Smillie, D. G.; Pickering, J. C.; Smith, P. L.

    2008-10-01

    We report CrIII ultraviolet (UV) transition wavelengths measured using a high-resolution Fourier transform spectrometer (FTS), for the first time, available for use as wavelength standards. The doubly ionized iron group element spectra dominate the observed opacity of hot B stars in the UV, and improved, accurate, wavelengths are required for the analysis of astronomical spectra. The spectrum was excited using a chromium-neon Penning discharge lamp and measured with the Imperial College vacuum ultraviolet FTS. 140 classified 3d34s-3d34p CrIII transition lines, in the spectral range 38000 to 49000 cm-1 (2632 to 2041 Å), the strongest having wavelength uncertainties less than one part in 107, are presented.

  4. Reference Ultraviolet Wavelengths of Cr III Measured by Fourier Transform Spectrometry

    NASA Technical Reports Server (NTRS)

    Smillie, D.G.; Pickering, J.C.; Smith, P.L.

    2008-01-01

    We report Cr III ultraviolet (UV) transition wavelengths measured using a high-resolution Fourier transform spectrometer (FTS), for the first time, available for use as wavelength standards. The doubly ionized iron group element spectra dominate the observed opacity of hot B stars in the UV, and improved, accurate, wavelengths are required for the analysis of astronomical spectra. The spectrum was excited using a chromium-neon Penning discharge lamp and measured with the Imperial College vacuum ultraviolet FTS. 140 classified 3d(exp 3)4s- 3d(exp 3)4p Cr III transition lines, in the spectral range 38,000 to 49,000 cm(exp -1) (2632 to 2041 A), the strongest having wavelength uncertainties less than one part in 10(exp 7), are presented.

  5. Optimizing image-based patterned defect inspection through FDTD simulations at multiple ultraviolet wavelengths

    NASA Astrophysics Data System (ADS)

    Barnes, Bryan M.; Zhou, Hui; Henn, Mark-Alexander; Sohn, Martin Y.; Silver, Richard M.

    2017-06-01

    The sizes of non-negligible defects in the patterning of a semiconductor device continue to decrease as the dimensions for these devices are reduced. These "killer defects" disrupt the performance of the device and must be adequately controlled during manufacturing, and new solutions are required to improve optics-based defect inspection. To this end, our group has reported [Barnes et al., Proc. SPIE 1014516 (2017)] our initial five-wavelength simulation study, evaluating the extensibility of defect inspection by reducing the inspection wavelength from a deep-ultraviolet wavelength to wavelengths in the vacuum ultraviolet and the extreme ultraviolet. In that study, a 47 nm wavelength yielded enhancements in the signal to noise (SNR) by a factor of five compared to longer wavelengths and in the differential intensities by as much as three orders-of-magnitude compared to 13 nm. This paper briefly reviews these recent findings and investigates the possible sources for these disparities between results at 13 nm and 47 nm wavelengths. Our in-house finite-difference time-domain code (FDTD) is tested in both two and three dimensions to determine how computational conditions contributed to the results. A modified geometry and materials stack is presented that offers a second viewpoint of defect detectability as functions of wavelength, polarization, and defect type. Reapplication of the initial SNR-based defect metric again yields no detection of a defect at λ = 13 nm, but additional image preprocessing now enables the computation of the SNR for λ = 13 nm simulated images and has led to a revised defect metric that allows comparisons at all five wavelengths.

  6. Characterization of photoluminescence spectra from poly allyl diglycol carbonate (CR-39) upon excitation with the ultraviolet radiation of various wavelengths

    NASA Astrophysics Data System (ADS)

    El Ghazaly, M.; Al-Thomali, Talal A.

    2013-04-01

    The induced photoluminescence (PL) from the π-conjugated polymer poly allyl diglycol carbonate (PADC) (CR-39) upon excitation with the ultraviolet radiation of different wavelengths was investigated. The absorption and attenuation coefficients of PADC (CR-39) were recorded using a UV-visible spectrometer. It was found that the absorption and attenuation coefficients of the PADC (CR-39) exhibit a strong dependence on the wavelength of ultraviolet radiation. The PL spectra were measured with a Flormax-4 spectrofluorometer (Horiba). PADC (CR-39) samples were excited by ultraviolet radiation with wavelengths in the range from 260 to 420 nm and the corresponding PL emission bands were recorded. The obtained results show a strong correlation between the PL and the excitation wavelength of ultraviolet radiation. The position of the fluorescence emission band peak was red shifted starting from 300 nm, which was increased with the increase in the excitation wavelength. The PL yield and its band peak height were increased with the increase in the excitation wavelength till 290 nm, thereafter they decreased exponentially with the increase in the ultraviolet radiation wavelength. These new findings should be considered carefully during the use of the PADC (CR-39) in the scientific applications and in using PADC (CR-39) in eyeglasses.

  7. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    PubMed

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  8. Direct index of refraction measurements at extreme-ultraviolet and soft-x-ray wavelengths.

    PubMed

    Rosfjord, Kristine; Chang, Chang; Miyakawa, Ryan; Barth, Holly; Attwood, David

    2006-03-10

    Coherent radiation from undulator beamlines has been used to directly measure the real and imaginary parts of the index of refraction of several materials at both extreme-ultraviolet and soft-x-ray wavelengths. Using the XOR interferometer, we measure the refractive indices of silicon and ruthenium, essential materials for extreme-ultraviolet lithography. Both materials are tested at wavelength (13.4 nm) and across silicon's L2 (99.8 eV) and L3 (99.2 eV) absorption edges. We further extend this direct phase measurement method into the soft-x-ray region, where measurements of chromium and vanadium are performed around their L3 absorption edges at 574.1 and 512.1 eV, respectively. These are the first direct measurements, to our knowledge, of the real part of the index of refraction made in the soft-x-ray region.

  9. Comparison of surface vacuum ultraviolet emissions with resonance level number densities. II. Rare-gas plasmas and Ar-molecular gas mixtures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boffard, John B., E-mail: jboffard@wisc.edu; Lin, Chun C.; Wang, Shicong

    2015-03-15

    Vacuum ultraviolet (VUV) emissions from excited plasma species can play a variety of roles in processing plasmas, including damaging the surface properties of materials used in semiconductor processing. Depending on their wavelength, VUV photons can easily transmit thin upper dielectric layers and affect the electrical characteristics of the devices. Despite their importance, measuring VUV fluxes is complicated by the fact that few materials transmit at VUV wavelengths, and both detectors and windows are easily damaged by plasma exposure. The authors have previously reported on measuring VUV fluxes in pure argon plasmas by monitoring the concentrations of Ar(3p{sup 5}4s) resonance atomsmore » that produce the VUV emissions using noninvasive optical emission spectroscopy in the visible/near-infrared wavelength range [Boffard et al., J. Vac. Sci. Technol., A 32, 021304 (2014)]. Here, the authors extend this technique to other rare-gases (Ne, Kr, and Xe) and argon-molecular gas plasmas (Ar/H{sub 2}, Ar/O{sub 2}, and Ar/N{sub 2}). Results of a model for VUV emissions that couples radiation trapping and the measured rare-gas resonance level densities are compared to measurements made with both a calibrated VUV photodiode and a sodium salicylate fluorescence detection scheme. In these more complicated gas mixtures, VUV emissions from a variety of sources beyond the principal resonance levels of the rare gases are found to contribute to the total VUV flux.« less

  10. Analysis of Fe V and Ni V Wavelength Standards in the Vacuum Ultraviolet

    NASA Astrophysics Data System (ADS)

    Ward, Jacob Wolfgang; Nave, Gillian

    2015-01-01

    The recent publication[1] by J.C. Berengut et al. tests for a potential variation in the fine-structure constant in the presence of high gravitational potentials through spectral analysis of white-dwarf stars.The spectrum of the white-dwarf star studied in the paper, G191-B2B, has prominent Fe V and Ni V lines, which were used to determine any variation in the fine-structure constant via observed shifts in the wavelengths of Fe V and Ni V in the vacuum ultraviolet region. The results of the paper indicate no such variation, but suggest that refined laboratory values for the observed wavelengths could greatly reduce the uncertainty associated with the paper's findings.An investigation of Fe V and Ni V spectra in the vacuum ultraviolet region has been conducted to reduce wavelength uncertainties currently limiting modern astrophysical studies of this nature. The analyzed spectra were produced by a sliding spark light source with electrodes made of invar, an iron nickel alloy, at peak currents of 750-2000 A. The use of invar ensures that systematic errors in the calibration are common to both species. The spectra were recorded with the NIST Normal Incidence Vacuum Spectrograph on phosphor image plate and photographic plate detectors. Calibration was done with a Pt II spectrum produced by a Platinum Neon Hollow Cathode lamp.[1] J. C. Berengut, V. V. Flambaum, A. Ong, et al Phys. Rev. Lett. 111, 010801 (2013)

  11. Investigation of holmium-doped zirconium oxide ceramic phosphor as an ultraviolet wavelength-discriminating laser beam viewer

    NASA Astrophysics Data System (ADS)

    Yamanoi, Kohei; Hori, Tatsuhiro; Minami, Yuki; Empizo, Melvin John F.; Luong, Mui Viet; Shiro, Atsushi; Watanabe, Jun; Iwano, Keisuke; Iwasa, Yuki; Cadatal-Raduban, Marilou; Gabayno, Jacque Lynn; Shimizu, Toshihiko; Sarukura, Nobuhiko; Norimatsu, Takayoshi

    2018-01-01

    We report the fluorescence spectra of ZrO2 and trivalent Ho-doped ZrO2 ceramics under ultraviolet (UV) excitation at 213, 266, and 355 nm wavelengths. The Ho3+-doped ZrO2 ceramics exhibited varying fluorescence color tones depending on the excitation wavelength used. The different color tones match the fluorescence spectrum characteristics at each excitation wavelength. Our results demonstrate that Ho3+-doped ZrO2 ceramics can discriminate between UV light, specifically the third, fourth, and fifth harmonics of a Nd:YAG laser. It can potentially be used for developing UV laser beam viewers to aid laser alignment.

  12. Effects of Various Wavelength Ranges of Vacuum Ultraviolet Radiation on Teflon FEP Film Investigated

    NASA Technical Reports Server (NTRS)

    Dever, Joyce A.; McCracken, Cara A.

    2004-01-01

    Teflon Fluorinated Ethylene Propylene (FTP) films (DuPont) have been widely used for spacecraft thermal control and have been observed to become embrittled and cracked upon exposure to the space environment. This degradation has been attributed to a synergistic combination of radiation and thermal effects. A research study was undertaken at the NASA Glenn Research Center to examine the effects of different wavelength ranges of vacuum ultraviolet (VUV) radiation on the degradation of the mechanical properties of FEP. This will contribute to an overall understanding of space radiation effects on Teflon FEP, and will provide information necessary to determine appropriate techniques for using laboratory tests to estimate space VUV degradation. Research was conducted using inhouse facilities at Glenn and was carried out, in part, through a grant with the Cleveland State University. Samples of Teflon FEP film of 50.8 microns thickness were exposed to radiation from a VUV lamp from beneath different cover windows to provide different exposure wavelength ranges: MgF2 (115 to 400 nm), crystalline quartz (140 to 400 nm), and fused silica (FS, 155 to 400 nm). Following exposure, FEP film specimens were tensile tested to determine the ultimate tensile strength and elongation at failure as a function of the exposure duration for each wavelength range. The graphs show the effect of ultraviolet exposure on the mechanical properties of the FEP samples.

  13. Detector arrays for photometric measurements at soft X-ray, ultraviolet and visible wavelengths

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.; Mount, G. H.; Bybee, R. L.

    1979-01-01

    The construction and modes of operation of the Multi-Anode Microchannel Array (MAMA) detectors are described, and the designs of spectrometers utilizing them are outlined. MAMA consists of a curved microchannel array plate, an opaque photocathode (peak quantum efficiency of 19% at 1216 A), and a multi-anode (either discrete- or coincidence-anode) readout array. Designed for use in instruments on spaceborne telescopes, MAMA can be operated in a windowless configuration in extreme-ultraviolet and soft X-ray wavelengths, or in a sealed configuration at UV and visible wavelengths. Advantages of MAMA include low applied potential (less than 3.0 kV), high gain (greater than 10 to the 6th electrons/pulse), low sensitivity to high-energy charged particles, and immunity to external magnetic fields of less than 500 Gauss

  14. Absolute photon-flux measurements in the vacuum ultraviolet

    NASA Technical Reports Server (NTRS)

    Samson, J. A. R.; Haddad, G. N.

    1974-01-01

    Absolute photon-flux measurements in the vacuum ultraviolet have extended to short wavelengths by use of rare-gas ionization chambers. The technique involves the measurement of the ion current as a function of the gas pressure in the ion chamber. The true value of the ion current, and hence the absolute photon flux, is obtained by extrapolating the ion current to zero gas pressure. Examples are given at 162 and 266 A. The short-wavelength limit is determined only by the sensitivity of the current-measuring apparatus and by present knowledge of the photoionization processes that occur in the rate gases.

  15. Wavelength of ultraviolet radiation that enhances onset of clinical infectious bovine keratoconjunctivitis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kopecky, K.E.; Pugh, G.W. Jr.; Hughes, D.E.

    1980-09-01

    Cellulose acetate filtered ultraviolet (uv) radiation and unfiltered uv radiation were used on calves that were subsequently challenge exposed with Moraxella bovis. The onset, course, and severity of infectious bovine keratoconjunctivitis (IBK) were studied. Ten calves irradiated with unfiltered uv had the disease 1 to 2 days after M bovis challenge exposure. Ten calves irradiated with filtered uv and 10 calves not irradiated manifested IBK in a similar manner. Evidence is presented to support the contention that the wavelengths (around 270 nm) which are eliminated by cellulose acetate enhance the course of IBK. The effects on IBK of environmentally increasedmore » solar uv radiation is also discussed.« less

  16. Ultraviolet absorption hygrometer

    DOEpatents

    Gersh, M.E.; Bien, F.; Bernstein, L.S.

    1986-12-09

    An ultraviolet absorption hygrometer is provided including a source of pulsed ultraviolet radiation for providing radiation in a first wavelength region where water absorbs significantly and in a second proximate wavelength region where water absorbs weakly. Ultraviolet radiation in the first and second regions which has been transmitted through a sample path of atmosphere is detected. The intensity of the radiation transmitted in each of the first and second regions is compared and from this comparison the amount of water in the sample path is determined. 5 figs.

  17. Nitride microlens arrays for blue and ultraviolet wavelength applications

    NASA Astrophysics Data System (ADS)

    Oder, T. N.; Shakya, J.; Lin, J. Y.; Jiang, H. X.

    2003-05-01

    Nitride microlens arrays with sizes as small as 10 μm in diameter have been fabricated on GaN and AlN epilayers using the method of photoresist reflow and inductively coupled plasma dry etching. The focal lengths of the microlenses varied from 7-30 μm as determined by theoretical fitting as well as by the near-field scanning optical microscopy measurement. Scanning electron and atomic force microscopies were used to obtain the surface profile of the microlenses which were found to match very well with hemispherical fitting and a surface roughness value around 1 nm was obtained. Nitride microlens arrays would be naturally chosen for green/blue to deep ultraviolet wavelength applications. In addition, nitride microlenses offer the possibility of integrating nitride-based microsize photonic devices as well as of coupling light into, out of, and between arrays of III-nitride emitters for other applications, such as spatially resolved fluorescence spectroscopy studies of biological and medical systems and optical links, thereby further expanding the applications of III nitrides.

  18. A fiber optic, ultraviolet light-emitting diode-based, two wavelength fluorometer for monitoring reactive adsorption

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Granz, Christopher D.; Whitten, James E., E-mail: James-Whitten@uml.edu; Schindler, Bryan J.

    Construction and use of an ultraviolet light-emitting diode-based fluorometer for measuring photoluminescence (PL) from powder samples with a fiber optic probe is described. Fluorescence at two wavelengths is detected by miniature photomultiplier tubes, each equipped with a different band pass filter, whose outputs are analyzed by a microprocessor. Photoluminescent metal oxides and hydroxides, and other semiconducting nanoparticles, often undergo changes in their emission spectra upon exposure to reactive gases, and the ratio of the PL intensities at two wavelengths is diagnostic of adsorption. Use of this instrument for reactive gas sensing and gas filtration applications is illustrated by measuring changesmore » in the PL ratio for zirconium hydroxide and zinc oxide particles upon exposure to air containing low concentrations of sulfur dioxide.« less

  19. Metal line blanketing and opacity in the ultraviolet of alpha 2 Canum Venaticorum

    NASA Technical Reports Server (NTRS)

    Molnar, M. R.

    1972-01-01

    Ultraviolet photometry by OAO-2 was made of alpha 2 CVn covering the entire 5.5d period of this magnetic Ap variable. The light curves ranging from 1330 A to 3320 A indicate the dominant role of rare-earth line-blanketing in redistributing flux. In a broad depression of the continuum covering 2300-2600 A, scanner observations possibly identify strong lines of Eu III as major contributors to this feature. At maximum intensity of the rare-earth lines, the ultraviolet continuum shortward of 2900 A is greatly diminished while the longer wavelength regions into the visual become brighter. In addition, there is evidence that the hydrogen line opacity is variable and the photoionization edge of Si I at 1680 A is identified.

  20. Spectrally-resolved measurements of aerosol extinction at ultraviolet and visible wavelengths

    NASA Astrophysics Data System (ADS)

    Flores, M.; Washenfelder, R. A.; Brock, C. A.; Brown, S. S.; Rudich, Y.

    2012-12-01

    Aerosols play an important role in the Earth's radiative budget. Aerosol extinction includes both the scattering and absorption of light, and these vary with wavelength, aerosol diameter, and aerosol composition. Historically, aerosol absorption has been measured using filter-based or extraction methods that are prone to artifacts. There have been few investigations of ambient aerosol optical properties at the blue end of the visible spectrum and into the ultraviolet. Brown carbon is particularly important in this spectral region, because it both absorbs and scatters light, and encompasses a large and variable group of organic compounds from biomass burning and secondary organic aerosol. We have developed a laboratory instrument that combines new, high-power LED light sources with high-finesse optical cavities to achieve sensitive measurements of aerosol optical extinction. This instrument contains two broadband channels, with spectral coverage from 360 - 390 nm and 385 - 420 nm. Using this instrument, we report aerosol extinction in the ultraviolet and near-visible spectral region as a function of chemical composition and structure. We have measured the extinction cross-sections between 360 - 420 nm with 0.5 nm resolution using different sizes and concentrations of polystyrene latex spheres, ammonium sulfate, and Suwannee River fulvic acid. Fitting the real and imaginary part of the refractive index allows the absorption and scattering to be determined.

  1. Comparing Wavelengths

    NASA Image and Video Library

    2015-12-12

    This side-by-side rendering of the Sun at the same time in two different wavelengths of extreme ultraviolet light helps to visualize the differing features visible in each wavelength (Dec. 10-11, 2015). Most prominently, we can see much finer strands of plasma looping above the surface in the 171 Angstrom wavelength (gold) than in the 304 Angstrom wavelength (red), which captures cooler plasma closer to the Sun's surface. SDO observes the Sun in 10 different wavelengths with each one capturing somewhat different features at various temperatures and elevations above the Sun. http://photojournal.jpl.nasa.gov/catalog/PIA20214

  2. Ultraviolet Extensions

    NASA Technical Reports Server (NTRS)

    2008-01-01

    [figure removed for brevity, see original site] Side-by-Side Comparison Click on image for larger view

    This ultraviolet image from NASA's Galaxy Evolution Explorer shows the Southern Pinwheel galaxy, also know as Messier 83 or M83. It is located 15 million light-years away in the southern constellation Hydra.

    Ultraviolet light traces young populations of stars; in this image, young stars can be seen way beyond the main spiral disk of M83 up to 140,000 light-years from its center. Could life exist around one of these far-flung stars? Scientists say it's unlikely because the outlying regions of a galaxy are lacking in the metals required for planets to form.

    The image was taken at scheduled intervals between March 15 and May 20, 2007. It is one of the longest-exposure, or deepest, images ever taken of a nearby galaxy in ultraviolet light. Near-ultraviolet light (or longer-wavelength ultraviolet light) is colored yellow, and far-ultraviolet light is blue.

    What Lies Beyond the Edge of a Galaxy The side-by-side comparison shows the Southern Pinwheel galaxy, or M83, as seen in ultraviolet light (right) and at both ultraviolet and radio wavelengths (left). While the radio data highlight the galaxy's long, octopus-like arms stretching far beyond its main spiral disk (red), the ultraviolet data reveal clusters of baby stars (blue) within the extended arms.

    The ultraviolet image was taken by NASA's Galaxy Evolution Explorer between March 15 and May 20, 2007, at scheduled intervals. Back in 2005, the telescope first photographed M83 over a shorter period of time. That picture was the first to reveal far-flung baby stars forming up to 63,000 light-years from the edge of the main spiral disk. This came as a surprise to astronomers because a galaxy's outer territory typically lacks high densities of star-forming materials.

    The newest picture of M83 from the Galaxy Evolution Explorer is shown at the right, and was taken over a longer period of

  3. A multi-wavelength study of the evolution of early-type galaxies in groups: the ultraviolet view

    NASA Astrophysics Data System (ADS)

    Rampazzo, R.; Mazzei, P.; Marino, A.; Bianchi, L.; Plana, H.; Trinchieri, G.; Uslenghi, M.; Wolter, A.

    2018-04-01

    The ultraviolet-optical colour magnitude diagram of rich galaxy groups is characterised by a well developed Red Sequence, a Blue Cloud and the so-called Green Valley. Loose, less evolved groups of galaxies which are probably not virialised yet may lack a well defined Red Sequence. This is actually explained in the framework of galaxy evolution. We are focussing on understanding galaxy migration towards the Red Sequence, checking for signatures of such a transition in their photometric and morphological properties. We report on the ultraviolet properties of a sample of early-type (ellipticals+S0s) galaxies inhabiting the Red Sequence. The analysis of their structures, as derived by fitting a Sérsic law to their ultraviolet luminosity profiles, suggests the presence of an underlying disk. This is the hallmark of dissipation processes that still must have a role to play in the evolution of this class of galaxies. Smooth particle hydrodynamic simulations with chemo-photometric implementations able to match the global properties of our targets are used to derive their evolutionary paths through ultraviolet-optical colour magnitude diagrams, providing some fundamental information such as the crossing time through the Green Valley, which depends on their luminosity. The transition from the Blue Cloud to the Red Sequence takes several Gyrs, being about 3-5 Gyr for the brightest galaxies and longer for fainter ones, if occurring. The photometric study of nearby galaxy structures in the ultraviolet is seriously hampered by either the limited field of view of the cameras (e.g., in Hubble Space Telescope) or by the low spatial resolution of the images (e.g., in the Galaxy Evolution Explorer). Current missions equipped with telescopes and cameras sensitive to ultraviolet wavelengths, such as Swift- UVOT and Astrosat-UVIT, provide a relatively large field of view and a better resolution than the Galaxy Evolution Explorer. More powerful ultraviolet instruments (size, resolution

  4. Ultraviolet Imaging Telescope images of the reflection nebula NGC 7023 - Derivation of ultraviolet scattering properties of dust grains

    NASA Technical Reports Server (NTRS)

    Witt, Adolf N.; Petersohn, Jens K.; Bohlin, Ralph C.; O'Connell, Robert W.; Roberts, Morton S.; Smith, Andrew M.; Stecher, Theodore P.

    1992-01-01

    The Ultraviolet Imaging Telescope as part of the Astro-1 mission, was used to obtain high-resolution surface brightness distribution data in six ultraviolet wavelength bands for the bright reflection nebula NGC 7023. From the quantitative comparison of the measured surface brightness gradients ratios of nebular to stellar flux, and detail radial surface brightness profiles with corresponding data from the visible, two major conclusions results: (1) the scattering in the near- and far-ultraviolet in this nebula is more strongly forward-directed than in the visible; (2) the dust albedo in the ultraviolet for wavelengths not less than 140 nm is identical to that in the visible, with the exception of the 220 nm bump in the extinction curve. In the wavelengths region of the bump, the albedo is reduced by 25 to 30 percent in comparison with wavelengths regions both shorter and longer. This lower albedo is expected, if the bump is a pure absorption feature.

  5. Ultraviolet and short wavelength visible light exposure: why ultraviolet protection alone is not adequate.

    PubMed

    Reichow, Alan W; Citek, Karl; Edlich, Richard F

    2006-01-01

    The danger of exposure to ultraviolet (UV) radiation in both the natural environment and artificial occupational settings has long been recognized by national and international standards committees and worker safety agencies. There is an increasing body of literature that suggests that protection from UV exposure is not enough. Unprotected exposure to the short wavelengths of the visible spectrum, termed the "blue light hazard", is gaining acceptance as a true risk to long-term visual health. Global standards and experts in the field are now warning that those individuals who spend considerable time outdoors should seek sun filter eyewear with high impact resistant lenses that provide 100% UV filtration, high levels of blue light filtration, and full visual field lens/frame coverage as provided by high wrap eyewear. The Skin Cancer Foundation has endorsed certain sunglasses as "product[s]...effective [as] UV filter[s] for the eyes and surrounding skin". However, such endorsement does not necessarily mean that the eyewear meets all the protective needs for outdoor use. There are several brands that offer products with such protective characteristics. Performance sun eyewear by Nike Vision, available in both corrective and plano (nonprescription) forms, is one such brand incorporating these protective features.

  6. Ultraviolet Enceladus

    NASA Image and Video Library

    2004-09-23

    Looking beyond Saturn's south pole, this was the Cassini spacecraft's view of the distant, icy moon Enceladus on July 28, 2004. The planet itself shows few obvious features at these ultraviolet wavelengths, due to scattering of light by molecules of the gases high in the atmosphere. Enceladus is 499 kilometers (310 miles) wide. The image was taken with the Cassini spacecraft narrow angle camera at a distance of 7.4 million kilometers (4.6 million miles) from Saturn through a filter sensitive to ultraviolet wavelengths of light. The image scale is 44 kilometers (27 miles) per pixel of Saturn. http://photojournal.jpl.nasa.gov/catalog/PIA06483

  7. Use of coupled wavelength ultraviolet light-emitting diodes for inactivation of bacteria in subsea oil-field injection water.

    PubMed

    Qiao, Yang; Chen, Daoyi; Wen, Diya

    2018-06-04

    The development of subsea injection water disinfection systems will enable the novel exploration of offshore oilfields. Ultraviolet light emitting diodes (UV-LEDs) with peak wavelengths at 255 nm, 280 nm, 350 nm, and combinations of 255 nm and 350 nm, and 280 nm and 350 nm were investigated in this study to determine their efficiency at disinfecting saprophytic bacteria, iron bacteria, and sulfate reducing bacteria. Results show that UV-LEDs with peak wavelengths at 280 nm were the most practical in this domain because of their high performance in both energy-efficiency and reactivation suppression, although 255 nm UV-LEDs achieved an optimal germicidal effect in dose-based experiments. The use of combined 280 nm and 350 nm wavelengths also induced synergistic bactericidal effects on saprophytic bacteria. Copyright © 2018. Published by Elsevier B.V.

  8. Far ultraviolet excitation processes in comets

    NASA Technical Reports Server (NTRS)

    Feldman, P. D.; Opal, C. B.; Meier, R. R.; Nicolas, K. R.

    1976-01-01

    Recent observations of atomic oxygen and carbon in the far ultraviolet spectrum of comet Kohoutek have demonstrated the existence of these atomic species in the cometary coma. However, in order to identify the source of their origin, it is necessary to relate the observed ultraviolet flux to the atomic production rate. Analyses of observed OI wavelength 1304 and CI wavelength 1657 A multiplets have been carried out using high resolution solar spectra. Also examined is the possibility of observing ultraviolet fluorescence from molecules such as CO and H2, as well as resonance scattering either from atomic ions for which there are strong corresponding solar lines (CII) or from atoms for which there is an accidental wavelength coincidence (SI).

  9. Wavelength Comparisons

    NASA Image and Video Library

    2018-04-02

    NASA's Solar Dynamics Observatory ran together three sequences of the sun taken in three different extreme ultraviolet wavelengths to better illustrate how different features that appear in one sequence are difficult if not impossible to see in the others (Mar. 20-21, 2018). In the red sequence (304 Angstroms), we can see very small spicules and some small prominences at the sun's edge, which are not easy to see in the other two sequences. In the second clip (193 Angstroms), we can readily observe the large and dark coronal hole, though it is difficult to make out in the others. In the third clip (171 wavelengths), we can see strands of plasma waving above the surface, especially above the one small, but bright, active region near the right edge. And these are just three of the 10 extreme ultraviolet wavelengths in which SDO images the sun every 12 seconds every day. That's a lot of data and a lot of science. Movies are available at https://photojournal.jpl.nasa.gov/catalog/PIA22360

  10. Photoluminescence emission spectra of Makrofol® DE 1-1 upon irradiation with ultraviolet radiation

    NASA Astrophysics Data System (ADS)

    El Ghazaly, M.; Aydarous, Abdulkadir

    Photoluminescence (PL) emission spectra of Makrofol® DE 1-1 (bisphenol-A based polycarbonate) upon irradiation with ultraviolet radiation of different wavelengths were investigated. The absorption-and attenuation coefficient measurements revealed that the Makrofol® DE 1-1 is characterized by high absorbance in the energy range 6.53-4.43 eV but for a lower energy than 4.43 eV, it is approximately transparent. Makrofol® DE 1-1 samples were irradiated with ultraviolet radiation of wavelength in the range from 250 (4.28 eV) to 400 (3.10 eV) nm in step of 10 nm and the corresponding photoluminescence (PL) emission spectra were measured with a spectrofluorometer. It is found that the integrated counts and the peak height of the photoluminescence emission (PL) bands are strongly correlated with the ultraviolet radiation wavelength. They are increased at the ultraviolet radiation wavelength 280 nm and have maximum at 290 nm, thereafter they decrease and diminish at 360 nm of ultraviolet wavelength. The position of the PL emission band peak was red shifted starting from 300 nm, which increased with the increase the ultraviolet radiation wavelength. The PL bandwidth increases linearly with the increase of the ultraviolet radiation wavelength. When Makrofol® DE 1-1 is irradiated with ultraviolet radiation of short wavelength (UVC), the photoluminescence emission spectra peaks also occur in the UVC but of a relatively longer wavelength. The current new findings should be considered carefully when using Makrofol® DE 1-1 in medical applications related to ultraviolet radiation.

  11. Multiple wavelength light collimator and monitor

    NASA Technical Reports Server (NTRS)

    Gore, Warren J. (Inventor)

    2011-01-01

    An optical system for receiving and collimating light and for transporting and processing light received in each of N wavelength ranges, including near-ultraviolet, visible, near-infrared and mid-infrared wavelengths, to determine a fraction of light received, and associated dark current, in each wavelength range in each of a sequence of time intervals.

  12. Ultraviolet photometry from the Orbiting Astronomical Observatory. XXVIII - Ultraviolet light curves for Alpha Lupi and BW Vulpeculae

    NASA Technical Reports Server (NTRS)

    Lesh, J. R.

    1978-01-01

    Photometric data from the Wisconsin Experiment Package on OAO-2 have been used to construct light curves at three ultraviolet wavelengths for Alpha Lup and at seven wavelengths for BW Vul. Both stars are well-known variables of the Beta Cephei (Beta Canis Majoris) type. The light curves for Alpha Lup are in good agreement with the radial-velocity period. A temperature variation of 400-500 K is derived. The BW Vul light curves confirm recent ephemerides based on a secularly varying period and show a stillstand near light maximum at some wavelengths. Both stars exhibit increasing light amplitude at the shortest ultraviolet wavelengths. There is little evidence for cycle-to-cycle variations on a time scale of the order of 1 day.

  13. Galileo Ultraviolet Spectrometer experiment

    NASA Technical Reports Server (NTRS)

    Hord, C. W.; Mcclintock, W. E.; Stewart, A. I. F.; Barth, C. A.; Esposito, L. W.; Thomas, G. E.; Sandel, B. R.; Hunten, D. M.; Broadfoot, A. L.; Shemansky, D. E.

    1992-01-01

    The Galileo ultraviolet spectrometer experiment uses data obtained by the Ultraviolet Spectrometer (UVS) mounted on the pointed orbiter scan platform and from the Extreme Ultraviolet Spectrometer (EUVS) mounted on the spinning part of the orbiter with the field of view perpendicular to the spin axis. The UVS is a Ebert-Fastie design that covers the range 113-432 nm with a wavelength resolution of 0.7 nm below 190 and 1.3 nm at longer wavelengths. The UVS spatial resolution is 0.4 deg x 0.1 deg for illuminated disk observations and 1 deg x 0.1 deg for limb geometries. The EUVS is a Voyager design objective grating spectrometer, modified to cover the wavelength range from 54 to 128 nm with wavelength resolution 3.5 nm for extended sources and 1.5 nm for point sources and spatial resolution of 0.87 deg x 0.17 deg. The EUVS instrument will follow up on the many Voyager UVS discoveries, particularly the sulfur and oxygen ion emissions in the Io torus and molecular and atomic hydrogen auroral and airglow emissions from Jupiter. The UVS will obtain spectra of emission, absorption, and scattering features in the unexplored, by spacecraft, 170-432 nm wavelength region. The UVS and EUVS instruments will provide a powerful instrument complement to investigate volatile escape and surface composition of the Galilean satellites, the Io plasma torus, micro- and macro-properties of the Jupiter clouds, and the composition structure and evolution of the Jupiter upper atmosphere.

  14. Experimental generation of discrete ultraviolet wavelength by cascaded intermodal four-wave mixing in a multimode photonic crystal fiber.

    PubMed

    Yuan, Jinhui; Kang, Zhe; Li, Feng; Zhang, Xianting; Mei, Chao; Zhou, Guiyao; Sang, Xinzhu; Wu, Qiang; Yan, Binbin; Zhou, Xian; Zhong, Kangping; Wang, Kuiru; Yu, Chongxiu; Farrell, Gerald; Lu, Chao; Tam, Hwa Yaw; Wai, P K A

    2017-09-15

    In this Letter, we demonstrate experimentally for the first time, to the best of our knowledge, discrete ultraviolet (UV) wavelength generation by cascaded intermodal FWM when femtosecond pump pulses at 800 nm are launched into the deeply normal dispersion region of the fundamental guided mode of a multimode photonic crystal fiber (MPCF). For pump pulses at average input powers of P av =450, 550, and 650 mW, the first anti-Stokes waves are generated at the visible wavelength of 538.1 nm through intermodal phase matching between the fundamental and second-order guided mode of the MPCF. The first anti-Stokes waves generated then serve as the secondary pump for the next intermodal FWM process. The second anti-Stokes waves in the form of the third-order guided mode are generated at the UV wavelength of 375.8 nm. The maximum output power is above 10 mW for P av =650  mW. We also confirm that the influences of fiber bending and intermodal walk-offs on the cascaded intermodal FWM-based frequency conversion process are negligible.

  15. Method for generating extreme ultraviolet with mather-type plasma accelerators for use in Extreme Ultraviolet Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hassanein, Ahmed; Konkashbaev, Isak

    A device and method for generating extremely short-wave ultraviolet electromagnetic wave uses two intersecting plasma beams generated by two plasma accelerators. The intersection of the two plasma beams emits electromagnetic radiation and in particular radiation in the extreme ultraviolet wavelength. In the preferred orientation two axially aligned counter streaming plasmas collide to produce an intense source of electromagnetic radiation at the 13.5 nm wavelength. The Mather type plasma accelerators can utilize tin, or lithium covered electrodes. Tin, lithium or xenon can be used as the photon emitting gas source.

  16. Elastomeric Seal Performance after Terrestrial Ultraviolet Radiation Exposure

    NASA Technical Reports Server (NTRS)

    Daniels, Christopher C.; Oravec, Heather A.; Mather, Janice L.; Taylor, Shawn C.; Dunlap, Patrick H.

    2015-01-01

    Ultraviolet radiation was evaluated to determine its negative effects on the performance of elastomeric gas pressure seals. The leak rates of the silicone elastomer S0383-70 O-ring test articles were used to quantify the degradation of the seals after exposure to vacuum-ultraviolet and/or middle-to-near-ultraviolet wavelength radiation. Three groups of seals were exposed in terrestrial facilities to 115-165 nm wavelength radiation, 230-500 nm wavelength radiation, or both spectrums, for an orbital spaceflight equivalent of 125 hours. The leak rates of the silicone elastomer S0383-70 seals were quantified and compared to samples that received no radiation. Each lot contained six samples and statistical t-tests were used to determine the separate and combined influences of exposure to the two wavelength ranges. A comparison of the mean leak rates of samples exposed to 115-165 nm wavelength radiation to the control specimens showed no difference, suggesting that spectrum was not damaging. The 230-500 nm wavelength appeared to be damaging, as the mean leak rates of the specimens exposed to that range of wavelengths, and those exposed to the combined 115-165 nm and 230-500 nm spectrums, were significantly different from the leak rates of the control specimens. Most importantly, the test articles exposed to both wavelength spectrums exhibited mean leak rates two orders of magnitude larger than any other exposed specimens, which suggested that both wavelength spectrums are important when simulating the orbital environment.

  17. Laser-to-electricity energy converter for short wavelengths

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1975-01-01

    Short-wavelength energy converter can be made using Schottky barrier structure. It has wider band gap than p-n junction silicon semiconductors, and thus it has improved response at wavelengths down to and including ultraviolet region.

  18. Determining optimum wavelength of ultraviolet rays to pre-exposure of non-uniformity error correction in Gafchromic EBT2 films

    NASA Astrophysics Data System (ADS)

    Katsuda, Toshizo; Gotanda, Rumi; Gotanda, Tatsuhiro; Akagawa, Takuya; Tanki, Nobuyoshi; Kuwano, Tadao; Noguchi, Atsushi; Yabunaka, Kouichi

    2018-03-01

    Gafchromic films have been used to measure X-ray doses in diagnostic radiology such as computed tomography. The double-exposure technique is used to correct non-uniformity error of Gafchromic EBT2 films. Because of the heel effect of diagnostic x-rays, ultraviolet A (UV-A) is intended to be used as a substitute for x-rays. When using a UV-A light-emitting diode (LED), it is necessary to determine the effective optimal UV wavelength for the active layer of Gafchromic EBT2 films. This study evaluated the relation between the increase in color density of Gafchromic EBT2 films and the UV wavelengths. First, to correct non-uniformity, a Gafchromic EBT2 film was pre-irradiated using uniform UV-A radiation for 60 min from a 72-cm distance. Second, the film was irradiated using a UV-LED with a wavelength of 353-410 nm for 60 min from a 5.3-cm distance. The maximum, minimum, and mean ± standard deviation (SD) of pixel values of the subtraction images were evaluated using 0.5 inches of a circular region of interest (ROI). The highest mean ± SD (8915.25 ± 608.86) of the pixel value was obtained at a wavelength of 375 nm. The results indicated that 375 nm is the most effective and sensitive wavelength of UV-A for Gafchromic EBT2 films and that UV-A can be used as a substitute for x-rays in the double-exposure technique.

  19. Ultraviolet Spectroscopy of Asteroid(4) Vesta

    NASA Technical Reports Server (NTRS)

    Li, Jian-Yang; Bodewits, Dennis; Feaga, Lori M.; Landsman, Wayne; A'Hearn, Michael F.; Mutchler, Max J.; Russell, Christopher T.; McFadden, Lucy A.; Raymond, Carol A.

    2011-01-01

    We report a comprehensive review of the UV-visible spectrum and rotational lightcurve of Vesta combining new observations by Hubble Space Telescope and Swift with archival International Ultraviolet Explorer observations. The geometric albedos of Vesta from 220 nm to 953 nm arc derived by carefully comparing these observations from various instruments at different times and observing geometries. Vesta has a rotationally averaged geometric albedo of 0.09 at 250 nm, 0.14 at 300 nm, 0.26 at 373 nm, 0.38 at 673 nm, and 0.30 at 950 nm. The linear spectral slope in the ultraviolet displays a sharp minimum ncar sub-Earth longitude of 20deg, and maximum in the eastern hemisphere. This is completely consistent with the distribution of the spectral slope in the visible wavelength. The uncertainty of the measurement in the ultraviolet is approx.20%, and in the visible wavelengths better than 10%. The amplitude of Vesta's rotational lightcurves is approx.10% throughout the range of wavelengths we observed, but is smaller at 950 nm (approx.6%) ncar the 1-micron mafic band center. Contrary to earlier reports, we found no evidence for any difference between the phasing of the ultraviolet and visible/ncar-infrared lightcurves with respect to sub-Earth longitude. Vesta's average spectrum between 220 and 950 nm can well be described by measured reflectance spectra of fine particle howardite-like materials of basaltic achondrite meteorites. Combining this with the in-phase behavior of the ultraviolet, visible. and ncar-infrared lightcurves, and the spectral slopes with respect to the rotational phase, we conclude that there is no global ultraviolet/visible reversal on Vesta. Consequently, this implies lack of global space weathering on Vesta. Keyword,: Asteroid Vesta; Spectrophotometry; Spectroscopy; Ultraviolet observations; Hubble Space Telescope observations

  20. Wavelength Comparison

    NASA Image and Video Library

    2016-10-27

    The difference in features that are visible in different wavelengths of extreme ultraviolet light can be stunning as we see when we compare very large coronal holes, easily seen in the AIA 171 image (colorized bronze) yet hardly perceptible in the AIA 304 image (colorized red). Both were taken at just about the same time (Oct. 27, 2016). Coronal holes are areas of open magnetic field that carry solar wind out into space. In fact, these holes are currently causing a lot of geomagnetic activity here on Earth. The bronze image wavelength captures material that is much hotter and further up in the corona than the red image. The comparison dramatizes the value of observing the sun in multiple wavelengths of light. Movies are available at http://photojournal.jpl.nasa.gov/catalog/PIA15377

  1. Effects of near ultraviolet and green radiations on plant growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klein, R.M.; Edsall, P.C.; Gentile, A.C.

    Selective removal of near ultraviolet and green wavelengths from white light permitted enhanced growth of marigold, tomato, corn, and Impatiens plants, Chlamydomonas cells and the mycelium of Sordaria. Additions of near ultraviolet and green radiations caused repressions in the growth of marigold and Sordaria. These wavelengths do not alter the oxidative mechanisms of mitochondria, intact algal cells or marigold leaf tissues. The capacity for chlorophyll and carotenoid synthesis by Euglena cells was unaffected by these wavelengths. 23 references, 2 figures, 4 tables.

  2. Ultraviolet Source For Testing Hydrogen-Fire Detectors

    NASA Technical Reports Server (NTRS)

    Hall, Gregory A.; Larson, William E.; Youngquist, Robert C.; Moerk, John S.; Haskell, William D.; Cox, Robert B.; Polk, Jimmy D.; Stout, Stephen J.; Strobel, James P.

    1995-01-01

    Hand-held portable unit emits ultraviolet light similar to that emitted by hydrogen burning in air. Developed for use in testing optoelectronic hydrogen-fire detectors, which respond to ultraviolet light at wavelengths from 180 to 240 nanometers. Wavelength range unique in that within it, hydrogen fires emit small but detectable amounts of radiation, light from incandescent lamps and Sun almost completely absent, and air sufficiently transmissive to enable detection of hydrogen fire from distance. Consequently, this spectral region favorable for detecting hydrogen fires while minimizing false alarms.

  3. Spectral shifts of mammalian ultraviolet-sensitive pigments (short wavelength-sensitive opsin 1) are associated with eye length and photic niche evolution

    PubMed Central

    Emerling, Christopher A.; Huynh, Hieu T.; Nguyen, Minh A.; Meredith, Robert W.; Springer, Mark S.

    2015-01-01

    Retinal opsin photopigments initiate mammalian vision when stimulated by light. Most mammals possess a short wavelength-sensitive opsin 1 (SWS1) pigment that is primarily sensitive to either ultraviolet or violet light, leading to variation in colour perception across species. Despite knowledge of both ultraviolet- and violet-sensitive SWS1 classes in mammals for 25 years, the adaptive significance of this variation has not been subjected to hypothesis testing, resulting in minimal understanding of the basis for mammalian SWS1 spectral tuning evolution. Here, we gathered data on SWS1 for 403 mammal species, including novel SWS1 sequences for 97 species. Ancestral sequence reconstructions suggest that the most recent common ancestor of Theria possessed an ultraviolet SWS1 pigment, and that violet-sensitive pigments evolved at least 12 times in mammalian history. We also observed that ultraviolet pigments, previously considered to be a rarity, are common in mammals. We then used phylogenetic comparative methods to test the hypotheses that the evolution of violet-sensitive SWS1 is associated with increased light exposure, extended longevity and longer eye length. We discovered that diurnal mammals and species with longer eyes are more likely to have violet-sensitive pigments and less likely to possess UV-sensitive pigments. We hypothesize that (i) as mammals evolved larger body sizes, they evolved longer eyes, which limited transmittance of ultraviolet light to the retina due to an increase in Rayleigh scattering, and (ii) as mammals began to invade diurnal temporal niches, they evolved lenses with low UV transmittance to reduce chromatic aberration and/or photo-oxidative damage. PMID:26582021

  4. Reduction of short wavelength reflectance of multi-wall carbon nanotubes through ultraviolet laser irradiation

    NASA Astrophysics Data System (ADS)

    Stephens, Michelle S.; Simonds, Brian J.; Yung, Christopher S.; Conklin, Davis; Livigni, David J.; Oliva, Alberto Remesal; Lehman, John H.

    2018-05-01

    Multi-wall carbon nanotube coatings are used as broadband, low-reflectance absorbers for bolometric applications and for stray light control. They are also used as high emittance blackbody radiators. Irradiation of single wall carbon nanotubes with ultraviolet (UV) laser light has been shown to remove amorphous carbon debris, but there have been few investigations of the interaction of UV light with the more complex physics of multi-wall carbon nanotubes. We present measurements of reflectance and surface morphology before and after exposure of multi-wall carbon nanotube coatings to 248 nm UV laser light. We show that UV exposure reduces the reflectivity at wavelengths below 600 nm and present modeling of the thermal cycling the UV exposure causes at the surface of the carbon nanotubes. This effect can be used to flatten the spectral shape of the reflectivity curve of carbon nanotube absorber coatings used for broadband applications. Finally, we find that the effect of UV exposure depends on the nanotube growth process.

  5. Detection of latent fingerprints by ultraviolet spectral imaging

    NASA Astrophysics Data System (ADS)

    Huang, Wei; Xu, Xiaojing; Wang, Guiqiang

    2013-12-01

    Spectral imaging technology research is becoming more popular in the field of forensic science. Ultraviolet spectral imaging technology is an especial part of the full spectrum of imaging technology. This paper finished the experiment contents of the ultraviolet spectrum imaging method and image acquisition system based on ultraviolet spectral imaging technology. Ultraviolet spectral imaging experiments explores a wide variety of ultraviolet reflectance spectra of the object material curve and its ultraviolet spectrum of imaging modalities, can not only gives a reference for choosing ultraviolet wavelength to show the object surface potential traces of substances, but also gives important data for the ultraviolet spectrum of imaging technology development.

  6. Deposition and characterization of B4C/CeO2 multilayers at 6.x nm extreme ultraviolet wavelengths

    NASA Astrophysics Data System (ADS)

    Sertsu, M. G.; Giglia, A.; Brose, S.; Park, D.; Wang, Z. S.; Mayer, J.; Juschkin, L.; Nicolosi, P.

    2016-03-01

    New multilayers of boron carbide/cerium dioxide (B4C/CeO2) combination on silicon (Si) substrate are manufactured to represent reflective-optics candidates for future lithography at 6.x nm wavelength. This is one of only a few attempts to make multilayers of this kind. Combination of several innovative experiments enables detailed study of optical properties, structural properties, and interface profiles of the multilayers in order to open up a room for further optimization of the manufacturing process. The interface profile is visualized by high-angle annular dark-field imaging which provides highly sensitive contrast to atomic number. Synchrotron based at-wavelength extreme ultraviolet (EUV) reflectance measurements near the boron (B) absorption edge allow derivation of optical parameters with high sensitivity to local atom interactions. X-ray reflectivity measurements at Cu-Kalpha (8 keV ) determine the period of multilayers with high in-depth resolution. By combining these measurements and choosing robust nonlinear curve fitting algorithms, accuracy of the results has been significantly improved. It also enables a comprehensive characterization of multilayers. Interface diffusion is determined to be a major cause for the low reflectivity performance. Optical constants of B4C and CeO2 layers are derived in EUV wavelengths. Besides, optical properties and asymmetric thicknesses of inter-diffusion layers (interlayers) in EUV wavelengths near the boron edge are determined. Finally, ideal reflectivity of the B4C/CeO2 combination is calculated by using optical constants derived from the proposed measurements in order to evaluate the potentiality of the design.

  7. Spectral shifts of mammalian ultraviolet-sensitive pigments (short wavelength-sensitive opsin 1) are associated with eye length and photic niche evolution.

    PubMed

    Emerling, Christopher A; Huynh, Hieu T; Nguyen, Minh A; Meredith, Robert W; Springer, Mark S

    2015-11-22

    Retinal opsin photopigments initiate mammalian vision when stimulated by light. Most mammals possess a short wavelength-sensitive opsin 1 (SWS1) pigment that is primarily sensitive to either ultraviolet or violet light, leading to variation in colour perception across species. Despite knowledge of both ultraviolet- and violet-sensitive SWS1 classes in mammals for 25 years, the adaptive significance of this variation has not been subjected to hypothesis testing, resulting in minimal understanding of the basis for mammalian SWS1 spectral tuning evolution. Here, we gathered data on SWS1 for 403 mammal species, including novel SWS1 sequences for 97 species. Ancestral sequence reconstructions suggest that the most recent common ancestor of Theria possessed an ultraviolet SWS1 pigment, and that violet-sensitive pigments evolved at least 12 times in mammalian history. We also observed that ultraviolet pigments, previously considered to be a rarity, are common in mammals. We then used phylogenetic comparative methods to test the hypotheses that the evolution of violet-sensitive SWS1 is associated with increased light exposure, extended longevity and longer eye length. We discovered that diurnal mammals and species with longer eyes are more likely to have violet-sensitive pigments and less likely to possess UV-sensitive pigments. We hypothesize that (i) as mammals evolved larger body sizes, they evolved longer eyes, which limited transmittance of ultraviolet light to the retina due to an increase in Rayleigh scattering, and (ii) as mammals began to invade diurnal temporal niches, they evolved lenses with low UV transmittance to reduce chromatic aberration and/or photo-oxidative damage. © 2015 The Author(s).

  8. Rare-gas-cluster explosions under irradiation by intense short XUV pulses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoffmann, K.; Murphy, B.; Kandadai, N.

    High-intensity, extreme-ultraviolet (XUV) femtosecond interactions with large rare-gas clusters of xenon and argon have been studied at a wavelength of 38 nm. Pulses of XUV radiation with nJ energy are produced by high-order harmonic conversion from a 35-fs, near-infrared, terawatt laser. Mass resolved ion spectra show charge states up to Xe{sup 8+} and Ar{sup 4+}. Kinetic-energy measurements of ions and electrons indicate that a nanoplasma is formed and a hydrodynamic cluster explosion ensues after heating by the short wavelength pulse. It appears that the observed charge states and electron temperatures are consistent with sequential, single-photon ionization and collisional ionization ofmore » ions that have had their ionization potential depressed by plasma continuum lowering in the cluster nanoplasma.« less

  9. The Wavelengths in Sunlight Effective in Producing Skin Cancer: A Theoretical Analysis

    PubMed Central

    Setlow, R. B.

    1974-01-01

    DNA is taken as the target for skin cancer induced by ultraviolet light, and the known data on the sensitivity of DNA as a function of wavelength are summarized. The sun's spectrum at the surface of the earth and the DNA action spectrum are used to calculate the carcinogenic effectiveness as a function of wavelength. The most effective wavelengths at 30°N latitude are <305 nm, and a 1% change in atmospheric ozone results in a 2% change in the effective dose of ultraviolet light. Since both the basic biological and physical data are reasonably precise, the major requirement for a quantitative evaluation of the dose response relation for ultraviolet-induced skin cancer in man is better epidemiological data to compare with data from animal models. PMID:4530308

  10. Wavelength-dependent ultraviolet induction of cyclobutane pyrimidine dimers in the human cornea.

    PubMed

    Mallet, Justin D; Rochette, Patrick J

    2013-08-01

    Exposition to ultraviolet (UV) light is involved in the initiation and the progression of skin cancer. The genotoxicity of UV light is mainly attributed to the induction of cyclobutane pyrimidine dimers (CPDs), the most abundant DNA damage generated by all UV types (UVA, B and C). The human cornea is also exposed to the harmful UV radiations, but no UV-related neoplasm has been reported in this ocular structure. The probability that a specific DNA damage leads to a mutation and eventually to cellular transformation is influenced by its formation frequency. To shed light on the genotoxic effect of sunlight in the human eye, we have analyzed CPD induction in the cornea and the iris following irradiation of ex vivo human eyes with UVA, B or C. The extent of CPD induction was used to establish the penetrance of the different UV types in the human cornea. We show that UVB- and UVC-induced CPDs are concentrated in the corneal epithelium and do not penetrate deeply beyond this corneal layer. On the other hand, UVA wavelengths penetrate deeper and induce CPDs in the entire cornea and in the first layers of the iris. Taken together, our results are undoubtedly an important step towards better understanding the consequences of UV exposure to the human eye.

  11. Simultaneous multi-wavelength ultraviolet excited single-phase white light emitting phosphor Ba1-x(Zr,Ti)Si3O9:xEu

    NASA Astrophysics Data System (ADS)

    Zhou, Zhenzhen; Liu, Guanghui; Ni, Jia; Liu, Wanlu; Liu, Qian

    2018-05-01

    A kind of novel compound Ba1-x(Zr,Ti)Si3O9:xEu simultaneously activated by different-valence Eu2+ and Eu3+ ions has been successfully synthesized. The existence of Ti4+-O2- charge transfer (CT) transitions in Ba1-xZrSi3O9:xEu is proved by the photoluminescence spectra and first principle calculations, and the Ti4+ ions come from the impurities in commercial ZrO2 raw materials. Under the excitation of multi-wavelength ultraviolet radiation (λEX = 392, 260, 180 nm), Ba1-xZrSi3O9:xEu (x = 0.15) can directly emit nearly white light. The coexistence of multiple luminescent centers and the energy transfer among Zr4+-O2- CT state, Ti4+-O2- CT state, Eu2+ and Eu3+ ions play important roles in the white light emission. Ba1-xZrSi3O9:xEu (x = 0.15) has good thermal stability, in particular, the intensity of emission spectrum (λEX = 392 nm) at 150 °C is ∼96% of that at room temperature. In general, the multi-wavelength ultraviolet-excited single-phase white light emitting phosphor Ba1-x(Zr,Ti)Si3O9:xEu possesses a promise for applications in white light emitting diodes (WLEDs), agriculture, medicine and other photonic fields.

  12. Smoke optical depths - Magnitude, variability, and wavelength dependence

    NASA Technical Reports Server (NTRS)

    Pueschel, R. F.; Russell, P. B.; Colburn, D. A.; Ackerman, T. P.; Allen, D. A.

    1988-01-01

    An airborne autotracking sun-photometer has been used to measure magnitudes, temporal/spatial variabilities, and the wavelength dependence of optical depths in the near-ultraviolet to near-infrared spectrum of smoke from two forest fires and one jet fuel fire and of background air. Jet fuel smoke optical depths were found to be generally less wavelength dependent than background aerosol optical depths. Forest fire smoke optical depths, however, showed a wide range of wavelength depedences, such as incidents of wavelength-independent extinction.

  13. Line Tunable Ultraviolet Laser

    NASA Technical Reports Server (NTRS)

    Walsh, Brian M.; Barnes, Norman P.

    2004-01-01

    An ultraviolet laser is demonstrated using a dual wavelength Nd:YAG oscillator, sum frequency and second harmonic process. Synchronous pulses at 1.052 and 1.319 micrometers are amplified, mixed and subsequently doubled, producing pulses at 0.293 micrometers.

  14. Real-time and sub-wavelength ultrafast coherent diffraction imaging in the extreme ultraviolet.

    PubMed

    Zürch, M; Rothhardt, J; Hädrich, S; Demmler, S; Krebs, M; Limpert, J; Tünnermann, A; Guggenmos, A; Kleineberg, U; Spielmann, C

    2014-12-08

    Coherent Diffraction Imaging is a technique to study matter with nanometer-scale spatial resolution based on coherent illumination of the sample with hard X-ray, soft X-ray or extreme ultraviolet light delivered from synchrotrons or more recently X-ray Free-Electron Lasers. This robust technique simultaneously allows quantitative amplitude and phase contrast imaging. Laser-driven high harmonic generation XUV-sources allow table-top realizations. However, the low conversion efficiency of lab-based sources imposes either a large scale laser system or long exposure times, preventing many applications. Here we present a lensless imaging experiment combining a high numerical aperture (NA = 0.8) setup with a high average power fibre laser driven high harmonic source. The high flux and narrow-band harmonic line at 33.2 nm enables either sub-wavelength spatial resolution close to the Abbe limit (Δr = 0.8λ) for long exposure time, or sub-70 nm imaging in less than one second. The unprecedented high spatial resolution, compactness of the setup together with the real-time capability paves the way for a plethora of applications in fundamental and life sciences.

  15. Complex refractive index of Martian dust - Mariner 9 ultraviolet observations

    NASA Technical Reports Server (NTRS)

    Pang, K.; Ajello, J. M.; Hord, C. W.; Egan, W. G.

    1976-01-01

    Mariner 9 ultraviolet spectrometer observations of the 1971 dust clouds obscuring the surface of Mars have been analyzed by matching the observed dust phase function with Mie scattering calculations for size distributions of homogeneous and isotropic material. Preliminary results indicate an effective particle radius of not less than 0.2. The real component of the index of refraction is not less than 1.8 at both 268 and 305 nm; corresponding values for the imagery component are 0.02 and 0.01. These values are consistent with those found by Mead (1970) for the visible and near-visible wavelengths. The refractive index and the absorption coefficient increase rapidly with decreasing wavelength in going from the visible to the ultraviolet, indicating the presence of an ultraviolet absorption band which may shield organisms from ultraviolet irradiation.

  16. Ultraviolet reflective coating

    NASA Technical Reports Server (NTRS)

    Schutt, J. B.

    1974-01-01

    Composition consists of dispersion of barium sulphate in aqueous solution of water-soluble inorganic binder. Binder is selected from group consisting of alkali metal sulphates. Coating exhibits high reflectance of ultraviolet light to wavelengths of approximately 200.0 nm, which compares favorably with high reflectance of virgin barium sulphate power.

  17. Interstellar extinction in the ultraviolet

    NASA Technical Reports Server (NTRS)

    Bless, R. C.; Savage, B. D.

    1972-01-01

    Interstellar extinction curves over the region 3600-1100 A for 17 stars are presented. The observations were made by the two Wisconsin spectrometers onboard the OAO-2 with spectral resolutions of 10 A and 20 A. The extinction curves generally show a pronounced maximum at 2175 plus or minus 25 A, a broad minimum in the region 1800-1350 A, and finally a rapid rise to the far ultraviolet. Large extinction variations from star to star are found, especially in the far ultraviolet; however, with only two possible exceptions in this sample, the wavelength at the maximum of the extinction bump is essentially constant. These data are combined with visual and infrared observations to display the extinction behavior over a range in wavelength of about a factor of 20.

  18. Line spectrum and ion temperature measurements from tungsten ions at low ionization stages in large helical device based on vacuum ultraviolet spectroscopy in wavelength range of 500-2200 Å.

    PubMed

    Oishi, T; Morita, S; Huang, X L; Zhang, H M; Goto, M

    2014-11-01

    Vacuum ultraviolet spectra of emissions released from tungsten ions at lower ionization stages were measured in the Large Helical Device (LHD) in the wavelength range of 500-2200 Å using a 3 m normal incidence spectrometer. Tungsten ions were distributed in the LHD plasma by injecting a pellet consisting of a small piece of tungsten metal and polyethylene tube. Many lines having different wavelengths from intrinsic impurity ions were observed just after the tungsten pellet injection. Doppler broadening of a tungsten candidate line was successfully measured and the ion temperature was obtained.

  19. Exploring Mercury's Surface in UltraViolet from Orbit

    NASA Astrophysics Data System (ADS)

    Izenberg, N.

    2017-12-01

    The MESSENGER Mission's Ultraviolet and Visible Spectrometer (UVVS) component of its Mercury Atmosphere and Surface Composition Spectrometer (MASCS) instrument obtained approximately 4600 point observations of Mercury's surface in middle ultraviolet (MUV; 210 nm - 300 nm) and far ultraviolet (FUV; 119.1 - 122.5 nm and 129.2 - 131.5 nm) wavelengths over the course of its orbital mission, mostly in Mercury's southern hemisphere. Given the very low (<1 to 2 wt %) average abundance of iron in the silicates of Mercury observed by multiple MESSENGER instruments, the near- to middle-ultraviolet wavelengths encompassing the oxygen metal charge transfer band (<400 nm), which is more sensitive to the presence of iron than the classic 1 micron absorption band, provides potentially useful additional compositional insight into the top layer of Mercury's regolith. The presence of nano- and microphase carbon also has potentially significant expression in the ultraviolet, and the interplay and variation between carbon and iron in mercury surface materials is an active area of investigation. Analysis of middle-UV surface reflectance and parameters appear to support the presence of varying amounts of carbon in different spectral or geologic units on Mercury. Far-UV reflectance data is currently under-utilized, but analysis of lunar surface by the Lunar Reconnaissance Orbiter (LRO) Lyman Alpha Mapping Project (LAMP) indicate that the data are sensitive to both composition and space weathering. The far-UV reflectance from MASCS may provide similar information for the Mercury surface, complementing results from longer wavelengths. MESSENGER data products for surface reflectance include middle-UV reflectance spectra, ultraviolet far-UV reflectance values, combined middle-UV through near-infrared spectra (210 nm - 1450 nm), a global `spectral cube' of near-UV to near-IR, and an upcoming UV spectral cube.

  20. Ultraviolet Spectrum And Chemical Reactivity Of CIO Dimer

    NASA Technical Reports Server (NTRS)

    Demore, William B.; Tschuikow-Roux, E.

    1992-01-01

    Report describes experimental study of ultraviolet spectrum and chemical reactivity of dimer of chlorine monoxide (CIO). Objectives are to measure absorption cross sections of dimer at near-ultraviolet wavelengths; determine whether asymmetrical isomer (CIOCIO) exists at temperatures relevant to Antarctic stratosphere; and test for certain chemical reactions of dimer. Important in photochemistry of Antarctic stratosphere.

  1. Ultraviolet spectrometer experiment for the Voyager mission

    NASA Technical Reports Server (NTRS)

    Broadfoot, A. L.; Sandel, B. R.; Shemansky, D. E.; Atreya, S. K.; Donahue, T. M.; Moos, H. W.; Bertaux, J. L.; Blamont, J. E.; Ajello, J. M.; Strobel, D. F.

    1977-01-01

    An objective grating spectrometer covering the wavelength range of 500 to 1700 A with a 10-A resolution is employed for the Voyager ultraviolet spectrometer experiment. In determining the composition and structure of the atmospheres of Saturn, Jupiter and several satellites, the ultraviolet spectrometer will rely on airglow mode observations to measure radiation from the atmospheres due to resonant scattering of solar flux, and the occultation mode for assessments of the atmospheric extinction of solar or stellar radiation as the spacecraft enters shadow zones. Since it is capable of prolonged stellar observations in the 500 to 1000 A wavelength range, the spectrometer is expected to make important contributions to exploratory studies of UV sources.

  2. Optical design of a stigmatic spectroheliometer for photometric studies of dynamic phenomena at extreme-ultraviolet wavelengths

    NASA Technical Reports Server (NTRS)

    Huber, M. C. E.; Timothy, J. G.

    1977-01-01

    The design of a stigmatic spectroheliometer for photometric studies of dynamic phenomena in the solar atmosphere at extreme ultraviolet (EUV) wavelengths is described. The normal-incidence spectrometer requires only one reflective surface, and is equipped with a series of exit slits and associated one-dimensional detector arrays that are mounted at the secondary (vertical) foci of the concave diffraction grating. It is shown that such a spectrometer mounted at the focus of an off-axis paraboloid telescope mirror of the size employed in the EUV spectroheliometer flown on Skylab could record monochromatic images of a 2 x 2 (arcmin) sq field-of-view with a spatial resolution element of 1 x 1 (arcsec) sq in a time of 4 s, 24 s, or 4 min, depending on whether the region studied is flaring, active, or quiet. The resulting spectroheliograms would have an average photometric precision of 10% and a spectral purity of 0.1 A.

  3. Wavelength-versatile optical vortex lasers

    NASA Astrophysics Data System (ADS)

    Omatsu, Takashige; Miyamoto, Katsuhiko; Lee, Andrew J.

    2017-12-01

    The unique properties of optical vortex beams, in particular their spiral wavefront, have resulted in the emergence of a wide range of unique applications for this type of laser output. These applications include optical tweezing, free space optical communications, microfabrication, environmental optics, and astrophysics. However, much like the laser in its infancy, the adaptation of this type of laser output requires a diversity of wavelengths. We report on recent progress on development of optical vortex laser sources and in particular, focus on their wavelength extension, where nonlinear optical processes have been used to generate vortex laser beams with wavelengths which span the ultraviolet to infrared. We show that nonlinear optical conversion can be used to not only diversify the output wavelength of these sources, but can be used to uniquely engineer the wavefront and spatial properties of the laser output.

  4. Dancing to the MUSSIC: Steps towards creating a Multisatellite Ultraviolet Solar Spectral Irradiance Composite

    NASA Astrophysics Data System (ADS)

    Snow, M. A.; Machol, J. L.; Richard, E. C.

    2016-12-01

    Solar spectral irradiance (SSI) has been measured since the beginning of the satellite era in 1978, but the observational record has many gaps in both wavelength and time. We describe our current effort in linking several such datasets ranging from the Extreme Ultraviolet to the Near Ultraviolet (0-400 nm). This wavelength range includes two important solar activity proxies, the Magnesium II core—to-wing ratio and the Lyman alpha irradiance, and special attention will be applied to these two wavelength intervals.

  5. Two normal incidence collimators designed for the calibration of the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Jelinsky, Sharon R.; Welsh, Barry; Jelinsky, Patrick; Spiller, Eberhard

    1988-01-01

    Two Dall-Kirkham, normal incidence collimators have been designed to calibrate the imaging properties of the Extreme Ultraviolet Explorer over the wavelength region from 114 to 2000 A. The mirrors of the short-wavelength, 25-cm diameter collimator are superpolished Zerodur which have been multilayer coated for optimal reflectivity at 114 A. The mirrors of the long-wavelength, 41.25-cm diameter collimator are gold coated Zerodur for high reflectance above 300 A. The design, performance, and future use of these collimators in the extreme ultra-violet is discussed.

  6. Line spectrum and ion temperature measurements from tungsten ions at low ionization stages in large helical device based on vacuum ultraviolet spectroscopy in wavelength range of 500–2200 Å

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oishi, T., E-mail: oishi@LHD.nifs.ac.jp; Morita, S.; Goto, M.

    2014-11-15

    Vacuum ultraviolet spectra of emissions released from tungsten ions at lower ionization stages were measured in the Large Helical Device (LHD) in the wavelength range of 500–2200 Å using a 3 m normal incidence spectrometer. Tungsten ions were distributed in the LHD plasma by injecting a pellet consisting of a small piece of tungsten metal and polyethylene tube. Many lines having different wavelengths from intrinsic impurity ions were observed just after the tungsten pellet injection. Doppler broadening of a tungsten candidate line was successfully measured and the ion temperature was obtained.

  7. Ultraviolet radiation effects on the infrared damage rate of a thermal control coating

    NASA Technical Reports Server (NTRS)

    Bass, J. A.

    1972-01-01

    The effects of ultraviolet radiation on the infrared reflectance of ZnO silicone white thermal coatings were investigated. Narrow band ultraviolet radiation for wavelengths in the 2200A to 3500A range by a monochromator and a high pressure, 150-W Eimac xenon lamp. The sample was irradiated while in a vacuum of at least 0.000001 torr, and infrared reflectance was measured in situ with a spectroreflectometer at 19,500A. Reflectance degradation was studied as a function of wavelength, time, intensity, and dose. Damage was wavelength dependent at constant exposure, but no maximum was evident above the shortest wavelength investigated here. The degradation rate at constant intensity was an exponential function of time and varies with intensity.

  8. Far-ultraviolet fluorescence of carbon monoxide in the red giant Arcturus

    NASA Technical Reports Server (NTRS)

    Ayres, T. R.; Moos, H. W.; Linsky, J. L.

    1981-01-01

    Evidence is presented that many of the weak features observed with International Ultraviolet Explorer (IUE) in the far-ultraviolet (1150-2000 A) spectrum of the archetype red giant Arcturus (K2 III) are A-X fourth positive bands of carbon monoxide excited by chromospheric emissions of O I, C I, and H I. The appearance of fluorescent CO bands near the wavelength of commonly used indicators of high-temperature (T greater than 20,000 K) plasma, such as C II at wavelength 1335 and C IV at wavelength 1548, introduces a serious ambiguity in diagnosing the presence of hot material in the outer atmospheres of the cool giants by means of low-dispersion IUE spectra.

  9. Ultraviolet interstellar linear polarization. 2: The wavelength dependence

    NASA Technical Reports Server (NTRS)

    Clayton, Geoffrey C.; Wolff, Michael J.; Allen, R. G.; Lupie, O. L.

    1995-01-01

    We present new ultraviolet (UV) polarimetry of the well-studied interstellar line of sight toward HD 204827 obtained with the Faint Object Spectrograph on Hubble Space Telescope. HD 204827 is of great interest because the dust along this line of sight has extremely low values of both lambda(sub max) and R(sub V). Its far-UV extinction is very large, reflecting its small R(sub V) value. In addition, we reexamine the entire sample of 14 interstellar lines of sight for which there are now UV polarization data. We find that the previously suggested relationship between lambda(sub max) and the wavelength dependence of the polarization in the UV is strongly supported by the data for this larger sample including HD 204827. Seven stars with lambda(sub max) greater than or equal to 0.54 micron agree well with an extrapolation of the Serkowski relation into the UV while seven stars with lambda(sub max) less than or equal to 0.53 micron show polarization in excess of the Serkowski extrapolation (super-Serkowski). However, the division of the observed lines of sight into Serkowski and super-Serkowski categories is artificial. In fact, the amount of polarization in the UV is correlated with a single parameter, lambda(sub max). This may indicate that there is a mean interstellar polarization law analogous to the mean interstellar extinction law of Cardelli, Calyton, & Mathis which is based on R(sub V). The data are consistent with a linear relationship between 1/lambda(sub max) and rho(UV)/rho(sub max) but more data are needed to define the functional form. We suggest that the Serkowski and super-Serkowski designations be replaced by high and low lambda(sub max) which are more physically descriptive. At the same time, we note that all seven super-Serkowski (low lambda(sub max)) stars lie in a relatively small region of the sky between l(sup II) = 90 deg - 150 deg and b = -5 deg - 15 deg. These stars all lie in or behind a spur of the local Orion spiral arm. Similarly, most of

  10. Ultraviolet light curves of beta Lyrae: Comparison of OAO A-2, IUE, and Voyager Observations

    NASA Technical Reports Server (NTRS)

    Kondo, Yoji; Mccluskey, George E.; Silvis, Jeffery M. S.; Polidan, Ronald S.; Mccluskey, Carolina P. S.; Eaton, Joel A.

    1994-01-01

    The six-band ultraviolet light curves of beta Lyrae obtained with the Orbiting Astronomical Observatory (OAO) A-2 in 1970 exhibited a very unusual behavior. The secondary minimum deepened at shorter wavelength, indicating that one was not observing light variations caused primarily by the eclipses of two stars having a roughly Planckian energy distribution. It was then suggested that the light variations were caused by a viewing angle effect of an optically thick, ellipsoidal circumbinary gas cloud. Since 1978 beta Lyrae has been observed with the International Ultraviolet Explorer (IUE) satellite. We have constructed ultraviolet light curves from the IUE archival data for comparison with the OAO A-2 results. We find that they are in substantial agreement with each other. The Voyager ultraviolet spectrometer was also used to observe this binary during a period covered by IUE observations. The Voyager results agree with those of the two other satellite observatories at wavelengths longer than about 1350 A. However, in the wavelength region shorter than the Lyman-alpha line at 1216 A, the light curves at 1085 and 965 A show virtually no light variation except an apparent flaring near phase 0.7, which is also in evidence at longer wavelengths. We suggest that the optically thick circumbinary gas cloud, which envelops the two stars completely, assumes a roughly spherical shape when observed at these shorter wavelengths.

  11. Design of the Extreme Ultraviolet Explorer long-wavelength grazing incidence telescope optics

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Jelinsky, Patrick; Bowyer, Stuart; Malina, Roger F.

    1988-01-01

    Designing optics for photometry in the long-wavelength portion of the EUV spectrum (400-900) A) poses different problems from those arising for optics, operating shortward of 400 A. The available filter materials which transmit radiation longward of 400 A are also highly transparent at wavelengths shortward of 100 A. Conventional EUV optics, with grazing engles of less than about 10 deg, have very high throughput in the EUV, which persists to wavelengths shortward of 100 A. Use of such optics with the longer-wavelength EUV filters thus results in an unacceptably large soft X-ray leak. This problem is overcome by developing a mirror design with larger graze angles of not less than 20 deg, which has high throughput at wavelengths longer than 400 A but at the same time very little throughput shortward of 100 A.

  12. Role of near ultraviolet wavelength measurements in the detection and retrieval of absorbing aerosols from space

    NASA Astrophysics Data System (ADS)

    Mukai, Sonoyo; Fujito, Toshiyuki; Nakata, Makiko; Sano, Itaru

    2017-10-01

    Aerosol remote sensing by ultraviolet (UV) wavelength is established by a Total Ozone Mapping Spectrometer (TOMS) mounted on the long-life satellite Nimbus-7 and continues to make observations using Ozone monitoring instrument (OMI) located on the Aura satellite. For example, TOMS demonstrated that UV radiation (0.331 and 0.360 μm) could easily detect absorbing particles such as mineral dust or smoke aerosols. TOMS-AI (absorbing aerosol index) has been used to identify the absorbing aerosols from space. For an upcoming mission, JAXA/GCOM-C will have the polarization sensor SGLI boarded in December 2017. The SGLI has multi (19)-channels including near UV (0.380 μm) and violet (0.412 μm) wavelengths. This work intends to examine the role of near UV data in the detection of absorbing aerosols similar to TOMS-AI played. In practice, the measurements by GLI mounted on the short Japanese mission JAXA/ADEOS-2, whose data archive period was just 8 months from April to October in 2003, are available for simulation of SGLI data because ADEOS-2/GLI installed near UV and violet channels. First of all, the ratio of data at 0.412 μm to that at 0.380 μm is examined as an indicator to detect absorbing aerosols on a global scale during ADEOS-2 era. It is noted that our research group has developed an efficient algorithm for aerosol retrieval in hazy episodes (dense concentrations of atmospheric aerosols). It can be said that at least this work is an attempt to grasp the biomass burning plumes from the satellite.

  13. Ultraviolet 320 nm laser excitation for flow cytometry.

    PubMed

    Telford, William; Stickland, Lynn; Koschorreck, Marco

    2017-04-01

    Although multiple lasers and high-dimensional analysis capability are now standard on advanced flow cytometers, ultraviolet (UV) lasers (usually 325-365 nm) remain an uncommon excitation source for cytometry. This is primarily due to their cost, and the small number of applications that require this wavelength. The development of the Brilliant Ultraviolet (BUV fluorochromes, however, has increased the importance of this formerly niche excitation wavelength. Historically, UV excitation was usually provided by water-cooled argon- and krypton-ion lasers. Modern flow cytometers primary rely on diode pumped solid state lasers emitting at 355 nm. While useful for all UV-excited applications, DPSS UV lasers are still large by modern solid state laser standards, and remain very expensive. Smaller and cheaper near UV laser diodes (NUVLDs) emitting at 375 nm make adequate substitutes for 355 nm sources in many situations, but do not work as well with very short wavelength probes like the fluorescent calcium chelator indo-1. In this study, we evaluate a newly available UV 320 nm laser for flow cytometry. While shorter in wavelength that conventional UV lasers, 320 is close to the 325 nm helium-cadmium wavelength used in the past on early benchtop cytometers. A UV 320 nm laser was found to excite almost all Brilliant Ultraviolet dyes to nearly the same level as 355 nm sources. Both 320 nm and 355 nm sources worked equally well for Hoechst and DyeCycle Violet side population analysis of stem cells in mouse hematopoetic tissue. The shorter wavelength UV source also showed excellent excitation of indo-1, a probe that is not compatible with NUVLD 375 nm sources. In summary, a 320 nm laser module made a suitable substitute for conventional 355 nm sources. This laser technology is available in a smaller form factor than current 355 nm units, making it useful for small cytometers with space constraints. © 2017 International Society for Advancement of Cytometry. © 2017 International

  14. The Ultraviolet Total Ozone Unit (TOU) IN-ORBIT PERFORMANCE AND CALIBRATION

    NASA Astrophysics Data System (ADS)

    Wang, Yongmei; Fu, Liping; Zhang, Zhongmou

    The Ultraviolet Total Ozone Unit (TOU) was launched on 27 May 2008 on FY-3 meteorological satellite. The main purpose of TOU is to measure the incident solar radiation and backscattered ultraviolet radiance for retrieving daily global map of atmospheric ozone. TOU is a fixed grating and slit-array Ebert-Fastie grating spectrograph system. It has the multi-wavelengths detecting and two-dimensional scanning which enables global daily ground coverage. This paper discusses the recent working status of the instrument, including the sensitivity, measuring precision of solar irradiance, diffuser degradation and wavelength drift, and then presents the in-flight calibration and performance results.

  15. Design of ultraviolet wavelength and standard solution concentrations in relative response factors for simultaneous determination of multi-components with single reference standard in herbal medicines.

    PubMed

    Yang, Ting-Wen; Zhao, Chao; Fan, Yong; Qi, Lian-Wen; Li, Ping

    2015-10-10

    Single standard to determine multi-components (SSDMC) is a practical pattern for quality evaluation of herbal medicines (HMs). However, it remains challenging because of potential inconsistency of relative response factors (RRF) on different instruments. In this work, the effects of two key roles, i.e., ultraviolet (UV) wavelength and standard solution concentrations, on reproducibility of RRF were investigated. The effect of UV wavelength on reproducibility of RRF was studied by plotting the relationship of the peak area ratios (internal standard vs analyte) to wavelengths. The preferable wavelength should be set at the flat parts of the curve. Optimized 300 nm produced a 0.38% RSD for emodin/emodin-8-O-β-D-glucopyranoside on five instruments, much lower than 2.80% obtained from the maximum wavelength at 290 nm. Next, the effects of standard solution concentrations of emodin on its response factor (RF) were investigated. For one single point method, low concentration less than 49 b/k resulted in significant variations in RF. For emodin, when the concentration is higher than 7.00 μg mL(-1), a low standard deviation (SD) value at 0.13 was obtained, while lower than 7.00 μg mL(-1), a high SD at 3.71 was obtained. The developed SSDMC method was then applied to determination of target components in 10 Polygonum cuspidatum samples and showed comparable accuracy to conventional calibration methods with deviation less than 1%. Copyright © 2015 Elsevier B.V. All rights reserved.

  16. The first Extreme Ultraviolet Explorer source catalog

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Lieu, R.; Lampton, M.; Lewis, J.; Wu, X.; Drake, J. J.; Malina, R. F.

    1994-01-01

    The Extreme Ultraviolet Explorer (EUVE) has conducted an all-sky survey to locate and identify point sources of emission in four extreme ultraviolet wavelength bands centered at approximately 100, 200, 400, and 600 A. A companion deep survey of a strip along half the ecliptic plane was simultaneously conducted. In this catalog we report the sources found in these surveys using rigorously defined criteria uniformly applied to the data set. These are the first surveys to be made in the three longer wavelength bands, and a substantial number of sources were detected in these bands. We present a number of statistical diagnostics of the surveys, including their source counts, their sensitivites, and their positional error distributions. We provide a separate list of those sources reported in the EUVE Bright Source List which did not meet our criteria for inclusion in our primary list. We also provide improved count rate and position estimates for a majority of these sources based on the improved methodology used in this paper. In total, this catalog lists a total of 410 point sources, of which 372 have plausible optical ultraviolet, or X-ray identifications, which are also listed.

  17. A Plasma Ultraviolet Source for Short Wavelength Lasers.

    DTIC Science & Technology

    1988-04-15

    plasma focus (DPF) device was evaluated for the feasibility of blue-green and near ultraviolet laser pumping. As the result of optimizing the operating conditions of DPF and laser system, the maximum untuned laser output exceeded 4.0mJ corresponding to the energy density 8.3J/liter which is much higher than the typical flashlamp dye laser. The spectral irradiance of DPF at the absorption bands for LD390 and LD490 were 5.5W/sq cm-nm, 0.3W.sq cm-nm, respectively. Due to the lower pump power of DPF at 355nm than the threshold of LD390, the laser pumping of LD390 dye was not

  18. Use of 8-methoxypsoralen and long-wavelength ultraviolet radiation for decontamination of platelet concentrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, L.; Wiesehahn, G.P.; Morel, P.A.

    1989-07-01

    Transmission of viral diseases through blood products remains an unsolved problem in transfusion medicine. We have developed a psoralen photochemical system for decontamination of platelet concentrates in which platelets are treated with long wavelength ultraviolet radiation (UVA, 320-400 nm) in the presence of 8-methoxypsoralen (8-MOP). Bacteria, RNA viruses, and DNA viruses ranging in genome size from 1.2 x 10(6) daltons, encompassing the size range of human pathogens, were inoculated into platelet concentrates and subjected to treatment. This system inactivated 25 to 30 logs/h of bacteria Escherichia coli or Staphylococcus aureus, 6 logs/h of bacteriophage fd, 0.9 log/h of bacteriophage R17more » and 1.1 logs/h of feline leukemia virus (FeLV) in platelet concentrates maintained in standard storage bags. Platelet integrity and in vitro function before, immediately following photochemical treatment, and during prolonged storage after treatment, were evaluated by measuring: (1) extracellular pH; (2) platelet yields; (3) extracellular lactate dehydrogenase (LDH) levels; (4) platelet morphology; (5) platelet aggregation responsiveness; (6) thromboxane beta-2 (TXB-2) production; (7) dense body secretion; and (8) alpha granule secretion. These assays demonstrated that this photochemical inactivation system inactivated bacteria and viruses in platelet concentrates with minimal adverse effects on the in vitro function of platelets in comparison to untreated control concentrates maintained under current, standard blood bank conditions.« less

  19. Extreme ultraviolet and X-ray spectroheliograph for OSO-H

    NASA Technical Reports Server (NTRS)

    Sterk, A. A.; Kieser, F.; Peck, S.; Knox, E.

    1972-01-01

    A complex scientific instrument was designed, fabricated, tested, and calibrated for launch onboard OSO-H. This instrument consisted of four spectroheliographs and an X-ray polarimeter. The instrument is designed to study solar radiation at selected wavelengths in the X-ray and the extreme ultraviolet ranges, make observations at the H-alpha wavelength, and measure the degree of polarization of X-ray emissions.

  20. Occupational Skin Hazards From Ultraviolet (UV) Exposures

    NASA Astrophysics Data System (ADS)

    Urbach, F.; Wolbarsht, M. L.

    1980-10-01

    The various types of UV effects on the skin are classified according to the part of the spectrum and their beneficial or deleterious nature. Some hazardous ultraviolet sources used in industrial processes are described, and examples of photoallergy, phototoxicity, and photosensitization resulting from UV exposures are given. The incidence of skin cancer as a function of geographical location and exposure to sunlight is discussed in relation to natural and artificial exposures to long and short wavelength UV, especially in connection with tanning booths. The conclusion is reached that there is enough ultraviolet in a normal environment to propose a hazard, and additional ultraviolet exposure from industrial or consumer sources is not necessary, and should be eliminated wherever possible.

  1. Occupational Skin Hazards From Ultraviolet (UV) Exposures

    NASA Astrophysics Data System (ADS)

    Urbach, F.; Wolbarsht, M. L.

    1981-11-01

    The various types of UV effects on the skin are classified according to the part of the spectrum and their beneficial or deleterious nature. Some hazardous ultraviolet sources used in industrial processes are described, and examples of photoallergy, phototoxicity, and photosensitization resulting from UV exposures are given. The incidence of skin cancer as a function of geographical location and exposure to sunlight is discussed in relation to natural and artificial exposures to long and short wavelength UV, especially in connection with tanning booths. The conclusion is reached that there is enough ultraviolet in a normal environment to propose a hazard, and additional ultraviolet exposure from industrial or consumer sources is not necessary, and should be eliminated wherever possible.

  2. Far-ultraviolet imagery of the Orion Nebula

    NASA Technical Reports Server (NTRS)

    Carruthers, G. R.; Opal, C. B.

    1977-01-01

    Two electrographic cameras carried on a sounding rocket have yielded useful-resolution far-ultraviolet (1000-2000 A) imagery of the Orion Nebula. The brightness distribution in the images is consistent with a primary source which is due to scattering of starlight by dust grains, although an emission-line contribution, particularly in the fainter outer regions, is not ruled out. The results are consistent with an albedo of the dust grains that is high in the far-ultraviolet and which increases toward shorter wavelengths below 1230 A.

  3. The mirrors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Green, James C.; Bowyer, Stuart; Malina, Roger F.

    1986-01-01

    Flight mirrors for the Extreme Ultraviolet Explorer satellite are currently under fabrication. The grazing incidence metal mirrors are Wolter-Schwarzschild Type I and II and are figured by diamond turning. Imaging performance is excellent, with the figure after polishing for the best mirror being such that the full width-half maximum is 1.0 arc seconds and the half energy width is 8 arc seconds measured at visible wavelengths. Surface finish, as determined from scattering measurements in the extreme ultraviolet, is about 20 A rms.

  4. Effects of solar ultraviolet radiations on Bacillus subtilis spores and T-7 bacteriophage

    NASA Technical Reports Server (NTRS)

    Spizizen, J.; Isherwood, J. E.; Taylor, G. R.

    1975-01-01

    Spores of Bacillus subtilis HA 101 and the DNA polymerase I-defective mutant HA 101 (59)F were exposed to selected wavelengths of solar ultraviolet light and space vacuum during the return of Apollo 16. In addition, coliphage T-7 suspensions were exposed to solar ultraviolet radiation as part of the Microbial Response to Space Environment Experiment. Optical filters were employed to provide different energy levels at wavelengths 254 nm and 280 nm. Dose-response curves for lethal and mutagenic effects were compared with ground-based data. A close parallel was observed between the results of solar radiation and ground tests with spores of the two strains. However, significantly greater inactivation of T-7 bacteriophage was observed after exposure to solar ultraviolet radiation.

  5. Rocket ultraviolet observations of Comet Halley

    NASA Technical Reports Server (NTRS)

    Carruthers, George R.; Mccoy, Robert P.; Woods, Thomas N.; Feldman, Paul D.; Opal, Chet B.

    1987-01-01

    Ultraviolet observations of Comet Halley have been obtained in February and March, 1986 with two instrument payloads, one with the Faint Object Telescope and one with a direct imaging electrographic Schmidt camera and an objective grating spectrograph. The observations include spectroscopic imagery in the 1200-200 A wavelength range and imagery of the comet in hydrogen Lyman-alpha (1216 A) radiation. The present observations have been reduced to intensity contour plots in the different emission wavelengths, and production rates are given for the emitting species H, C, O, S, and CO.

  6. Nonthermal combined ultraviolet and vacuum-ultraviolet curing process for organosilicate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, H.; Guo, X.; Pei, D.

    2016-06-13

    Porous SiCOH films are of great interest in semiconductor fabrication due to their low-dielectric constant properties. Post-deposition treatments using ultraviolet (UV) light on organosilicate thin films are required to decompose labile pore generators (porogens) and to ensure optimum network formation to improve the electrical and mechanical properties of low-k dielectrics. The goal of this work is to choose the best vacuum-ultraviolet photon energy in conjunction with vacuum ultraviolet (VUV) photons without the need for heating the dielectric to identify those wavelengths that will have the most beneficial effect on improving the dielectric properties and minimizing damage. VUV irradiation between 8.3more » and 8.9 eV was found to increase the hardness and elastic modulus of low-k dielectrics at room temperature. Combined with UV exposures of 6.2 eV, it was found that this “UV/VUV curing” process is improved compared with current UV curing. We show that UV/VUV curing can overcome drawbacks of UV curing and improve the properties of dielectrics more efficiently without the need for high-temperature heating of the dielectric.« less

  7. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  8. Surface assessment of CaF2 deep-ultraviolet and vacuum-ultraviolet optical components by the quasi-Brewster angle technique.

    PubMed

    Wang, Jue; Maier, Robert L

    2006-08-01

    The requirements for optical components have drastically increased for the deep-ultraviolet and vacuum-ultraviolet spectral regions. Low optical loss, high laser damage threshold, and long lifetime fluoride optics are required for microlithographic applications. A nondestructive quasi-Brewster angle technique (qBAT) has been developed for evaluating the quality of optical surfaces including both top surface and subsurface information. By using effective medium approximation, the negative quasi-Brewster angle shift at wavelengths longer than 200 nm has been used to model the distribution of subsurface damage, whereas the positive quasi-Brewster angle shift for wavelengths shorter than 200 nm has been explained by subsurface contamination. The top surface roughness depicted by the qBAT is consistent with atomic force microscopy measurements. The depth and the microporous structure of the subsurface damage measured by the qBAT has been confirmed by magnetorheological finishing. The technique has been extended to evaluate both polished and antireflection-coated CaF(2) components.

  9. Reflective optical imaging system for extreme ultraviolet wavelengths

    DOEpatents

    Viswanathan, Vriddhachalam K.; Newnam, Brian E.

    1993-01-01

    A projection reflection optical system has two mirrors in a coaxial, four reflection configuration to reproduce the image of an object. The mirrors have spherical reflection surfaces to provide a very high resolution of object feature wavelengths less than 200 .mu.m, and preferably less than 100 .mu.m. An image resolution of features less than 0.05-0.1 .mu.m, is obtained over a large area field; i.e., 25.4 mm .times.25.4 mm, with a distortion less than 0.1 of the resolution over the image field.

  10. Reflective optical imaging system for extreme ultraviolet wavelengths

    DOEpatents

    Viswanathan, V.K.; Newnam, B.E.

    1993-05-18

    A projection reflection optical system has two mirrors in a coaxial, four reflection configuration to reproduce the image of an object. The mirrors have spherical reflection surfaces to provide a very high resolution of object feature wavelengths less than 200 [mu]m, and preferably less than 100 [mu]m. An image resolution of features less than 0.05-0.1 [mu]m, is obtained over a large area field; i.e., 25.4 mm [times] 25.4 mm, with a distortion less than 0.1 of the resolution over the image field.

  11. Source of coherent short wavelength radiation

    DOEpatents

    Villa, Francesco

    1990-01-01

    An apparatus for producing coherent radiation ranging from X-rays to the far ultraviolet (i.e., 1 Kev to 10 eV) utilizing the Compton scattering effect. A photon beam from a laser is scattered on a high energy electron bunch from a pulse power linac. The short wavelength radiation produced by such scattering has sufficient intensity and spatial coherence for use in high resolution applications such as microscopy.

  12. The Ultraviolet Surprise. Efficient Soft X-Ray High Harmonic Generation in Multiply-Ionized Plasmas

    DOE PAGES

    Popmintchev, Dimitar; Hernandez-Garcia, Carlos; Dollar, Franklin; ...

    2015-12-04

    High-harmonic generation is a universal response of matter to strong femtosecond laser fields, coherently upconverting light to much shorter wavelengths. Optimizing the conversion of laser light into soft x-rays typically demands a trade-off between two competing factors. Reduced quantum diffusion of the radiating electron wave function results in emission from each species which is highest when a short-wavelength ultraviolet driving laser is used. But, phase matching—the constructive addition of x-ray waves from a large number of atoms—favors longer-wavelength mid-infrared lasers. We identified a regime of high-harmonic generation driven by 40-cycle ultraviolet lasers in waveguides that can generate bright beams inmore » the soft x-ray region of the spectrum, up to photon energies of 280 electron volts. Surprisingly, the high ultraviolet refractive indices of both neutral atoms and ions enabled effective phase matching, even in a multiply ionized plasma. We observed harmonics with very narrow linewidths, while calculations show that the x-rays emerge as nearly time-bandwidth–limited pulse trains of ~100 attoseconds.« less

  13. Possibility that the far ultraviolet excess in M31 is due to main sequence stars

    NASA Technical Reports Server (NTRS)

    Tinsley, B. M.

    1972-01-01

    The far ultraviolet excess in the central region of M31, observed by OAO-2, could be due to young main sequence stars. More than enough such stars are present in the model for the M31 inner disk population derived by Tinsley and Spinrad (1971) to match line- and color-indices at longer wavelengths. If the far ultraviolet radiation of typical galaxies arises from young stars, the theoretical ultraviolet background is enhanced greatly by evolutionary effects. For evolution at the rate of Tinsley and Spinrad's model for M31, or of Arnett's (1971) linear model for our galaxy, the enhancement is a factor 2.5 to 14, depending on the Hubble constant and the spectrum at wavelengths below 1700 A.

  14. A comparison between measured surface microtopography and observed scattering in the extreme ultraviolet

    NASA Technical Reports Server (NTRS)

    Green, James; Jelinsky, Sharon; Bowyer, Stuart; Malina, Roger F.

    1988-01-01

    The paper presents comparative measurements of surface roughness on prepared samples. These measurements have been made with both Talystep profilometers and WYKO interferometers. In addition, the scattering distribution from these samples was measured at extreme ultraviolet wavelengths. The utility of the WYKO interferometer and Talystep device for specifying extreme ultraviolet mirror surface quality is discussed.

  15. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  16. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  17. Deep ultraviolet semiconductor light sources for sensing and security

    NASA Astrophysics Data System (ADS)

    Shatalov, Max; Bilenko, Yuri; Yang, Jinwei; Gaska, Remis

    2009-09-01

    III-Nitride based deep ultraviolet (DUV) light emitting diodes (LEDs) rapidly penetrate into sensing market owing to several advantages over traditional UV sources (i.e. mercury, xenon and deuterium lamps). Small size, a wide choice of peak emission wavelengths, lower power consumption and reduced cost offer flexibility to system integrators. Short emission wavelength offer advantages for gas detection and optical sensing systems based on UV induced fluorescence. Large modulation bandwidth for these devices makes them attractive for frequency-domain spectroscopy. We will review present status of DUV LED technology and discuss recent advances in short wavelength emitters and high power LED lamps.

  18. Ultraviolet surprise: Efficient soft x-ray high-harmonic generation in multiply ionized plasmas.

    PubMed

    Popmintchev, Dimitar; Hernández-García, Carlos; Dollar, Franklin; Mancuso, Christopher; Pérez-Hernández, Jose A; Chen, Ming-Chang; Hankla, Amelia; Gao, Xiaohui; Shim, Bonggu; Gaeta, Alexander L; Tarazkar, Maryam; Romanov, Dmitri A; Levis, Robert J; Gaffney, Jim A; Foord, Mark; Libby, Stephen B; Jaron-Becker, Agnieszka; Becker, Andreas; Plaja, Luis; Murnane, Margaret M; Kapteyn, Henry C; Popmintchev, Tenio

    2015-12-04

    High-harmonic generation is a universal response of matter to strong femtosecond laser fields, coherently upconverting light to much shorter wavelengths. Optimizing the conversion of laser light into soft x-rays typically demands a trade-off between two competing factors. Because of reduced quantum diffusion of the radiating electron wave function, the emission from each species is highest when a short-wavelength ultraviolet driving laser is used. However, phase matching--the constructive addition of x-ray waves from a large number of atoms--favors longer-wavelength mid-infrared lasers. We identified a regime of high-harmonic generation driven by 40-cycle ultraviolet lasers in waveguides that can generate bright beams in the soft x-ray region of the spectrum, up to photon energies of 280 electron volts. Surprisingly, the high ultraviolet refractive indices of both neutral atoms and ions enabled effective phase matching, even in a multiply ionized plasma. We observed harmonics with very narrow linewidths, while calculations show that the x-rays emerge as nearly time-bandwidth-limited pulse trains of ~100 attoseconds. Copyright © 2015, American Association for the Advancement of Science.

  19. The ultraviolet reflectance of Enceladus: Implications for surface composition

    NASA Astrophysics Data System (ADS)

    Hendrix, Amanda R.; Hansen, Candice J.; Holsclaw, Greg M.

    2010-04-01

    The reflectance of Saturn's moon Enceladus has been measured at far ultraviolet (FUV) wavelengths (115-190 nm) by Cassini's Ultraviolet Imaging Spectrograph (UVIS). At visible and near infrared (VNIR) wavelengths Enceladus' reflectance spectrum is very bright, consistent with a surface composed primarily of H 2O ice. At FUV wavelengths, however, Enceladus is surprisingly dark - darker than would be expected for pure water ice. Previous analyses have focused on the VNIR spectrum, comparing it to pure water ice (Cruikshank, D.P., Owen, T.C., Dalle Ore, C., Geballe, T.R., Roush, T.L., de Bergh, C., Sandford, S.A., Poulet, F., Benedix, G.K., Emery, J.P. [2005] Icarus, 175, 268-283) or pure water ice plus a small amount of NH 3 (Emery, J.P., Burr, D.M., Cruikshank, D.P., Brown, R.H., Dalton, J.B. [2005] Astron. Astrophys., 435, 353-362) or NH 3 hydrate (Verbiscer, A.J., Peterson, D.E., Skrutskie, M.F., Cushing, M., Helfenstein, P., Nelson, M.J., Smith, J.D., Wilson, J.C. [2006] Icarus, 182, 211-223). We compare Enceladus' FUV spectrum to existing laboratory measurements of the reflectance spectra of candidate species, and to spectral models. We find that the low FUV reflectance of Enceladus can be explained by the presence of a small amount of NH 3 and a small amount of a tholin in addition to H 2O ice on the surface. The presence of these three species (H 2O, NH 3, and a tholin) appears to satisfy not only the low FUV reflectance and spectral shape, but also the middle-ultraviolet to visible wavelength brightness and spectral shape. We expect that ammonia in the Enceladus plume is transported across the surface to provide a global coating.

  20. Photoelectric array detectors for use at XUV wavelengths. [for Spacelab solar-physics facilities

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.

    1981-01-01

    The characteristics of photoelectric detector systems for use at visible-light, ultraviolet, and X-ray wavelengths are briefly reviewed in the context of the needs of the Spacelab solar-physics facilities. Photoelectric array detectors for use at XUV wavelengths between 90 and 1500 A are described, and their use in the ESA Grazing-Incidence Solar Telescope (GRIST) facility is discussed.

  1. Apollo-16 far-ultraviolet spectra in the Large Magellanic Cloud

    NASA Technical Reports Server (NTRS)

    Carruthers, G. R.; Page, T.

    1977-01-01

    Spectra in the wavelength ranges from 900 to 1600 A and 1050 to 1600 A of some OB associations in the Large Magellanic Cloud were obtained from the lunar surface by the Apollo-16 far-ultraviolet camera/spectrograph on April 22, 1972. The observed spectral distributions appear consistent with a stellar model having an effective temperature of 30,000 K, reddened by E(B-V) = 0.3, and characterized by the average far-ultraviolet extinction curve of Bless and Savage (1972). However, the absolute intensity of the far-ultraviolet spectrum of the associations NGC 2050 and 2055 seems somewhat too bright in comparison with ground-based photometry.

  2. Importance of biologically active aurora-like ultraviolet emission: stochastic irradiation of Earth and Mars by flares and explosions.

    PubMed

    Smith, David S; Scalo, John; Wheeler, J Craig

    2004-10-01

    Habitable planets will be subject to intense sources of ionizing radiation and fast particles from a variety of sources--from the host star to distant explosions--on a variety of timescales. Monte Carlo calculations of high-energy irradiation suggest that the surfaces of terrestrial-like planets with thick atmospheres (column densities greater than about 100 g cm(-2)) are well protected from directly incident X-rays and gamma-rays, but we find that sizeable fractions of incident ionizing radiation from astrophysical sources can be redistributed to biologically and chemically important ultraviolet wavelengths, a significant fraction of which can reach the surface. This redistribution is mediated by secondary electrons, resulting from Compton scattering and X-ray photoabsorption, the energies of which are low enough to excite and ionize atmospheric molecules and atoms, resulting in a rich aurora-like spectrum. We calculate the fraction of energy redistributed into biologically and chemically important wavelength regions for spectra characteristic of stellar flares and supernovae using a Monte-Carlo transport code and then estimate the fraction of this energy that is transmitted from the atmospheric altitudes of redistribution to the surface for a few illustrative cases. For atmospheric models corresponding to the Archean Earth, we assume no significant ultraviolet absorbers, only Rayleigh scattering, and find that the fraction of incident ionizing radiation that is received at the surface in the form of redistributed ultraviolet in the biologically relevant 200-320 nm region (UV-C and UV-B bands) can be up to 4%. On the present-day Earth with its ultraviolet ozone shield, this fraction is found to be 0.2%. Both values are many orders of magnitude higher than the fraction of direct ionizing radiation reaching the surface. This result implies that planetary organisms will be subject to mutationally significant, if intermittent, fluences of UV-B and harder radiation

  3. Exploring high power, extreme wavelength operating potential of rare-earth-doped silica fiber

    NASA Astrophysics Data System (ADS)

    Zhou, Pu; Li, Ruixian; Xiao, Hu; Huang, Long; Zhang, Hanwei; Leng, Jinyong; Chen, Zilun; Xu, Jiangmin; Wu, Jian; Wang, Xiong

    2017-08-01

    Ytterbium-doped fiber laser (YDFL) and Thulium doped fiber laser (TDFL) have been two kinds of the most widely studied fiber laser in recent years. Although both silica-based Ytterbium-doped fiber and Thulium doped fiber have wide emission spectrum band (more than 200 nm and 400 nm, respectively), the operation spectrum region of previously demonstrated high power YDFL and TDFL fall into 1060-1100 nm and 1900-2050nm. Power scaling of YDFL and TDFL operates at short-wavelength or long-wavelength band, especially for extreme wavelength operation, although is highly required in a large variety of application fields, is quite challenging due to small net gain and strong amplified spontaneous emission (ASE). In this paper, we will present study on extreme wavelength operation of high power YDFL and TDFL in our group. Comprehensive mathematical models are built to investigate the feasibility of high power operation and propose effective technical methods to achieve high power operation. We have achieved (1) Diodepumped 1150nm long wavelength YDFL with 120-watt level output power (2) Diode-pumped 1178nm long wavelength YDFL operates at high temperature with 30-watt level output power (3) Random laser pumped 2153nm long wavelength TDFL with 20-watt level output power (4) Diode-pumped 1018nm short wavelength YDFL with a record 2 kilowatt output power is achieved by using home-made fiber combiner.

  4. Lethal effects of short-wavelength visible light on insects.

    PubMed

    Hori, Masatoshi; Shibuya, Kazuki; Sato, Mitsunari; Saito, Yoshino

    2014-12-09

    We investigated the lethal effects of visible light on insects by using light-emitting diodes (LEDs). The toxic effects of ultraviolet (UV) light, particularly shortwave (i.e., UVB and UVC) light, on organisms are well known. However, the effects of irradiation with visible light remain unclear, although shorter wavelengths are known to be more lethal. Irradiation with visible light is not thought to cause mortality in complex animals including insects. Here, however, we found that irradiation with short-wavelength visible (blue) light killed eggs, larvae, pupae, and adults of Drosophila melanogaster. Blue light was also lethal to mosquitoes and flour beetles, but the effective wavelength at which mortality occurred differed among the insect species. Our findings suggest that highly toxic wavelengths of visible light are species-specific in insects, and that shorter wavelengths are not always more toxic. For some animals, such as insects, blue light is more harmful than UV light.

  5. Lethal effects of short-wavelength visible light on insects

    NASA Astrophysics Data System (ADS)

    Hori, Masatoshi; Shibuya, Kazuki; Sato, Mitsunari; Saito, Yoshino

    2014-12-01

    We investigated the lethal effects of visible light on insects by using light-emitting diodes (LEDs). The toxic effects of ultraviolet (UV) light, particularly shortwave (i.e., UVB and UVC) light, on organisms are well known. However, the effects of irradiation with visible light remain unclear, although shorter wavelengths are known to be more lethal. Irradiation with visible light is not thought to cause mortality in complex animals including insects. Here, however, we found that irradiation with short-wavelength visible (blue) light killed eggs, larvae, pupae, and adults of Drosophila melanogaster. Blue light was also lethal to mosquitoes and flour beetles, but the effective wavelength at which mortality occurred differed among the insect species. Our findings suggest that highly toxic wavelengths of visible light are species-specific in insects, and that shorter wavelengths are not always more toxic. For some animals, such as insects, blue light is more harmful than UV light.

  6. JUDE: An Ultraviolet Imaging Telescope pipeline

    NASA Astrophysics Data System (ADS)

    Murthy, J.; Rahna, P. T.; Sutaria, F.; Safonova, M.; Gudennavar, S. B.; Bubbly, S. G.

    2017-07-01

    The Ultraviolet Imaging Telescope (UVIT) was launched as part of the multi-wavelength Indian AstroSat mission on 28 September, 2015 into a low Earth orbit. A 6-month performance verification (PV) phase ended in March 2016, and the instrument is now in the general observing phase. UVIT operates in three channels: visible, near-ultraviolet (NUV) and far-ultraviolet (FUV), each with a choice of broad and narrow band filters, and has NUV and FUV gratings for low-resolution spectroscopy. We have written a software package (JUDE) to convert the Level 1 data from UVIT into scientifically useful photon lists and images. The routines are written in the GNU Data Language (GDL) and are compatible with the IDL software package. We use these programs in our own scientific work, and will continue to update the programs as we gain better understanding of the UVIT instrument and its performance. We have released JUDE under an Apache License.

  7. Tunable, rare earth-doped solid state lasers

    DOEpatents

    Emmett, John L.; Jacobs, Ralph R.; Krupke, William F.; Weber, Marvin J.

    1980-01-01

    Laser apparatus comprising combinations of an excimer pump laser and a rare earth-doped solid matrix, utilizing the 5d-4f radiative transition in a rare earth ion to produce visible and ultra-violet laser radiation with high overall efficiency in selected cases and relatively long radiative lifetimes.

  8. Evaluation of gratings for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Mrowka, Stan; Martin, Chris; Bowyer, Stuart; Malina, Roger F.

    1986-01-01

    Extensive grating calibration facilities have been developed at the Space Sciences Laboratory at Berkley, which are now being used for the evaluation of the gratings for the spectrometer on the Extreme Ultraviolet Explorer. Measurements of efficiency scattering and imaging quality can be made at wavelengths from 44A to 2500A.

  9. Ultraviolet spectrophotometry from Gemini 11 of stars in Orion

    NASA Technical Reports Server (NTRS)

    Morgan, T. H.; Spear, G. G.; Kondo, Y.; Henize, K. G.

    1975-01-01

    Ultraviolet spectrophotometry in the wavelength region 2600-3600 A is reported for the bright early-type stars beta, eta, gamma, delta, iota, epsilon, sigma, zeta, and kappa Ori. The results are in good agreement with other observations, and, with the possible exception of the supergiants, are in good agreement with recent line-blanketed model atmospheres. There is evidence that the supergiants possess a small ultraviolet deficiency shortward of 3000 A relative to main-sequence stars of similar spectral type. The most extreme example of this phenomenon is the star kappa Ori.

  10. GaN ultraviolet p-i-n photodetectors with enhanced deep ultraviolet quantum efficiency

    NASA Astrophysics Data System (ADS)

    Wang, Guosheng; Xie, Feng; Wang, Jun; Guo, Jin

    2017-10-01

    GaN ultraviolet (UV) p-i-n photodetectors (PDs) with a thin p-AlGaN/GaN contact layer are designed and fabricated. The PD exhibits a low dark current density of˜7 nA/cm2 under -5 V, and a zero-bias peak responsivity of ˜0.16 A/W at 360 nm, which corresponds to a maximum quantum efficiency of 55%. It is found that, in the wavelength range between 250 and 365 nm, the PD with thin p-AlGaN/GaN contact layer exhibits enhanced quantum efficiency especially in a deep-UV wavelength range, than that of the control PD with conventional thin p-GaN contact layer. The improved quantum efficiency of the PD with thin p-AlGaN/GaN contact layer in the deep-UV wavelength range is mainly attributed to minority carrier reflecting properties of thin p-AlGaN/GaN heterojunction which could reduce the surface recombination loss of photon-generated carriers and improve light current collection efficiency.

  11. Invisible Misconceptions: Student Understanding of Ultraviolet and Infrared Radiation

    ERIC Educational Resources Information Center

    Libarkin, Julie C.; Asghar, Anila; Crockett, C.; Sadler, Philip

    2011-01-01

    The importance of nonvisible wavelengths for the study of astronomy suggests that student understanding of nonvisible light is an important consideration in astronomy classrooms. Questionnaires, interviews, and panel discussions were used to investigate 6-12 student and teacher conceptions of ultraviolet (UV) and infrared (IR). Alternative…

  12. Near infrared harvesting dye-sensitized solar cells enabled by rare-earth upconversion materials.

    PubMed

    Li, Deyang; Ågren, Hans; Chen, Guanying

    2018-02-01

    Dye-sensitized solar cells (DSSCs) have been deemed as promising alternatives to silicon solar cells for the conversion of clean sunlight energy into electricity. A major limitation to their conversion efficiency is their inability to utilize light in the infrared (IR) spectral range, which constitutes almost half the energy of the sun's radiation. This fact has elicited motivations and endeavors to extend the response wavelength of DSSCs to the IR range. Photon upconversion through rare-earth ions constitutes one of the most promising approaches toward the goal of converting near-IR (NIR) or IR light into visible or ultraviolet light, where DSSCs typically have high sensitivity. In the present review, we summarize recent progress based on the utilization of various upconversion materials and device structures to improve the performance of dye-sensitized solar cells.

  13. Ultraviolet photometry from the Orbiting Astronomical Observatory. II Interstellar extinction.

    NASA Technical Reports Server (NTRS)

    Bless, R. C.; Savage, B. D.

    1972-01-01

    Evaluation of interstellar extinction curves over the region from 3600 to 1100 A for 17 stars. The observations were made by the two Wisconsin spectrometers on board the Orbiting Astronomical Observatory 2, with spectral resolutions of 10 and 20 A. The extinction curves generally show a pronounced maximum at 2175 plus or minus 25 A, a broad minimum in the region from 1800 to 1350 A, and finally a rapid rise to the far-ultraviolet. Large extinction variations from star to star are found, especially in the far-ultraviolet; however, with only two possible exceptions in this sample, the wavelength at the maximum of the extinction bump is essentially constant. These data are combined with visual and infrared observations to display the extinction behavior over a range in wavelength of about a factor of 20. The observations appear to require a multicomponent model of the interstellar dust.

  14. Research on the calibration of ultraviolet energy meters

    NASA Astrophysics Data System (ADS)

    Lin, Fangsheng; Yin, Dejin; Li, Tiecheng; Lai, Lei; Xia, Ming

    2016-10-01

    Ultraviolet (UV) radiation is a kind of non-lighting radiation with the wavelength range from 100nm to 400nm. Ultraviolet irradiance meters are now widely used in many areas. However, as the development of science and technology, especially in the field of light-curing industry, there are more and more UV energy meters or UV-integrators need to be measured. Because the structure, wavelength band and measured power intensity of UV energy meters are different from traditional UV irradiance meters, it is important for us to take research on the calibration. With reference to JJG879-2002, we SIMT have independently developed the UV energy calibration device and the standard of operation and experimental methods for UV energy calibration in detail. In the calibration process of UV energy meter, many influencing factors will affect the final results, including different UVA-band UV light sources, different spectral response for different brands of UV energy meters, instability and no uniformity of UV light source and temperature. Therefore we need to take all of these factors into consideration to improve accuracy in UV energy calibration.

  15. Ionization heating in rare-gas clusters under intense XUV laser pulses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arbeiter, Mathias; Fennel, Thomas

    The interaction of intense extreme ultraviolet (XUV) laser pulses ({lambda}=32 nm, I=10{sup 11}-10{sup 14} W/cm{sup 2}) with small rare-gas clusters (Ar{sub 147}) is studied by quasiclassical molecular dynamics simulations. Our analysis supports a very general picture of the charging and heating dynamics in finite samples under short-wavelength radiation that is of relevance for several applications of free-electron lasers. First, up to a certain photon flux, ionization proceeds as a series of direct photoemission events producing a jellium-like cluster potential and a characteristic plateau in the photoelectron spectrum as observed in Bostedt et al. [Phys. Rev. Lett. 100, 133401 (2008)]. Second,more » beyond the onset of photoelectron trapping, nanoplasma formation leads to evaporative electron emission with a characteristic thermal tail in the electron spectrum. A detailed analysis of this transition is presented. Third, in contrast to the behavior in the infrared or low vacuum ultraviolet range, the nanoplasma energy capture proceeds via ionization heating, i.e., inner photoionization of localized electrons, whereas collisional heating of conduction electrons is negligible up to high laser intensities. A direct consequence of the latter is a surprising evolution of the mean energy of emitted electrons as function of laser intensity.« less

  16. The extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Bowyer, Stuart; Malina, Roger F.

    1990-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled for launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of Extreme Ultraviolet (EUV) radiation. The survey will be accomplished with the use of three EUV telescopes, each sensitive to a different segment of the EUV band. A fourth telescope will perform a high sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all sky survey will be carried out in the first six months of the mission and will be made in four bands, or colors. The second phase of the mission, conducted entirely by guest observers selected by NASA, will be devoted to spectroscopic observations of EUV sources. The performance of the instrument components is described. An end to end model of the mission, from a stellar source to the resulting scientific data, was constructed. Hypothetical data from astronomical sources processed through this model are shown.

  17. Short wavelength abedo, contrasts and micro-organisms on Venus

    NASA Astrophysics Data System (ADS)

    Limaye, Sanjay; Słowik, Grzegorgz; Ansari, Arif; Smith, David; Mogul, Rakesh; Vaishampayan, Parag

    2017-04-01

    The decrease in the amount of sunlight reflected by Venus at wavelengths below 500 nm, and the presence of contrast features prominent at ultraviolet wavelengths (270 - 410 nm) are two properties of the Venus clouds that despite numerous attempts, remain unexplained. Additional uncertainties include why the contrasts exist at all, and why the substance responsible for the contrasts does not appear well mixed. Nearly a century after the ultraviolet contrasts were discovered using Earth-based photographs, the substance or mechanisms responsible for the lower albedo and contrast patterns are still unknown. Many physical and chemical explanations have been proposed, but none of the hypotheses explain decrease of albedo below 500 nm, the spectral dependence of contrasts, and plausible mechanisms for presence or transport of those substances - transport from surface if the absorber is a condensation nuclei or transformations if in dissolved form due to photochemistry and the observed rapid changes in the contrasts. Considering the ultraviolet absorption shown by some terrestrial microorganisms, we speculate whether airborne bacteria (indigenous or introduced through meteoritic impact debris transported from Earth) could explain the mysterious contrast or the absorption cloud features on Venus. Plumes of cloud-borne aeroplankton, analogous to phytoplankton in Earth's oceans which are in dense enough concentrations to be observed from space, may have evolved on Venus when the planet had liquid water on its early surface, eventually migrating to a habitable zone in the clouds 50-70 km above the inhospitably hot surface today.

  18. Microgap ultra-violet detector

    DOEpatents

    Wuest, Craig R.; Bionta, Richard M.

    1994-01-01

    A microgap ultra-violet detector of photons with wavelengths less than 400 run (4000 Angstroms) which comprises an anode and a cathode separated by a gas-filled gap and having an electric field placed across the gap. Either the anode or the cathode is semi-transparent to UV light. Upon a UV photon striking the cathode an electron is expelled and accelerated across the gap by the electric field causing interactions with other electrons to create an electron avalanche which contacts the anode. The electron avalanche is detected and converted to an output pulse.

  19. Relativistic frequency upshift to the extreme ultraviolet regime using self-induced oscillatory flying mirrors

    PubMed Central

    Kim, I Jong; Pae, Ki Hong; Kim, Chul Min; Kim, Hyung Taek; Yun, Hyeok; Yun, Sang Jae; Sung, Jae Hee; Lee, Seong Ku; Yoon, Jin Woo; Yu, Tae Jun; Jeong, Tae Moon; Nam, Chang Hee; Lee, Jongmin

    2012-01-01

    Coherent short-wavelength radiation from laser–plasma interactions is of increasing interest in disciplines including ultrafast biomolecular imaging and attosecond physics. Using solid targets instead of atomic gases could enable the generation of coherent extreme ultraviolet radiation with higher energy and more energetic photons. Here we present the generation of extreme ultraviolet radiation through coherent high-harmonic generation from self-induced oscillatory flying mirrors—a new-generation mechanism established in a long underdense plasma on a solid target. Using a 30-fs, 100-TW Ti:sapphire laser, we obtain wavelengths as short as 4.9 nm for an optimized level of amplified spontaneous emission. Particle-in-cell simulations show that oscillatory flying electron nanosheets form in a long underdense plasma, and suggest that the high-harmonic generation is caused by reflection of the laser pulse from electron nanosheets. We expect this extreme ultraviolet radiation to be valuable in realizing a compact X-ray instrument for research in biomolecular imaging and attosecond physics. PMID:23187631

  20. Ultraviolet Spectra of Subluminous Objects Found in the Kiso Schmidt Survey and Systematic Reanalysis of the Archived Ultraviolet Spectra of White Dwarfs Observed with the IUE Satellite Under the Astrophysics Data Program (ADP)

    NASA Technical Reports Server (NTRS)

    Wegner, Gary A.

    1988-01-01

    Recent research under NASA grant NAG5-971 consisted of the performance of two projects in conjunction with the International Ultraviolet Explorer (IUE) satellites. These are: (1) to look at the ultraviolet spectra of subluminous stars identified from visual wavelength spectroscopy that had been originally discovered from the Kiso Schmidt survey for ultraviolet excess stars and (2) to carry out a systematic reanalysis of the archived IUE spectra of white dwarfs. This report presents information on the progress of the re-reduction of over 600 IUE white dwarf spectra and their subsequent analysis employing model atmospheres and the observation of the Kiso ultraviolet excess stars.

  1. The ultraviolet variability of early-type supergiants

    NASA Technical Reports Server (NTRS)

    Underhill, A. B.

    1984-01-01

    Four early-type supergiants - HD 79186 (B5 Ia), HD 96919 (B9 Ia), HD 105056 (ON9.7 Iae), and HD 148379 (B2 Iae) - have been observed with the low-resolution spectrographs of IUE in the large aperture on 14 days. The behavior of the ultraviolet fluxes with time is studied. The light from all four stars seems to vary. Typically the dispersion about the mean magnitude at any wavelength corresponds to + or - 0.085, + or - 0.080, + or - 0.101, and + or - 0.106 mag, respectively. These amplitudes exceed the typical uncertainty in an IUE measurement of flux by about a factor of 3; they are somewhat larger than the variations known in the visible wavelength range. There are insufficient data to investigate periodicity in the observed light changes. The effective temperatures and angular diameters of the stars have been estimated using the present ultraviolet photometry, published UBV and uvby photometry, and the model-atmosphere fluxes reported by Kurucz in 1979. The program stars have dimensions typical for their spectral types. A brief discussion is given of possible causes of the variability of hot supergiants.

  2. Observed ozone response to variations in solar ultraviolet radiation

    NASA Technical Reports Server (NTRS)

    Gille, J. C.; Smythe, C. M.; Heath, D. F.

    1984-01-01

    During the winter of 1979, the solar ultraviolet irradiance varied with a period of 13.5 days and an amplitude of 1 percent. The zonal mean ozone values in the tropics varied with the solar irradiance, with an amplitude of 0.25 to 0.60 percent. This observation agrees with earlier calculations, although the response may be overestimated. These results imply changes in ozone at an altitude of 48 kilometers of up to 12 percent over an 11-year solar cycle. Interpretation of ozone changes in the upper stratosphere will require measurements of solar ultraviolet radiation at wavelengths near 200 nanometers.

  3. Oxygen isotope fractionation in the vacuum ultraviolet photodissociation of carbon monoxide: Wavelength, pressure and temperature dependency.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chakraborty, Subrata; Davis, Ryan; Ahmed, Musahid

    Several absorption bands exist in the VUV region of Carbon monoxide (CO). Emission spectra indicate that these bands are all predissociative. An experimental investigation of CO photodissociation by vacuum ultraviolet photons (90 to 108 nm; ~13 to 11 eV) from the Advanced Light Source Synchrotron and direct measurement of the associated oxygen isotopic composition of the products are presented here. A wavelength dependency of the oxygen isotopic composition in the photodissociation product was observed. Slope values (δ'{sup 18}O/ δ'{sup 17}O) ranging from 0.76 to 1.32 were observed in oxygen three-isotope space (δ'{sup 18}O vs. δ'{sup 17}O) which correlated with increasingmore » synchrotron photon energy, and indicate a dependency of the upper electronic state specific dissociation dynamics (e.g., perturbation and coupling associated with a particular state). An unprecedented magnitude in isotope separation was observed for photodissociation at the 105 and 107 nm synchrotron bands and are found to be associated with accidental predissociation of the vibrational states ({nu} = 0 and 1) of the upper electronic state E{sup 1}Π. For each synchrotron band, a large (few hundred per mil) extent of isotopic fractionation was observed and the range of fractionation is a combination of column density and exposure time. A significant temperature dependency in oxygen isotopic fractionation was observed, indicating a rotational level dependency in the predissociation process.« less

  4. The Copernicus ultraviolet spectral atlas Tau Scorpii

    NASA Technical Reports Server (NTRS)

    Rogerson, J. B., Jr.; Upson, W. L., II

    1977-01-01

    An ultraviolet spectral atlas was presented for the B0 V star, Tau Scorpii. It was scanned from 949 to 1560 A by the Princeton spectrometer aboard the Copernicus satellite. From 949 to 1420 A the observations have a nominal resolution of 0.05 A. At the longer wavelengths, the resolution was 0.1 A. The atlas was presented in both tables and graphs.

  5. Hermite scatterers in an ultraviolet sky

    NASA Astrophysics Data System (ADS)

    Parker, Kevin J.

    2017-12-01

    The scattering from spherical inhomogeneities has been a major historical topic in acoustics, optics, and electromagnetics and the phenomenon shapes our perception of the world including the blue sky. The long wavelength limit of ;Rayleigh scattering; is characterized by intensity proportional to k4 (or λ-4) where k is the wavenumber and λ is the wavelength. With the advance of nanotechnology, it is possible to produce scatterers that are inhomogeneous with material properties that are functions of radius r, such as concentric shells. We demonstrate that with proper choice of material properties linked to the Hermite polynomials in r, scatterers can have long wavelength scattering behavior of higher powers: k8, k16, and higher. These ;Hermite scatterers; could be useful in providing unique signatures (or colors) to regions where they are present. If suspended in air under white light, the back-scattered spectrum would be shifted from blue towards violet and then ultraviolet as the higher order Hermite scatterers were illuminated.

  6. ANS ultraviolet observations of dwarf Cepheids

    NASA Astrophysics Data System (ADS)

    Sturch, C. R.; Wu, C.-C.

    1983-03-01

    Ultraviolet observations of three dwarf Cepheids (VZ Cnc, SX Phe, and AI Vel) are presented. The UV light curves are consistent with those in the visual region. When compared to standard stars, all three dwarf Cepheids exhibit flux deficiencies at the shortest observed wavelengths. The most extreme deficiencies appear for SX Phe; these may be related to the other properties previously noted for this star, including low metallicity, high space motion, and low luminosity.

  7. Laser-excited fluorescence of rare earth elements in fluorite: Initial observations with a laser Raman microprobe

    USGS Publications Warehouse

    Burruss, R.C.; Ging, T.G.; Eppinger, R.G.; Samson, a.M.

    1992-01-01

    Fluorescence emission spectra of three samples of fluorite containing 226-867 ppm total rare earth elements (REE) were excited by visible and ultraviolet wavelength lines of an argon ion laser and recorded with a Raman microprobe spectrometer system. Narrow emission lines ( 0.9 for Eu2+ and 0.99 for Er3+. Detection limits for three micrometer spots are about 0.01 ppm Eu2+ and 0.07 ppm Er3+. These limits are less than chondrite abundance for Eu and Er, demonstrating the potential microprobe analytical applications of laser-excited fluorescence of REE in fluorite. However, application of this technique to common rock-forming minerals may be hampered by competition between fluorescence emission and radiationless energy transfer processes involving lattice phonons. ?? 1992.

  8. UNLAMINATED GAFCHROMIC EBT3 FILM FOR ULTRAVIOLET RADIATION MONITORING.

    PubMed

    Welch, David; Randers-Pehrson, Gerhard; Spotnitz, Henry M; Brenner, David J

    2017-11-01

    Measurement of ultraviolet (UV) radiation is important for human health, especially with the expanded usage of short wavelength UV for sterilization purposes. This work examines unlaminated Gafchromic EBT3 film for UV radiation monitoring. The authors exposed the film to select wavelengths in the UV spectrum, ranging from 207 to 328 nm, and measured the change in optical density. The response of the film is wavelength dependent, and of the wavelengths tested, the film was most sensitive to 254 nm light, with measurable values as low as 10 µJ/cm2. The film shows a dose-dependent response that extends over more than four orders of magnitude. The response of the film to short wavelength UV is comparable to the daily safe exposure limits for humans, thus making it valuable as a tool for passive UV radiation monitoring. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  9. A mini-review on rare earth metal-doped TiO2 for photocatalytic remediation of wastewater.

    PubMed

    Saqib, Najm Us; Adnan, Rohana; Shah, Irfan

    2016-08-01

    Titanium dioxide (TiO2) has been considered a useful material for the treatment of wastewater due to its non-toxic character, chemical stability and excellent electrical and optical properties which contribute in its wide range of applications, particularly in environmental remediation technology. However, the wide band gap of TiO2 photocatalyst (anatase phase, 3.20 eV) limits its photocatalytic activity to the ultraviolet region of light. Besides that, the electron-hole pair recombination has been found to reduce the efficiency of the photocatalyst. To overcome these problems, tailoring of TiO2 surface with rare earth metals to improve its surface, optical and photocatalytic properties has been investigated by many researchers. The surface modifications with rare earth metals proved to enhance the efficiency of TiO2 photocatalyts by way of reducing the band gap by shifting the working wavelength to the visible region and inhibiting the anatase-to-rutile phase transformations. This review paper summarises the attempts on modification of TiO2 using rare earth metals describing their effect on the photocatalytic activities of the modified TiO2 photocatalyst.

  10. [Effect of long-wave ultraviolet light (UV-A) and medium-wave ultraviolet rays (UV-B) on human skin. Critical comparison].

    PubMed

    Raab, W

    1980-04-15

    When discussing the effects of ultraviolet radiation on human skin, one should carefully distinguish between the long wave ultraviolet light (UV-A) and the short wave radiations (UV-B and UV-C). Ultraviolet A induces immediate pigmentation but, if high energies are applied, a permanent pigmentation is elicited. This type of ultraviolet A-induced pigmentation has been called "spontaneous" pigmentation as no erythematous reaction is necessary to induce or accelerate melanine formation. Ultraviolet B provokes erythema and consecutive pigmentation. Upon chronic exposure, ultraviolet B causes the wellknown actinic damage of the skin and even provokes carcinoma. With exposures to the sunlight (global radiation), one should be most careful. The public must be informed extensively about the dangers of excessive sunbaths. The use of artificial "suns" with spectra between 260 and 400 nm is limited as it may cause the same type of damage as the global radiation. An exact schedule for use of artificial lamps is strongly recommended. After one cycle of exposures, an interruption is necessary until the next cycle of irradiations may start. Upon continual use for tanning of the skin, artificial lamps may provoke irreversible damage of the skin. Radiation sources with emission spectra of wavelengths between 315 and 400 nm exclusively are well suited for the induction of skin pigmentation (cosmetic use). Potent radiation such as UVASUN systems provoke a "pleasant" permanent pigmentation after exposures for less than one hour. The use of ultraviolet A (UV-A) does not carry any risk for the human skin.

  11. Planarian Phototactic Assay Reveals Differential Behavioral Responses Based on Wavelength.

    PubMed

    Paskin, Taylor R; Jellies, John; Bacher, Jessica; Beane, Wendy S

    2014-01-01

    Planarians are free-living aquatic flatworms that possess a well-documented photophobic response to light. With a true central nervous system and simple cerebral eyes (ocelli), planarians are an emerging model for regenerative eye research. However, comparatively little is known about the physiology of their photoreception or how their behavior is affected by various wavelengths. Most phototactic studies have examined planarian behavior using white light. Here, we describe a novel planarian behavioral assay to test responses to small ranges of visible wavelengths (red, blue, green), as well as ultraviolet (UV) and infrared (IR) which have not previously been examined. Our data show that planarians display behavioral responses across a range of wavelengths. These responses occur in a hierarchy, with the shortest wavelengths (UV) causing the most intense photophobic responses while longer wavelengths produce no effect (red) or an apparent attraction (IR). In addition, our data reveals that planarian photophobia is comprised of both a general photophobic response (that drives planarians to escape the light source regardless of wavelength) and wavelength-specific responses that encompass specific behavioral reactions to individual wavelengths. Our results serve to improve the understanding of planarian phototaxis and suggest that behavioral studies performed with white light mask a complex behavioral interaction with the environment.

  12. A far-ultraviolet atlas of symbiotic stars observed with IUE. 1. The SWP range

    NASA Technical Reports Server (NTRS)

    Meier, S. R.; Kafatos, M.; Fahey, R. P.; Michalitsianos, A. G.

    1994-01-01

    This atlas contains sample spectra from the far-ultraviolet observations of 32 symbiotic stars obtained with the International Ultraviolet Explorer (IUE) satellite. In all, 394 low-resolution spectra from the short-wavelength primary (SWP) camera covering the range 1200-2000 A have been extracted from the IUE archive, calibrated, and measured. Absolute line fluxes and wavelengths for the prominent emission lines have been tabulated. Tables of both the general properties of these symbiotics and of features specific to the spectrum of each are included. The spectra shown are representative of the different classes of symbiotic stars that are currently in the IUE archive. These include known eclipsing systems and those that have been observed in outburst (as well as quiescence).

  13. ASSESSMENT OF THE RISK OF SOLAR ULTRAVIOLET RADIATION TO AMPHIBIANS. II: IN SITU CHARACTERIZATION OF SOLAR ULTRAVIOLET RADIATION IN AMPHIBIAN HABITATS

    EPA Science Inventory

    Ultraviolet B (UVB) radiation has been hypothesized as a potential cause of amphibian population declines and increased incidences of malformations. Realistic studies documenting UV irradiance or dose have rarely been conducted in wetlands used by amphibians. We demonstrate that ...

  14. Aluminum nanostructures for ultraviolet plasmonics

    NASA Astrophysics Data System (ADS)

    Martin, Jérôme; Khlopin, Dmitry; Zhang, Feifei; Schuermans, Silvère; Proust, Julien; Maurer, Thomas; Gérard, Davy; Plain, Jérôme

    2017-08-01

    An electromagnetic field is able to produce a collective oscillation of free electrons at a metal surface. This allows light to be concentrated in volumes smaller than its wavelength. The resulting waves, called surface plasmons can be applied in various technological applications such as ultra-sensitive sensing, Surface Enhanced Raman Spectroscopy, or metal-enhanced fluorescence, to name a few. For several decades plasmonics has been almost exclusively studied in the visible region by using nanoparticles made of gold or silver as these noble metals support plasmonic resonances in the visible and near-infrared range. Nevertheless, emerging applications will require the extension of nano-plasmonics toward higher energies, in the ultraviolet range. Aluminum is one of the most appealing metal for pushing plasmonics up to ultraviolet energies. The subsequent applications in the field of nano-optics are various. This metal is therefore a highly promising material for commercial applications in the field of ultraviolet nano-optics. As a consequence, aluminum (or ultraviolet, UV) plasmonics has emerged quite recently. Aluminium plasmonics has been demonstrated efficient for numerous potential applications including non-linear optics, enhanced fluorescence, UV-Surface Enhanced Raman Spectroscopy, optoelectronics, plasmonic assisted solid-state lasing, photocatalysis, structural colors and data storage. In this article, different preparation methods developed in the laboratory to obtain aluminum nanostructures with different geometries are presented. Their optical and morphological characterizations of the nanostructures are given and some proof of principle applications such as fluorescence enhancement are discussed.

  15. Far ultraviolet wide field imaging and photometry - Spartan-202 Mark II Far Ultraviolet Camera

    NASA Technical Reports Server (NTRS)

    Carruthers, George R.; Heckathorn, Harry M.; Opal, Chet B.; Witt, Adolf N.; Henize, Karl G.

    1988-01-01

    The U.S. Naval Research Laboratory' Mark II Far Ultraviolet Camera, which is expected to be a primary scientific instrument aboard the Spartan-202 Space Shuttle mission, is described. This camera is intended to obtain FUV wide-field imagery of stars and extended celestial objects, including diffuse nebulae and nearby galaxies. The observations will support the HST by providing FUV photometry of calibration objects. The Mark II camera is an electrographic Schmidt camera with an aperture of 15 cm, a focal length of 30.5 cm, and sensitivity in the 1230-1600 A wavelength range.

  16. The ultraviolet interstellar extinction curve in the Pleiades

    NASA Technical Reports Server (NTRS)

    Witt, A. N.; Bohlin, R. C.; Stecher, T. P.

    1981-01-01

    The wavelength dependence of ultraviolet extinction in the Pleiades dust clouds has been determined from IUE observations of HD 23512, the brightest heavily reddened member of the Pleiades cluster. There is evidence for an anomalously weak absorption bump at 2200 A, followed by an extinction rise in the far ultraviolet with an essentially normal slope. A relatively weak absorption band at 2200 A and a weak diffuse absorption band at 4430 A seem to be common characteristics of dust present in dense clouds. Evidence is presented which suggests that the extinction characteristics found for HD 23512 are typical for a class of extinction curves observed in several cases in the Galaxy and in the LMC.

  17. Improved Lyman Ultraviolet Astronomy Capabilities through Enhanced Coatings

    NASA Technical Reports Server (NTRS)

    Quijada, Manuel A.; del Hoyo, Javier; Boris, David; Walton, Scott

    2017-01-01

    This paper will describe efforts at developing broadband mirror coatings with high performance that will extend from infrared wavelengths down to the Far-Ultraviolet (FUV) spectral region. These mirror coatings would be realized by passivating the surface of freshly made aluminum coatings with XeF2 gas in order to form a thin AlF$_3$ overcoat that will protect the aluminum from oxidation and, hence, realize the high-reflectance of this material down to its intrinsic cut-off wavelength of 90 nm. Improved reflective coatings for optics, particularly in the FUV region (90-120 nm), could yield dramatically more sensitive instruments and permit more instrument design freedom.

  18. Tunable magic wavelengths for trapping with focused Laguerre-Gaussian beams

    NASA Astrophysics Data System (ADS)

    Bhowmik, Anal; Dutta, Narendra Nath; Majumder, Sonjoy

    2018-02-01

    We present in this paper a theory of dynamic polarizability for an atomic state due to an external field of nonparaxial Laguerre-Gaussian (LG) beam using the sum-over-states technique. A highly correlated relativistic coupled-cluster theory is used to evaluate the most important and correlation-sensitive parts of the sum. The theory is applied on Sr+ to determine the magic wavelengths for 5 s1 /2→4 d3 /2,4 d5 /2 transitions. Results show the variation of magic wavelengths with the choice of orbital and spin angular momenta of the incident LG beam. Also, the tunability of the magic wavelengths is studied by using the focusing angle of the LG beam and its efficiency in the near-infrared region is observed. Evaluations of the wide spectrum of magic wavelengths from infrared to ultraviolet have substantial importance to experimentalists for carrying out high-precision measurements in fundamental physics. These magic wavelengths can be used to confine the atom or ion at the dark central node or at the high-intensity ring of the LG beam.

  19. Ultraviolet emission in Tm3+-doped fluoride fiber pumped with two infrared wavelengths

    NASA Astrophysics Data System (ADS)

    Mejía, E. B.

    2006-12-01

    An infrared, two-wavelength pumping scheme for generating UV in Tm3+-doped fibers is investigated and proposed as an alternative because the pump wavelengths are accessible from laser diodes. Spectral characterizations of fiber samples with different concentrations revealed that moderate concentrations are best suitable to produce UV (348-362nm) emission when single—or double-line pumping with 1117 and 725nm. Detailed spectroscopic measurements realized to the fiber with the best performance, the 2000ppmwt, allowed to obtain the copumping wavelengths (in the ˜725nm region) that enhanced the UV emission. For example, when applying tens of milliwatts at 725nm, which represented a 28% increase of total pump power, the UV emission increased in an avalanchelike fashion up to three orders of magnitude. Then, a high-power 1117nm source that currently exists in the market and a moderate power 725nm source under development are possible to be used as pumps for this scheme.

  20. Microgap ultra-violet detector

    DOEpatents

    Wuest, C.R.; Bionta, R.M.

    1994-09-20

    A microgap ultra-violet detector of photons with wavelengths less than 400 run (4,000 Angstroms) which comprises an anode and a cathode separated by a gas-filled gap and having an electric field placed across the gap is disclosed. Either the anode or the cathode is semi-transparent to UV light. Upon a UV photon striking the cathode an electron is expelled and accelerated across the gap by the electric field causing interactions with other electrons to create an electron avalanche which contacts the anode. The electron avalanche is detected and converted to an output pulse. 2 figs.

  1. First ultraviolet spectropolarimetry of Be stars from the Wisconsin Ultraviolet Photo-Polarimeter Experiment

    NASA Technical Reports Server (NTRS)

    Bjorkman, K. S.; Nordsieck, K. H.; Code, A. D.; Anderson, C. M.; Babler, B. L.; Clayton, G. C.; Magalhaes, A. M.; Meade, M. R.; Nook, M. A.; Schulte-Ladbeck, R. E.

    1991-01-01

    The first UV spectropolarimetric observations of Be stars are presented. They were obtained with the Wisconsin Ultraviolet Photo-Polarimeter Experiment (WUPPE) aboard the Astro-1 mission. WUPPE data on the Be stars Zeta Tau and Pi Aqr, along with near-simultaneous optical data obtained at the Pine Bluff Observatory (PBO). Combined WUPPE and PBO data give polarization as a function of wavelength across a very broad spectral region, from 1400 to 7600 A. Existing Be star models predicted increasing polarization toward shorter wavelengths in the UV, but this is not supported by the WUPPE observations. Instead, the observations show a constant or slightly declining continuum polarization shortward of the Balmer jump, and broad UV polarization dips around 1700 and 1900 A, which may be a result of Fe-line-attenuation effects on the polarized flux. Supporting evidence for this conclusion comes from the optical data, in which decreases in polarization across Fe II lines in Zeta Tau were discovered.

  2. Quantum state engineering with ultra-short-period (AlN)m/(GaN)n superlattices for narrowband deep-ultraviolet detection.

    PubMed

    Gao, Na; Lin, Wei; Chen, Xue; Huang, Kai; Li, Shuping; Li, Jinchai; Chen, Hangyang; Yang, Xu; Ji, Li; Yu, Edward T; Kang, Junyong

    2014-12-21

    Ultra-short-period (AlN)m/(GaN)n superlattices with tunable well and barrier atomic layer numbers were grown by metal-organic vapour phase epitaxy, and employed to demonstrate narrowband deep ultraviolet photodetection. High-resolution transmission electron microscopy and X-ray reciprocal space mapping confirm that superlattices containing well-defined, coherently strained GaN and AlN layers as thin as two atomic layers (∼ 0.5 nm) were grown. Theoretical and experimental results demonstrate that an optical absorption band as narrow as 9 nm (210 meV) at deep-ultraviolet wavelengths can be produced, and is attributable to interband transitions between quantum states along the [0001] direction in ultrathin GaN atomic layers isolated by AlN barriers. The absorption wavelength can be precisely engineered by adjusting the thickness of the GaN atomic layers because of the quantum confinement effect. These results represent a major advance towards the realization of wavelength selectable and narrowband photodetectors in the deep-ultraviolet region without any additional optical filters.

  3. Health Sensing Functions in Thermal Barrier Coatings Incorporating Rare-Earth-Doped Luminescent Sublayers

    NASA Technical Reports Server (NTRS)

    Eldridge, J. I.; Singh, J.; Wolfe, D. E.

    2004-01-01

    Great effort has been directed towards developing techniques to monitor the health of thermal barrier coatings (TBCs) that would detect the approach of safety-threatening conditions. An unconventional approach is presented here where health sensing functionality is integrated into the TBC itself by the incorporation of rare-earth-doped luminescent sublayers to monitor erosion as well as whether the TBC is maintaining the underlying substrate at a sufficiently low temperature. Erosion indication is demonstrated in electron-beam physical vapor deposited (EB-PVD) TBCs consisting of 7wt% yttria-stabilized zirconia (7YSZ) with europium-doped and terbium-doped sublayers. Multiple ingot deposition produced sharp boundaries between the doped sublayers without interrupting the columnar growth of the TBC. The TBC-coated specimens were subjected to alumina particle jet erosion, and the erosion depth was then indicated under ultraviolet illumination that excited easily visible luminescence characteristic of sublayer that was exposed by erosion. In addition, temperature measurements from a bottom-lying europium-doped sublayer in a TBC produced by multiple ingot EB-PVD were accomplished by measuring the temperature-dependent decay time from the 606 nm wavelength emission excited in that sublayer with a 532 nm wavelength laser that was selected for its close match to one of the europium excitation wavelengths as well as being at a wavelength where the TBC is relatively transparent. It is proposed the low dopant levels and absence of interruption of the TBC columnar growth allow the addition of the erosion and temperature sensing functions with minimal effects on TBC performance.

  4. Mechanism of wavelength conversion in polystyrene doped with benzoxanthene: emergence of a complex.

    PubMed

    Nakamura, Hidehito; Shirakawa, Yoshiyuki; Kitamura, Hisashi; Sato, Nobuhiro; Shinji, Osamu; Saito, Katashi; Takahashi, Sentaro

    2013-01-01

    Fluorescent guest molecules doped in polymers have been used to convert ultraviolet light into visible light for applications ranging from optical fibres to filters for the cultivation of plants. The wavelength conversion process involves the absorption of light at short wavelengths followed by fluorescence emission at a longer wavelength. However, a precise understanding of the light conversion remains unclear. Here we show light responses for a purified polystyrene base substrates doped with fluorescent benzoxanthene in concentrations varied over four orders of magnitude. The shape of the excitation spectrum for fluorescence emission changes significantly with the concentration of the benzoxanthene, indicating formation of a base substrate/fluorescent molecule complex. Furthermore, the wavelength conversion light yield increases in three stages depending on the nature of the complex. These findings identify a mechanism that will have many applications in wavelength conversion materials.

  5. Mechanism of wavelength conversion in polystyrene doped with benzoxanthene: emergence of a complex

    PubMed Central

    Nakamura, Hidehito; Shirakawa, Yoshiyuki; Kitamura, Hisashi; Sato, Nobuhiro; Shinji, Osamu; Saito, Katashi; Takahashi, Sentaro

    2013-01-01

    Fluorescent guest molecules doped in polymers have been used to convert ultraviolet light into visible light for applications ranging from optical fibres to filters for the cultivation of plants. The wavelength conversion process involves the absorption of light at short wavelengths followed by fluorescence emission at a longer wavelength. However, a precise understanding of the light conversion remains unclear. Here we show light responses for a purified polystyrene base substrates doped with fluorescent benzoxanthene in concentrations varied over four orders of magnitude. The shape of the excitation spectrum for fluorescence emission changes significantly with the concentration of the benzoxanthene, indicating formation of a base substrate/fluorescent molecule complex. Furthermore, the wavelength conversion light yield increases in three stages depending on the nature of the complex. These findings identify a mechanism that will have many applications in wavelength conversion materials. PMID:23974205

  6. The Inherent Visible Light Signature of an Intense Underwater Ultraviolet Light Source Due to Combined Raman and Fluorescence Effects

    DTIC Science & Technology

    2000-01-01

    Humans cannot see ultraviolet light. The blue-sensitive cones in the retina would respond weakly to ultraviolet wavelengths if exposed to them, but...545, 1992. 3. C. S. Yentsch, and D. A. Phinney, " Autofluorescence and Raman scattering in the marine underwater environment," Ocean Optics X, SPIE

  7. Multi-wavelength observations of the peculiar red giant HR 3126

    NASA Technical Reports Server (NTRS)

    Pesce, Joseph E.; Stencel, Robert E.; Walter, Frederick M.; Doggett, Jesse; Dachs, Joachim; Whitelock, Patricia A.; Mundt, Reinhard

    1988-01-01

    Ultraviolet observations of the red giant HR 3126 are combined with multi-wavelength data in order to provide a firmer basis for explaining the arc-minute sized nebula surrounding the object. Possibilities as to the location of HR 3126 on the Hertzsprung-Russel diagram, and to the formation mechanisms of the reflection nebula IC 2220 associated with it, are summarized.

  8. Rosetta photoelectron emission and solar ultraviolet flux at comet 67P

    NASA Astrophysics Data System (ADS)

    Johansson, Fredrik L.; Odelstad, E.; Paulsson, J. J. P.; Harang, S. S.; Eriksson, A. I.; Mannel, T.; Vigren, E.; Edberg, N. J. T.; Miloch, W. J.; Simon Wedlund, C.; Thiemann, E.; Eparvier, F.; Andersson, L.

    2017-07-01

    The Langmuir Probe instrument on Rosetta monitored the photoelectron emission current of the probes during the Rosetta mission at comet 67P/Churyumov-Gerasimenko, in essence acting as a photodiode monitoring the solar ultraviolet radiation at wavelengths below 250 nm. We have used three methods of extracting the photoelectron saturation current from the Langmuir probe measurements. The resulting data set can be used as an index of the solar far and extreme ultraviolet at the Rosetta spacecraft position, including flares, in wavelengths which are important for photoionization of the cometary neutral gas. Comparing the photoemission current to data measurements by MAVEN/EUVM and TIMED/SEE, we find good correlation when 67P was at large heliocentric distances early and late in the mission, but up to 50 per cent decrease of the expected photoelectron current at perihelion. We discuss possible reasons for the photoemission decrease, including scattering and absorption by nanograins created by disintegration of cometary dust far away from the nucleus.

  9. Dual-excitation wavelength resonance Raman explosives detector

    NASA Astrophysics Data System (ADS)

    Yellampalle, Balakishore; Sluch, Mikhail; Wu, Hai-Shan; Martin, Robert; McCormick, William; Ice, Robert; Lemoff, Brian E.

    2013-05-01

    Deep-ultraviolet resonance Raman spectroscopy (DUVRRS) is a promising approach to stand-off detection of explosive traces due to: 1) resonant enhancement of Raman cross-section, 2) λ-4-cross-section enhancement, and 3) fluorescence and solar background free signatures. For trace detection, these signal enhancements more than offset the small penetration depth due to DUV absorption. A key challenge for stand-off sensors is to distinguish explosives, with high confidence, from a myriad of unknown background materials that may have interfering spectral peaks. To address this, we are developing a stand-off explosive sensor using DUVRRS with two simultaneous DUV excitation wavelengths. Due to complex interplay of resonant enhancement, self-absorption and laser penetration depth, significant amplitude variation is observed between corresponding Raman bands with different excitation wavelengths. These variations with excitation wavelength provide an orthogonal signature that complements the traditional Raman signature to improve specificity relative to single-excitation-wavelength techniques. As part of this effort, we are developing two novel CW DUV lasers, which have potential to be compact, and a compact dual-band high throughput DUV spectrometer, capable of simultaneous detection of Raman spectra in two spectral windows. We have also developed a highly sensitive algorithm for the detection of explosives under low signal-to-noise situations.

  10. The Copernicus ultraviolet spectral atlas of Gamma Pegasi

    NASA Technical Reports Server (NTRS)

    Rogerson, J. B., Jr.

    1985-01-01

    An ultraviolet spectral atlas is presented for the B2 IV star Gamma Pegasi, which has been scanned from 970 to 1501 A by the Princeton spectrometer aboard the Copernicus satellite. From 970 to 1430 A the observations have a nominal resolution of 0.05 A. At the longer wavelengths the resolution is 0.1 A. The atlas is presented in graphs. Line identifications are also listed.

  11. The Copernicus ultraviolet spectral atlas of Tau Scorpii

    NASA Technical Reports Server (NTRS)

    Rogerson, J. B., Jr.; Upson, W. L., II

    1977-01-01

    An ultraviolet spectral atlas is presented for the B0 V star, Tau Scorpii. It has been scanned from 949 to 1560 A by the Princeton spectrometer aboard the Copernicus satellite. From 949 to 1420 A the observations have a nominal resolution of 0.05 A. At the longer wavelengths, the resolution is 0.1 A. The atlas is presented in both tables and graphs.

  12. The Extreme Ultraviolet Explorer - Optics fabrication and performance

    NASA Technical Reports Server (NTRS)

    Green, J.; Finley, D.; Bowyer, S.; Malina, R. F.

    1986-01-01

    The fabrication methods, testing and evaluation techniques, and performance results are presented for the mirrors for the Extreme Ultraviolet Explorer (EUVE). The finest mirror produced to date has a measured half energy width of 8 arcsec at optical wavelengths. With a polished nickel surface, the telescope throughput was 35 percent at 44 A and 60 percent at 256 A. The surface roughness is 20 A rms.

  13. Deep ultraviolet light-emitting and laser diodes

    NASA Astrophysics Data System (ADS)

    Khan, Asif; Asif, Fatima; Muhtadi, Sakib

    2016-02-01

    Nearly all the air-water purification/polymer curing systems and bio-medical instruments require 250-300 nm wavelength ultraviolet light for which mercury lamps are primarily used. As a potential replacement for these hazardous mercury lamps, several global research teams are developing AlGaN based Deep Ultraviolet (DUV) light emitting diodes (LEDs) and DUV LED Lamps and Laser Diodes over Sapphire and AlN substrates. In this paper, we review the current research focus and the latest device results. In addition to the current results we also discuss a new quasipseudomorphic device design approach. This approach which is much easier to integrate in a commercial production setting was successfully used to demonstrate UVC devices on Sapphire substrates with performance levels equal to or better than the conventional relaxed device designs.

  14. Ultraviolet spectroscopy of meteoric debris of comets

    NASA Technical Reports Server (NTRS)

    Wdowiak, T. J.; Kubinec, W. R.; Nuth, J. A.

    1986-01-01

    It is proposed to carry out slitless spectroscopy at ultraviolet wavelengths from orbit of meteoric debris associated with comets. The Eta Aquarid and Orionid/Halley and the Perseid/1962 862 Swift-Tuttle showers would be principal targets. Low light level, ultraviolet video technique will be used during night side of the orbit in a wide field, earthward viewing mode. Data will be stored in compact video cassette recorders. The experiment may be configured as a GAS package or in the HITCHHIKER mode. The latter would allow flexible pointing capability beyond that offered by shuttle orientation of the GAS package, and doubling of the data record. The 1100 to 3200 A spectral region should show emissions of atomic, ionic, and molecular species of interest on cometary and solar system studies.

  15. The Effects of Space Weathering at UV Wavelengths: S-Class Asteroids

    NASA Technical Reports Server (NTRS)

    Hendrix, Amanda R.; Vilas, Faith

    2006-01-01

    We present evidence that space weathering manifests itself at near-UV wavelengths as a bluing of the spectrum, in contrast with the spectral reddening that has been seen at visible-near-IR wavelengths. Furthermore, the effects of space weathering at UV wavelengths tend to appear with less weathering than do the longer wavelength effects, suggesting that the UV wavelength range is a more sensitive indicator of weathering, and thus age. We report results from analysis of existing near-UV (approx.220-350 nm) measurements of S-type asteroids from the International Ultraviolet Explorer and the Hubble Space Telescope and comparisons with laboratory measurements of meteorites to support this hypothesis. Composite spectra of S asteroids are produced by combining UV spacecraft data with ground-based longer wavelength data. At visible-near-IR wavelengths, S-type asteroids are generally spectrally redder (and darker) than ordinary chondrite meteorites, whereas the opposite is generally true at near-UV wavelengths. Similarly, laboratory measurements of lunar samples show that lunar soils (presumably more weathered) are spectrally redder at longer wavelengths, and spectrally bluer at near-UV wavelengths, than less weathered crushed lunar rocks. The UV spectral bluing may be a result of the addition of nanophase iron to the regolith through the weathering process. The UV bluing is most prominent in the 300-400 nm range, where the strong UV absorption edge is degraded with weathering.

  16. 21 CFR 1040.20 - Sunlamp products and ultraviolet lamps intended for use in sunlamp products.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... irradiation of any part of the living human body, by ultraviolet radiation with wavelengths in air between 200..., DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) RADIOLOGICAL HEALTH PERFORMANCE STANDARDS FOR LIGHT...

  17. 21 CFR 1040.20 - Sunlamp products and ultraviolet lamps intended for use in sunlamp products.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... irradiation of any part of the living human body, by ultraviolet radiation with wavelengths in air between 200..., DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) RADIOLOGICAL HEALTH PERFORMANCE STANDARDS FOR LIGHT...

  18. Does infrared or ultraviolet light damage the lens?

    PubMed Central

    Söderberg, P G; Talebizadeh, N; Yu, Z; Galichanin, K

    2016-01-01

    In daylight, the human eye is exposed to long wavelength ultraviolet radiation (UVR), visible radiation and short wavelength infrared radiation (IRR). Almost all the UVR and a fraction of the IRR waveband, respectively, left over after attenuation in the cornea, is absorbed in the lens. The time delay between exposure and onset of biological response in the lens varies from immediate-to-short-to-late. After exposure to sunlight or artificial sources, generating irradiances of the same order of magnitude or slightly higher, biological damage may occur photochemically or thermally. Epidemiological studies suggest a dose-dependent association between short wavelength UVR and cortical cataract. Experimental data infer that repeated daily in vivo exposures to short wavelength UVR generate photochemically induced damage in the lens, and that short delay onset cataract after UVR exposure is photochemically induced. Epidemiology suggests that daily high-intensity short wavelength IRR exposure of workers, is associated with a higher prevalence of age-related cataract. It cannot be excluded that this effect is owing to a thermally induced higher denaturation rate. Recent experimental data rule out a photochemical effect of 1090 nm in the lens but other wavelengths in the near IRR should be investigated. PMID:26768915

  19. Measurements of the intrinsic quantum efficiency and absorption length of tetraphenyl butadiene thin films in the vacuum ultraviolet regime

    NASA Astrophysics Data System (ADS)

    Benson, Christopher; Gann, Gabriel Orebi; Gehman, Victor

    2018-04-01

    A key enabling technology for many liquid noble gas (LNG) detectors is the use of the common wavelength shifting medium tetraphenyl butadiene (TPB). TPB thin films are used to shift ultraviolet scintillation light into the visible spectrum for detection and event reconstruction. Understanding the wavelength shifting efficiency and optical properties of these films are critical aspects in detector performance and modeling and hence in the ultimate physics sensitivity of such experiments. This article presents the first measurements of the room-temperature microphysical quantum efficiency for vacuum-deposited TPB thin films - a result that is independent of the optics of the TPB or substrate. Also presented are measurements of the absorption length in the vacuum ultraviolet regime, the secondary re-emission efficiency, and more precise results for the "black-box" efficiency across a broader spectrum of wavelengths than previous results. The low-wavelength sensitivity, in particular, would allow construction of LNG scintillator detectors with lighter elements (Ne, He) to target light mass WIMPs.

  20. Ultraviolet radiation properties as applied to photoclimatherapy at the Dead Sea.

    PubMed

    Kudish, A I; Abels, D; Harari, M

    2003-05-01

    The Dead Sea basin, the lowest terrestrial point on earth, is recognized as a natural treatment center for patients with various cutaneous and rheumatic diseases. Psoriasis is the major skin disease treated at the Dead Sea with excellent improvement to complete clearance exceeding 85% after 4 weeks of treatment. These results were postulated to be associated with a unique spectrum of ultraviolet radiation present in the Dead Sea area. The UVB and UVA radiation at two sites is measured continuously by identical sets of broad-band Solar Light Co. Inc. meters (Philadelphia, PA). The spectral selectivity within the UVB and UVA spectrum was determined using a narrow-band spectroradiometer, UV-Optronics 742 (Orlando, FL). The optimum exposure time intervals for photoclimatherapy, defined as the minimum ratio of erythema to therapeutic radiation intensities, were also determined using a Solar Light Co. Inc. Microtops II, Ozone Monitor-Sunphotometer. The ultraviolet radiation at the Dead Sea is attenuated relative to Beer Sheva as a result of the increased optical path length and consequent enhanced scattering. The UVB radiation is attenuated to a greater extent than UVA and the shorter erythema UVB spectral range decreased significantly compared with the longer therapeutic UVB wavelengths. It was demonstrated that the relative attenuation within the UVB spectral range is greatest for the shorter erythema rays and less for the longer therapeutic UVB wavelengths, thus producing a greater proportion of the longer therapeutic UVB wavelengths in the ultraviolet spectrum. These measurements can be utilized to minimize the exposure to solar radiation by correlating the cumulative UVB radiation dose to treatment efficacy and by formulating a patient sun exposure treatment protocol for Dead Sea photoclimatherapy.

  1. Summary of Quantitative Interpretation of Image Far Ultraviolet Auroral Data

    NASA Technical Reports Server (NTRS)

    Frey, H. U.; Immel, T. J.; Mende, S. B.; Gerard, J.-C.; Hubert, B.; Habraken, S.; Span, J.; Gladstone, G. R.; Bisikalo, D. V.; Shematovich, V. I.; hide

    2002-01-01

    Direct imaging of the magnetosphere by instruments on the IMAGE spacecraft is supplemented by simultaneous observations of the global aurora in three far ultraviolet (FUV) wavelength bands. The purpose of the multi-wavelength imaging is to study the global auroral particle and energy input from thc magnetosphere into the atmosphere. This paper describes provides the method for quantitative interpretation of FUV measurements. The Wide-Band Imaging Camera (WIC) provides broad band ultraviolet images of the aurora with maximum spatial and temporal resolution by imaging the nitrogen lines and bands between 140 and 180 nm wavelength. The Spectrographic Imager (SI), a dual wavelength monochromatic instrument, images both Doppler-shifted Lyman alpha emissions produced by precipitating protons, in the SI-12 channel and OI 135.6 nm emissions in the SI-13 channel. From the SI-12 Doppler shifted Lyman alpha images it is possible to obtain the precipitating proton flux provided assumptions are made regarding the mean energy of the protons. Knowledge of the proton (flux and energy) component allows the calculation of the contribution produced by protons in the WIC and SI-13 instruments. Comparison of the corrected WIC and SI-13 signals provides a measure of the electron mean energy, which can then be used to determine the electron energy fluxun-. To accomplish this reliable modeling emission modeling and instrument calibrations are required. In-flight calibration using early-type stars was used to validate the pre-flight laboratory calibrations and determine long-term trends in sensitivity. In general, very reasonable agreement is found between in-situ measurements and remote quantitative determinations.

  2. IUE data reduction: Wavelength determinations and line identifications using a VAX/750 computer

    NASA Technical Reports Server (NTRS)

    Davidson, J. P.; Bord, D. J.

    1982-01-01

    A fully automated, interactive system for determining the wavelengths of features in extracted IUE spectra is described. Wavelengths are recorded from video displays of expanded plots of individual orders using a movable cursor, and then corrected for IUE wavelength scale errors. The estimated accuracy of an individual wavelength in the final tabulation is 0.050 A. Such lists are ideally suited for line identification work using the method of wavelength coincidence statistics (WCS). The results of WCS studies of the ultraviolet spectra of the chemically peculiar (CP) stars iota Coronae Borealis and kappa Camcri. Aside from confirming a number of previously reported aspects of the abundance patterns in these stars, the searches produced some interesting, new discoveries, notably the presence of Hf in the spectrum of kappa Camcri. The implications of this work for theories designed to account for anomalous abundances in chemically peculiar stars are discussed.

  3. IUE data reduction: Wavelength determinations and line identifications using a VAX/750 computer

    NASA Astrophysics Data System (ADS)

    Davidson, J. P.; Bord, D. J.

    A fully automated, interactive system for determining the wavelengths of features in extracted IUE spectra is described. Wavelengths are recorded from video displays of expanded plots of individual orders using a movable cursor, and then corrected for IUE wavelength scale errors. The estimated accuracy of an individual wavelength in the final tabulation is 0.050 A. Such lists are ideally suited for line identification work using the method of wavelength coincidence statistics (WCS). The results of WCS studies of the ultraviolet spectra of the chemically peculiar (CP) stars iota Coronae Borealis and kappa Camcri. Aside from confirming a number of previously reported aspects of the abundance patterns in these stars, the searches produced some interesting, new discoveries, notably the presence of Hf in the spectrum of kappa Camcri. The implications of this work for theories designed to account for anomalous abundances in chemically peculiar stars are discussed.

  4. Vacuum ultraviolet spectra of uranium hexafluoride/argon mixtures

    NASA Technical Reports Server (NTRS)

    Krascella, N. L.

    1976-01-01

    The transmission properties of room temperature helium at pressures up to 20 atmospheres were determined in the wavelength range from 80 to 300 nm. Similarly, the transmission properties of uranium hexafluoride at 393 K (pressures less than 1.0 mm) were determined in the wavelength range from 80 to about 120 nm. The results show that high pressure helium is sufficiently transparent in the vacuum ultraviolet region (provided trace contaminants are removed) to be utilized as a transparent purge gas in future fissioning gaseous uranium plasma reactor experiments. Absorption cross sections for uranium hexafluoride were calculated from the data between 80 and 120 nm and were of the order of 10 to the -17 power sq cm.

  5. Vehicle/Atmosphere Interaction Glows: Far Ultraviolet, Visible, and Infrared

    NASA Technical Reports Server (NTRS)

    Swenson, G.

    1999-01-01

    Spacecraft glow information has been gathered from a number of spacecraft including Atmospheric and Dynamic satellites, and Space Shuttles (numerous flights) with dedicated pallet flow observations on STS-39 (DOD) and STS-62 (NASA). In addition, a larger number of laboratory experiments with low energy oxygen beam studies have made important contributions to glow understanding. The following report provides information on three engineering models developed for spacecraft glow including the far ultraviolet to ultraviolet (1400-4000 A), and infrared (0.9-40 microns) spectral regions. The models include effects resulting from atmospheric density/altitude, spacecraft temperature, spacecraft material, and ram angle. Glow brightness would be predicted as a function of distance from surfaces for all wavelengths.

  6. The Copernicus ultraviolet spectral atlas of Beta Orionis

    NASA Technical Reports Server (NTRS)

    Rogerson, J. B., Jr.; Upson, W. L., II

    1982-01-01

    An ultraviolet spectral atlas is presented for the B8 Ia star Beta Orionis, which has been scanned from 999 to 1561 A by the Princeton spectrometer aboard the Copernicus satellite. From 999 to 1420 A the observations have a nominal resolution of 0.05 A. At the longer wavelengths the resolution is 0.1 A. The atlas is presented in graphs. Lines identified in the spectrum are also listed.

  7. The Copernicus ultraviolet spectral atlas of Iota Herculis

    NASA Technical Reports Server (NTRS)

    Upson, W. L., II; Rogerson, J. B., Jr.

    1980-01-01

    An ultraviolet spectral atlas is presented for the B3 IV star Iota Herculis, which has been scanned from 999 to 1467 A by the Princeton spectrometer aboard the Copernicus satellite. From 999 to 1422 A the observations have a nominal resolution of 0.05 A. At the longer wavelengths the resolution is 0.1 A. The atlas is presented in graphs. Lines identified in the spectrum are also listed.

  8. Interband Tunneling for Hole Injection in III-Nitride Ultraviolet Emitters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yuewei; Krishnamoorthy, Sriram; Johnson, Jared M.

    Low p-type conductivity and high contact resistance remain a critical problem in wide band gap AlGaN-based ultraviolet light emitters due to the high acceptor ionization energy. In this work, interband tunneling is demonstrated for non-equilibrium injection of holes through the use of ultra-thin polarization-engineered layers that enhance tunneling probability by several orders of magnitude over a PN homojunction. Al 0.3Ga 0.7N interband tunnel junctions with a lowresistance of 5.6 × 10 -4 Ω cm 2 were obtained and integrated on ultraviolet light emitting diodes.Tunnel injection of holes was used to realize GaN-free ultraviolet light emitters with bottom and top n-typemore » Al 0.3Ga 0.7N contacts. At an emission wavelength of 327 nm, stable output power of 6 W/cm 2 at a current density of 120 A/cm 2 with a forward voltage of 5.9 V was achieved. Our demonstration of efficient interband tunneling could enable device designs for higher efficiency ultraviolet emitters.« less

  9. International Ultraviolet Explorer observations of the peculiar variable spectrum of the eclipsing binary R Arae

    NASA Technical Reports Server (NTRS)

    Mccluskey, G. E.; Kondo, Y.

    1983-01-01

    The eclipsing binary system R Arae = HD 149730 is a relatively bright southern system with an orbital period of about 4.4 days. It is a single-lined spectroscopic binary. The spectral class of the primary component is B9 Vp. The system was included in a study of mass flow and evolution in close binary systems using the International Ultraviolet Explorer satellite (IUE). Four spectra in the wavelength range from 1150 to 1900 A were obtained with the far-ultraviolet SWP camera, and six spectra in the range from 1900 to 3200 range were obtained with the mid-ultraviolet LWR camera. The close binary R Arae exhibits very unusual ultraviolet spectra. It appears that no other close binary system, observed with any of the orbiting satellites, shows outside-eclipse ultraviolet continuum flux variations of this nature.

  10. Continuous-wave ultraviolet generation at 320 nm by intracavity frequency doubling of red-emitting Praseodymium lasers

    NASA Astrophysics Data System (ADS)

    Richter, A.; Pavel, N.; Heumann, E.; Huber, G.; Parisi, D.; Toncelli, A.; Tonelli, M.; Diening, A.; Seelert, W.

    2006-04-01

    We describe a new approach for the generation of coherent ultraviolet radiation. Continuous-wave ultraviolet light at 320 nm has been obtained by intracavity frequency doubling of red-emitting Praseodymium lasers. Lasing at the 640-nm fundamental wavelength in Pr:LiYF4 and Pr:BaY2F8 was realized by employing an optically pumped semiconductor laser at 480 nm as pump source.Using LiB3O5 as nonlinear medium, ~19 mW of ultraviolet radiation with ~9% optical efficiency with respect to absorbed power was reached for both laser crystals; the visible-to-ultraviolet conversion efficiency was 26% and 35% for Pr:LiYF4 and Pr:BaY2F8, respectively.

  11. Coordinated ultraviolet and radio observations of selected nearby stars

    NASA Technical Reports Server (NTRS)

    Lang, Kenneth R.

    1987-01-01

    All of the US2 shifts assigned were successfully completed with simultaneous International Ultraviolet Explorer (IUE) and the Very Large Array (VLA) observations of the proposed target stars. The target stars included dwarf M flare stars and RS CVn stars. The combined ultraviolet (IUE) and microwave (VLA) observations have provided important new insights to the radiation mechanisms at these two widely-separated regions of the electromagnetic spectrum. The VLA results included the discovery of narrow-band microwave radiation and rapid time variations in the microwave radiation of dwarf M flare stars. The results indicate that conventional radiation mechanisms cannot explain the microwave emission from these stars. In general, ultraviolet variations and bursts occur when no similar variations are detected at microwave wavelengths and vice versa. Although these is some overlap, the variations in these two spectral regions are usually uncorrelated, suggesting that there is little interaction between the activity centers at the two associated atmospheric levels.

  12. Ultraviolet photometry from the Orbiting Astronomical Observatory. XXI - Absolute energy distribution of stars in the ultraviolet

    NASA Technical Reports Server (NTRS)

    Bless, R. C.; Code, A. D.; Fairchild, E. T.

    1976-01-01

    The absolute energy distribution in the ultraviolet is given for the stars alpha Vir, eta UMa, and alpha Leo. The calibration is based on absolute heterochromatic photometry between 2920 and 1370 A carried out with an Aerobee sounding rocket. The fundamental radiation standard is the synchrotron radiation from 240-MeV electrons in a certain synchrotron storage ring. On the basis of the sounding-rocket calibration, the preliminary OAO-2 spectrometer calibration has been revised; the fluxes for the three program stars are tabulated in energy per second per square centimeter per unit wavelength interval.

  13. The interstellar N2 abundance towards HD 124314 from far-ultraviolet observations.

    PubMed

    Knauth, David C; Andersson, B-G; McCandliss, Stephan R; Moos, H Warren

    2004-06-10

    The abundance of interstellar molecular nitrogen (N2) is of considerable importance: models of steady-state gas-phase interstellar chemistry, together with millimetre-wavelength observations of interstellar N2H+ in dense molecular clouds predict that N2 should be the most abundant nitrogen-bearing molecule in the interstellar medium. Previous attempts to detect N2 absorption in the far-ultraviolet or infrared (ice features) have hitherto been unsuccessful. Here we report the detection of interstellar N2 at far-ultraviolet wavelengths towards the moderately reddened star HD 124314 in the constellation of Centaurus. The N2 column density is larger than expected from models of diffuse clouds and significantly smaller than expected for dense molecular clouds. Moreover, the N2 abundance does not explain the observed variations in the abundance of atomic nitrogen (N I) towards high-column-density sightlines, implying that the models of nitrogen chemistry in the interstellar medium are incomplete.

  14. An improved ultraviolet spectral line list for the symbiotic star RR Telescopii

    NASA Technical Reports Server (NTRS)

    Doschek, G. A.; Feibelman, W. A.

    1993-01-01

    We have remeasured wavelengths and intensities of International Ultraviolet Explorer (IUE) spectra of the symbiotic star, RR Tel. The main work is centered on the long 820 minute exposure high-resolution spectrum obtained on 1983 June 18. The list is intended to serve as a source of improved intensities and wavelengths for the ultraviolet spectrum of this star. A complete line list with intensities based on this exposure has not been published previously. The strongest spectral lines are saturated in the 820 minute exposure, and intensities for these lines are mostly obtained from a 20 minute exposure obtained on the same day. A few intensities are obtained from other exposures if neither the 820 nor the 20 minute exposure is satisfactory. There are 111 lines in our list between 1168 and 1980 A. Some of the very weakest lines may not be real. These are indicated by question marks. We also discuss some of the plasma diagnostics available using spectral lines of O v and O iv.

  15. Detection of a stellar flare at extreme ultraviolet wavelengths

    NASA Technical Reports Server (NTRS)

    Barstow, M. A.; Denby, M.; Pye, J. P.; Pankiewicz, G. S.; Bromage, G. E.; Gonzalez-Riestra, R.

    1991-01-01

    During the all-sky survey conducted by the Rosat Wide Field Camera, the binary flare star system BY Draconis was monitored with coverage by the IUE satellite far-UV and optical observations and by the Rosat X-ray telescope for part of the time. A stellar flare was detected in all four wavebands. This is the first unambiguous EUV detection of a flare and one of the widest simultaneous wavelength-range coverages obtained. The peak luminosity and total energy of this flare in the photon energy range 0.08-0.18 keV are comparable with the values obtained for a number of flares integrated over a larger energy range by Exosat satellite observations in 1983-86. It is concluded that radiation in the EUV carries away a substantial fraction of the total flare energy.

  16. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    PubMed

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  17. LYMAN - The far ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Moos, Warren; Osantowski, John F.

    1989-01-01

    The LYMAN FUSE mission concept for far ultraviolet astronomy is presented. The wavelength window from 100 to 1200 A provides access to a wide range of important scientific problems in cosmology, galactic structure, stellar evolution, and planetary magnetospheres, which cannot be studied in any other way. The LYMAN FUSE Phase A study is examining in detail mission operations, instrumentation technology, the construction of the instrument module, and the interfaces between the Instrument Module and the Explorer Platform Mission. Most of the mission observing time will be allotted through a competitive Guest Observer program analogous to that in operation for the IUE.

  18. A high resolution ultraviolet Shuttle glow spectrograph

    NASA Technical Reports Server (NTRS)

    Carruthers, George R.

    1993-01-01

    The High Resolution Shuttle Glow Spectrograph-B (HRSGS-B) is a small payload being developed by the Naval Research Laboratory. It is intended for study of shuttle surface glow in the 180-400 nm near- and middle-ultraviolet wavelength range, with a spectral resolution of 0.2 nm. It will search for, among other possible features, the band systems of excited NO which result from surface-catalyzed combination of N and O. It may also detect O2 Hertzberg bands and N2 Vegard-Kaplan bands resulting from surface recombination. This wavelength range also includes possible N2+ and OH emissions. The HRSGS-B will be housed in a Get Away Special canister, mounted in the shuttle orbiter payload bay, and will observe the glow on the tail of the orbiter.

  19. Triple-wavelength, narrowband Mg/SiC multilayers with corrosion barriers and high peak reflectance in the 25-80 nm wavelength region

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fernández-Perea, Mónica; Soufli, Regina; Robinson, Jeff C.

    2012-01-01

    We have developed new, corrosion-resistant Mg/SiC multilayer coatings which can be used to efficiently and simultaneously reflect extreme ultraviolet (EUV) radiation in single or multiple narrow bands centered at wavelengths in the spectral region from 25 to 80 nm. Corrosion mitigation is achieved through the use of partially amorphous Al-Mg thin layers. Three different multilayer design concepts were developed and deposited by magnetron sputtering and the reflectance was measured at near-normal incidence in a broad spectral range. Unprotected Mg/SiC multilayers were also deposited and measured for comparison. They were shown to efficiently reflect radiation at a wavelength of 76.9 nmmore » with a peak reflectance of 40.6% at near-normal incidence, the highest experimental reflectance reported at this wavelength for a narrowband coating. The demonstration of multilayer coatings with corrosion resistance and multiplewavelength EUV performance is of great interest in the development of mirrors for space-borne solar physics telescopes and other applications requiring long-lasting coatings with narrowband response in multiple emission lines across the EUV range.« less

  20. Ultraviolet photometry from the orbiting astronomical observatory. 8: The blue Ap stars

    NASA Technical Reports Server (NTRS)

    Leckrone, D. S.

    1973-01-01

    The filter photometers in the Wisconsin Experiment Package on OAO-2 were used to obtain data for a carefully selected set of 24 blue Ap stars and 31 comparison standard B and A dwarfs and giants for a program of relative photometry. Observations were made in seven bandpasses over the effective wavelength range 1430A-4250A. The Ap stars observed include members of the Si, Hg-Mn and Sr-Cr-Eu peculiarity classes. Most of them are too blue in B-V for their published MK spectral classes. The blue Ap stars are markedly deficient in emitted ultraviolet flux and are underluminous as compared to normal stars with the same UBV colors. The Hg-Mn stars appear less flux deficient in the ultraviolet for their UBV colors than do Si or Sr-cr-Eu stars. Most of the Ap stars observed possess ultraviolet flux distributions, or ultraviolet color temperatures, consistent with their published MK spectral classes to well within the classification uncertainties.

  1. Extreme ultraviolet reflectivity studies of gold on glass and metal substrates

    NASA Technical Reports Server (NTRS)

    Jelinsky, Sharon R.; Malina, Roger F.; Jelinsky, Patrick

    1988-01-01

    The paper reports measurements of the extreme ultraviolet reflectivity of gold from 44 to 920 A at grazing incidence. Gold was deposited using vacuum evaporation and electroplating on substrates of glass and polished nickel, respectively. Measurements are also presented of the extreme ultraviolet reflectivity of electroless nickel in the same wavelength region, where one of the polished nickel substrates was used as a sample. Derived optical constants for evaporated and electroplated gold and electroless nickel are presented. Additional studies of the effects of various contaminants on the EUV reflectivity are also reported. The variations of the optical constants are discussed in terms of density variations, surface roughness and contamination effects. These results ae reported as part of studies for the Extreme Ultraviolet Explorer satellite program to determine acceptance criteria for the EUV optics, contamination budgets and calibration plans.

  2. Three new extreme ultraviolet spectrometers on NSTX-U for impurity monitoring

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weller, M. E., E-mail: weller4@llnl.gov; Beiersdorfer, P.; Soukhanovskii, V. A.

    2016-11-15

    Three extreme ultraviolet (EUV) spectrometers have been mounted on the National Spherical Torus Experiment–Upgrade (NSTX-U). All three are flat-field grazing-incidence spectrometers and are dubbed X-ray and Extreme Ultraviolet Spectrometer (XEUS, 8–70 Å), Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS, 190–440 Å), and Metal Monitor and Lithium Spectrometer Assembly (MonaLisa, 50–220 Å). XEUS and LoWEUS were previously implemented on NSTX to monitor impurities from low- to high-Z sources and to study impurity transport while MonaLisa is new and provides the system increased spectral coverage. The spectrometers will also be a critical diagnostic on the planned laser blow-off system for NSTX-U, which will bemore » used for impurity edge and core ion transport studies, edge-transport code development, and benchmarking atomic physics codes.« less

  3. Modelling and Display of the Ultraviolet Sky

    NASA Astrophysics Data System (ADS)

    Daniels, J.; Henry, R.; Murthy, J.; Allen, M.; McGlynn, T. A.; Scollick, K.

    1994-12-01

    A computer program is currently under development to model in 3D - one dimension of which is wavelength - all the known and major speculated sources of ultraviolet (900 A - 3100 A ) radiation over the celestial sphere. The software is being written in Fortran 77 and IDL and currently operates under IRIX (the operating system of the Silicon Graphics Iris Machine); all output models are in FITS format. Models along with display software will become available to the astronomical community. The Ultraviolet Sky Model currently includes the Zodiacal Light, Point Sources of Emission, and the Diffuse Galactic Light. The Ultraviolet Sky Model is currently displayed using SkyView: a package under development at NASA/ GSFC, which allows users to retrieve and display publically available all-sky astronomical survey data (covering many wavebands) over the Internet. We present a demonstration of the SkyView display of the Ultraviolet Model. The modelling is a five year development project: the work illustrated here represents product output at the end of year one. Future work includes enhancements to the current models and incorporation of the following models: Galactic Molecular Hydrogen Fluorescence; Galactic Highly Ionized Atomic Line Emission; Integrated Extragalactic Light; and speculated sources in the intergalactic medium such as Ionized Plasma and radiation from Non-Baryonic Particle Decay. We also present a poster which summarizes the components of the Ultraviolet Sky Model and outlines a further package that will be used to display the Ultraviolet Model. This work is supported by United States Air Force Contract F19628-93-K-0004. Dr J. Daniels is supported with a post-doctoral Fellowship from the Leverhulme Foundation, London, United Kingdom. We are also grateful for the encouragement of Dr Stephen Price (Phillips Laboratory, Hanscomb Air Force Base, MA)

  4. The Extreme Ultraviolet Explorer Mission

    NASA Technical Reports Server (NTRS)

    Bowyer, S.; Malina, R. F.

    1991-01-01

    The Extreme Ultraviolet Explorer (EUVE) mission, currently scheduled from launch in September 1991, is described. The primary purpose of the mission is to survey the celestial sphere for astronomical sources of extreme ultraviolet (EUV) radiation with the use of three EUV telescope, each sensitive to a different segment of the EUV band. A fourth telescope is planned to perform a high-sensitivity search of a limited sample of the sky in the shortest wavelength bands. The all-sky survey is planned to be carried out in the first six months of the mission in four bands, or colors, 70-180 A, 170-250 A, 400-600 A, and 500-700 A. The second phase of the mission is devoted to spectroscopic observations of EUV sources. A high-efficiency grazing-incidence spectrometer using variable line-space gratings is planned to provide spectral data with about 1-A resolution. An end-to-end model of the mission, from a stellar source to the resulting scientific data, is presented. Hypothetical data from astronomical sources were processed through this model and are shown.

  5. Thin film filter lifetesting results in the extreme ultraviolet

    NASA Technical Reports Server (NTRS)

    Vedder, P. W.; Vallerga, J. V.; Gibson, J. L.; Stock, J.; Siegmund, O. H. W.

    1993-01-01

    We present the results of the thin film filter lifetesting program conducted as part of the NASA Extreme Ultraviolet Explorer (EUVE) satellite mission. This lifetesting program is designed to monitor changes in the transmission and mechanical properties of the EUVE filters over the lifetime of the mission (fabrication, assembly, launch and operation). Witness test filters were fabricated from thin film foils identical to those used in the flight filters. The witness filters have been examined and calibrated periodically over the past seven years. The filters have been examined for evidence of pinholing, mechanical degradation, and oxidation. Absolute transmissions of the flight and witness filters have been measured in the extreme ultraviolet (EUV) over six orders of magnitude at numerous wavelengths using the Berkeley EUV Calibration Facility.

  6. An aluminium nitride light-emitting diode with a wavelength of 210 nanometres.

    PubMed

    Taniyasu, Yoshitaka; Kasu, Makoto; Makimoto, Toshiki

    2006-05-18

    Compact high-efficiency ultraviolet solid-state light sources--such as light-emitting diodes (LEDs) and laser diodes--are of considerable technological interest as alternatives to large, toxic, low-efficiency gas lasers and mercury lamps. Microelectronic fabrication technologies and the environmental sciences both require light sources with shorter emission wavelengths: the former for improved resolution in photolithography and the latter for sensors that can detect minute hazardous particles. In addition, ultraviolet solid-state light sources are also attracting attention for potential applications in high-density optical data storage, biomedical research, water and air purification, and sterilization. Wide-bandgap materials, such as diamond and III-V nitride semiconductors (GaN, AlGaN and AlN; refs 3-10), are potential materials for ultraviolet LEDs and laser diodes, but suffer from difficulties in controlling electrical conduction. Here we report the successful control of both n-type and p-type doping in aluminium nitride (AlN), which has a very wide direct bandgap of 6 eV. This doping strategy allows us to develop an AlN PIN (p-type/intrinsic/n-type) homojunction LED with an emission wavelength of 210 nm, which is the shortest reported to date for any kind of LED. The emission is attributed to an exciton transition, and represents an important step towards achieving exciton-related light-emitting devices as well as replacing gas light sources with solid-state light sources.

  7. Biochemical Detection and Identification False Alarm Rate Dependence on Wavelength Using Laser Induced Fluorescence

    NASA Technical Reports Server (NTRS)

    Bhartia, R.; Hug, W. F.; Sala, E. C.; Sijapati, K.; Lane, A. L.; Reid, R. D.; Conrad, P. G.

    2006-01-01

    Most organic and many inorganic materials absorb strongly in specific wavelength ranges in the deep UV between about 220nm and 300nm. Excitation within these absorption bands results in native fluorescence emission. Each compound or composite material, such as a bacterial spore, has a unique excitation-emission fingerprint that can be used to provide information about the material. The sensitivity and specificity with which these materials can be detected and identified depends on the excitation wavelength and the number and location of observation wavelengths.We will present data on our deep ultraviolet Targeted Ultraviolet Chemical Sensors that demonstrate the sensitivity and specificity of the sensors. In particular, we will demonstrate the ability to quantitatively differentiate a wide range of biochemical agent targets against a wide range of background materials. We will describe the relationship between spectral resolution and specificity in target identification, as well as simple, fast, algorithms to identify materials.Hand-held, battery operated instruments using a deep UV laser and multi-band detection have been developed and deployed on missions to the Antarctic, the Arctic, and the deep ocean with the capability of detecting a single bacterial spore and to differentiate a wide range of organic and biological compounds.

  8. Al nanogrid electrode for ultraviolet detectors.

    PubMed

    Ding, G; Deng, J; Zhou, L; Gan, Q; Hwang, J C M; Dierolf, V; Bartoli, F J; Mazuir, C; Schoenfeld, W V

    2011-09-15

    Optical properties of Al nanogrids of different pitches and gaps were investigated both theoretically and experimentally. Three-dimensional finite-difference time-domain simulation predicted that surface plasmons at the air/Al interface would enhance ultraviolet transmission through the subwavelength gaps of the nanogrid, making it an effective electrode on GaN-based photodetectors to compensate for the lack of transparent electrode and high p-type doping. The predicted transmission enhancement was verified by confocal scanning optical microscopy performed at 365 nm. The quality of the nanogrids fabricated by electron-beam lithography was verified by near-field scanning optical microscopy and scanning electron microscopy. Based on the results, the pitch and gap of the nanogrids can be optimized for the best trade-off between electrical conductivity and optical transmission at different wavelengths. Based on different cutoff wavelengths, the nanogrids can also double as a filter to render photodetectors solar-blind.

  9. The Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS)

    NASA Technical Reports Server (NTRS)

    Oegerle, William (Technical Monitor); Rabin, D.; Davila, J.; Thomas, R. J.; Engler, C.; Irish, S.; Keski-Kuha, R.; Novello, J.; Nowak, M.; Payne, L.; hide

    2003-01-01

    EUNIS (Extreme Ultraviolet Normal Incidence Spectrograph) is a high-efficiency extreme ultraviolet spectrometer that is expected to fly for the first time in 2004 as a sounding rocket payload. Using two independent optical systems, EUNIS will probe the structure and dynamics of the inner solar corona high spectral resolution in two wavelength regions: 17-21 nm with 3.5 pm resolution and 30-37 nm with 7 pm resolution. The long wavelength channel includes He II 30.4 nm and strong lines from Fe XI-XVI; the short wavelength channel includes strong lines of Fe IX-XIII. Angular resolution of 2 arcsec is maintained along a slit covering a full solar radius. EUNIS will have 100 times the throughput of the highly successful SERTS payloads that have preceded it. There are only two reflections in each optical channel, from the superpolished, off-axis paraboloidal primary and the toroidal grating. Each optical element is coated with a high-efficiency multilayer coating optimized for its spectral bandpass. The detector in each channel is a microchannel plate image intensifier fiber- coupled to three 1K x 1K active pixel sensors. EUNIS will obtain spectra with a cadence as short as 1 sec, allowing unprecedented studies of the physical properties of evolving and transient structures. Diagnostics of wave heating and reconnection wil be studied at heights above 2 solar radii, in the wind acceleration region. The broad spectral coverage and high spectral resolution will provide superior temperature and density diagnostics and will enable underflight calibration of several orbital instruments, including SOHO/CDS and EIT, TRACE, Solar-B/EIS, and STEREO/EUVI. EUNIS is supported by NASA through the Low Cost Access to Space Program in Solar and Heliospheric Physics.

  10. Filtering and polychromatic vision in mantis shrimps: themes in visible and ultraviolet vision.

    PubMed

    Cronin, Thomas W; Bok, Michael J; Marshall, N Justin; Caldwell, Roy L

    2014-01-01

    Stomatopod crustaceans have the most complex and diverse assortment of retinal photoreceptors of any animals, with 16 functional classes. The receptor classes are subdivided into sets responsible for ultraviolet vision, spatial vision, colour vision and polarization vision. Many of these receptor classes are spectrally tuned by filtering pigments located in photoreceptors or overlying optical elements. At visible wavelengths, carotenoproteins or similar substances are packed into vesicles used either as serial, intrarhabdomal filters or lateral filters. A single retina may contain a diversity of these filtering pigments paired with specific photoreceptors, and the pigments used vary between and within species both taxonomically and ecologically. Ultraviolet-filtering pigments in the crystalline cones serve to tune ultraviolet vision in these animals as well, and some ultraviolet receptors themselves act as birefringent filters to enable circular polarization vision. Stomatopods have reached an evolutionary extreme in their use of filter mechanisms to tune photoreception to habitat and behaviour, allowing them to extend the spectral range of their vision both deeper into the ultraviolet and further into the red.

  11. Multi-Wavelength investigation of the co-orbital moons Dione and Helene

    NASA Astrophysics Data System (ADS)

    Royer, Emilie M.; Hendrix, Amanda R.; Howett, Carly; Spilker, Linda

    2017-10-01

    The icy satellites Dione and Helene share the same orbit, at 6.26 Saturn radii from the giant planet, which is within Saturn’s diffuse E ring. Helene is one of Dione’s two Trojan moons, located in the leading Lagrangian point L4 of Dione’s orbit. We present here preliminary results on the investigation of the Dione-Helene duo in term of origin, formation and evolution. Specifically, the key objectives are to retrieve the photometric properties and composition of the moons to answer questions such as: Are the Dione and Helene surfaces made of the same material? Did they form in the same region of the Solar System? Is one satellite older than the other? Have they experienced the same amount of space weathering?To provide the most complete evaluation of the Dione and Helene surfaces and advance our understanding of how exogenic processes affect the surfaces of icy satellites we use the synergy of four of the Cassini instruments: UVIS (Ultraviolet Imaging Spectrograph), ISS (Imaging Science Subsystem), VIMS (Visual and Infrared Mapping Spectrometer) and CIRS (Composite Infrared Spectrometer). Composite disk-integrated spectra of both moons have been produced to conduct spectral modeling over a large wavelength range from the ultraviolet to the infrared, from 111nm to 1mm. Until now, most investigations have focused only on one wavelength domain, telling only part of the story. A multi-wavelength analysis allows an in-depth investigation of the surfaces of the Saturnian satellites as each wavelength probes a different layer of the surface. Special attention is directed toward the search for correlations of basic properties (albedo, scattering properties, texture, grain size, composition, porosity, thermal properties) between Dione and Helene.

  12. Rosetta Langmuir Probe Photoelectron Emission and Solar Ultraviolet Flux at Comet 67P

    NASA Astrophysics Data System (ADS)

    Johansson, F. L.; Odelstad, E.; Paulsson, J. J.; Harang, S. S.; Eriksson, A. I.; Mannel, T.; Vigren, E.; Edberg, N. J. T.; Miloch, W. J.; Simon Wedlund, C.; Thiemann, E.; Epavier, F.; Andersson, L.

    2017-12-01

    The Langmuir Probe instrument on Rosetta monitored the photoelectron emission current of the probes during the Rosetta mission at comet 67P/Churyumov-Gerasimenko, in essence acting as a photodiode monitoring the solar ultraviolet radiation at wavelengths below 250 nm. We have used three methods of extracting the photoelectron saturation current from the Langmuir probe measurements. The resulting dataset can be used as an index of the solar far and extreme ultraviolet at the Rosetta spacecraft position, including flares, in wavelengths that are important for photoionisation of the cometary neutral gas. Comparing the photoemission current to data measurements by MAVEN/EUVM and TIMED/SEE, we find good correlation when 67P was at large heliocentric distances early and late in the mission, but up to 50 percent decrease of the expected photoelectron current at perihelion. We discuss possible reasons for the photoemission decrease, including scattering and absorption by nanograins created by disintegration of cometary dust far away from the nucleus.

  13. Traveling reference spectroradiometer for routine quality assurance of spectral solar ultraviolet irradiance measurements.

    PubMed

    Gröbner, Julian; Schreder, Josef; Kazadzis, Stelios; Bais, Alkiviadis F; Blumthaler, Mario; Görts, Peter; Tax, Rick; Koskela, Tapani; Seckmeyer, Gunther; Webb, Ann R; Rembges, Diana

    2005-09-01

    A transportable reference spectroradiometer for measuring spectral solar ultraviolet irradiance has been developed and validated. The expanded uncertainty of solar irradiance measurements with this reference spectroradiometer, based on the described methodology, is 8.8% to 4.6%, depending on the wavelength and the solar zenith angle. The accuracy of the spectroradiometer was validated by repeated site visits to two European UV monitoring sites as well as by regular comparisons with the reference spectroradiometer of the European Reference Centre for UV radiation measurements in Ispra, Italy. The spectral solar irradiance measurements of the Quality Assurance of Spectral Ultraviolet Measurements in Europe through the Development of a Transportable Unit (QASUME) spectroradiometer and these three spectroradiometers have agreed to better than 6% during the ten intercomparison campaigns held from 2002 to 2004. If the differences in irradiance scales of as much as 2% are taken into account, the agreement is of the order of 4% over the wavelength range of 300-400 nm.

  14. SAGE 3: A visible wavelength limb sounder

    NASA Technical Reports Server (NTRS)

    Chu, W. P.; Mccormick, M. P.; Zawodny, J.; Mcmaster, L. R.

    1990-01-01

    A brief description is presented for the SAGE 3 (Stratospheric Aerosol and Gas Experiment 3) instrument that has been selected to fly onboard the National Polar Platform 1 (NPOP 1) for the Earth Observational System (Eos) in 1996. The SAGE 3 instrument will perform earth limb sounding with the solar occultation technique measuring the ultraviolet (UV), the visible, and the near infrared (IR) wavelength solar radiation. The instrument will produce atmospheric data for the vertical distribution of aerosol, ozone, nitrogen dioxide, water vapor, and oxygen. The details of the instrument design, data flow, and processing requirements are discussed.

  15. Empirical relationship of ultraviolet extinction and the interstellar diffuse bands

    NASA Astrophysics Data System (ADS)

    Wu, C.-C.; York, D. G.; Snow, T. P.

    1981-05-01

    New ultraviolet colors are presented for 110 hot stars. These data are combined with infrared colors and diffuse-band measurements to study the relationship of diffuse interstellar bands (4430, 5780, 6284 A) to the overall extinction curve. Equivalent widths of 5780 A and 6284 A are not well correlated with infrared, visible, or ultraviolet extinction measurements for stars in this sample. The central depth of 4430 A is well correlated with visible and infrared extinction, but less well correlated with UV extinction at 1800 A. The wavelength 4430 A is strongly correlated with the strength of the 2200-A bump. The data suggest that if small grains account for the general rise in UV extinction, the diffuse bands are not formed in these grains. The wavelength 4430 A may well arise in large grains and/or in the material responsible for the 2200-A bump. Correlations with UV extinctions derived by other authors are discussed in detail. It is suggested that definitions of extinction parameters and band shapes, as well as selection effects in small samples of stars, may still compromise conclusions based on correlation studies such as are being attempted.

  16. Empirical relationship of ultraviolet extinction and the interstellar diffuse bands

    NASA Technical Reports Server (NTRS)

    Wu, C.-C.; York, D. G.; Snow, T. P.

    1981-01-01

    New ultraviolet colors are presented for 110 hot stars. These data are combined with infrared colors and diffuse-band measurements to study the relationship of diffuse interstellar bands (4430, 5780, 6284 A) to the overall extinction curve. Equivalent widths of 5780 A and 6284 A are not well correlated with infrared, visible, or ultraviolet extinction measurements for stars in this sample. The central depth of 4430 A is well correlated with visible and infrared extinction, but less well correlated with UV extinction at 1800 A. The wavelength 4430 A is strongly correlated with the strength of the 2200-A bump. The data suggest that if small grains account for the general rise in UV extinction, the diffuse bands are not formed in these grains. The wavelength 4430 A may well arise in large grains and/or in the material responsible for the 2200-A bump. Correlations with UV extinctions derived by other authors are discussed in detail. It is suggested that definitions of extinction parameters and band shapes, as well as selection effects in small samples of stars, may still compromise conclusions based on correlation studies such as are being attempted.

  17. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, M. C. E.; Lemaire, P.; Marsch, E.; Poland, A. I.

    1988-01-01

    The SUMER (solar ultraviolet measurements of emitted radiation) experiment is described. It will study flows, turbulent motions, waves, temperatures and densities of the plasma in the upper atmosphere of the Sun. Structures and events associated with solar magnetic activity will be observed on various spatial and temporal scales. This will contribute to the understanding of coronal heating processes and the solar wind expansion. The instrument will take images of the Sun in EUV (extreme ultra violet) light with high resolution in space, wavelength and time. The spatial resolution and spectral resolving power of the instrument are described. Spectral shifts can be determined with subpixel accuracy. The wavelength range extends from 500 to 1600 angstroms. The integration time can be as short as one second. Line profiles, shifts and broadenings are studied. Ratios of temperature and density sensitive EUV emission lines are established.

  18. Far ultraviolet spectrophotometry of BD +28 4211

    NASA Technical Reports Server (NTRS)

    Cook, Timothy A.; Cash, Webster; Green, James C.

    1991-01-01

    The results are reported of a November 1989 rocket flight which recorded a flux-calibrated spectrum of BD +28 4211 from 912 to 1150 A with 1A resolution. BD +28 4211, an SdO-type star, is commonly used as an ultraviolet calibration source in the IUE wavelength band. The present work extends the useful range of this standard shortward of Lyman-alpha. Since previous experiments show marked disparity, this study can be useful in determining a standard in the 912 to 1216 A band.

  19. Far-ultraviolet Bidirectional Photometry of Apollo Soil 10084: New Results from The Southwest Ultraviolet Reflectance Chamber (SwURC).

    NASA Astrophysics Data System (ADS)

    Raut, U.

    2017-12-01

    We report new measurements of the far-ultraviolet (115-180 nm) bidirectional reflectance of Apollo soil 10084 in the Southwest Ultraviolet Reflectance Chamber (SwURC). We find the bidirectional reflectance distribution function (BRDF) to be featureless in this wavelength region, though with a small blue slope. The angular distribution of the BRDF at Ly-α and 160 nm shows that this mature mare soil, containing nanophase Fe and enriched in Ti, anisotropically scatters light in the forward direction. The phase angle dependence of the BRDF is fitted with Hapke's photometric model with an additional diffuse-directional term. Future plans include measurements of mare and highland soils of differing maturity index (Is/FeO), water ice frost and lunar soil-ice aggregates. Such measurements will help constrain the abundance and distribution of the water ice on the illuminated lunar surface and dark permanently shadowed regions of the moon, as reported by LRO-LAMP.

  20. Characterizing ultraviolet and infrared observational properties for galaxies. II. Features of attenuation law

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mao, Ye-Wei; Kong, Xu; Lin, Lin, E-mail: ywmao@pmo.ac.cn, E-mail: xkong@ustc.edu.cn, E-mail: linlin@shao.ac.cn

    Variations in the attenuation law have a significant impact on observed spectral energy distributions for galaxies. As one important observational property for galaxies at ultraviolet and infrared wavelength bands, the correlation between infrared-to-ultraviolet luminosity ratio and ultraviolet color index (or ultraviolet spectral slope), i.e., the IRX-UV relation (or IRX-β relation), offered a widely used formula for correcting dust attenuation in galaxies, but the usability appears to be in doubt now because of considerable dispersion in this relation found by many studies. In this paper, on the basis of spectral synthesis modeling and spatially resolved measurements of four nearby spiral galaxies,more » we provide an interpretation of the deviation in the IRX-UV relation with variations in the attenuation law. From both theoretical and observational viewpoints, two components in the attenuation curve, the linear background and the 2175 Å bump, are suggested to be the parameters in addition to the stellar population age (addressed in the first paper of this series) in the IRX-UV function; different features in the attenuation curve are diagnosed for the galaxies in our sample. Nevertheless, it is often difficult to ascertain the attenuation law for galaxies in actual observations. Possible reasons for preventing the successful detection of the parameters in the attenuation curve are also discussed in this paper, including the degeneracy of the linear background and the 2175 Å bump in observational channels, the requirement for young and dust-rich systems to study, and the difficulty in accurate estimates of dust attenuations at different wavelength bands.« less

  1. Characterizing Ultraviolet and Infrared Observational Properties for Galaxies. II. Features of Attenuation Law

    NASA Astrophysics Data System (ADS)

    Mao, Ye-Wei; Kong, Xu; Lin, Lin

    2014-07-01

    Variations in the attenuation law have a significant impact on observed spectral energy distributions for galaxies. As one important observational property for galaxies at ultraviolet and infrared wavelength bands, the correlation between infrared-to-ultraviolet luminosity ratio and ultraviolet color index (or ultraviolet spectral slope), i.e., the IRX-UV relation (or IRX-β relation), offered a widely used formula for correcting dust attenuation in galaxies, but the usability appears to be in doubt now because of considerable dispersion in this relation found by many studies. In this paper, on the basis of spectral synthesis modeling and spatially resolved measurements of four nearby spiral galaxies, we provide an interpretation of the deviation in the IRX-UV relation with variations in the attenuation law. From both theoretical and observational viewpoints, two components in the attenuation curve, the linear background and the 2175 Å bump, are suggested to be the parameters in addition to the stellar population age (addressed in the first paper of this series) in the IRX-UV function; different features in the attenuation curve are diagnosed for the galaxies in our sample. Nevertheless, it is often difficult to ascertain the attenuation law for galaxies in actual observations. Possible reasons for preventing the successful detection of the parameters in the attenuation curve are also discussed in this paper, including the degeneracy of the linear background and the 2175 Å bump in observational channels, the requirement for young and dust-rich systems to study, and the difficulty in accurate estimates of dust attenuations at different wavelength bands.

  2. An operational retrieval algorithm for determining aerosol optical properties in the ultraviolet

    NASA Astrophysics Data System (ADS)

    Taylor, Thomas E.; L'Ecuyer, Tristan S.; Slusser, James R.; Stephens, Graeme L.; Goering, Christian D.

    2008-02-01

    This paper describes a number of practical considerations concerning the optimization and operational implementation of an algorithm used to characterize the optical properties of aerosols across part of the ultraviolet (UV) spectrum. The algorithm estimates values of aerosol optical depth (AOD) and aerosol single scattering albedo (SSA) at seven wavelengths in the UV, as well as total column ozone (TOC) and wavelength-independent asymmetry factor (g) using direct and diffuse irradiances measured with a UV multifilter rotating shadowband radiometer (UV-MFRSR). A novel method for cloud screening the irradiance data set is introduced, as well as several improvements and optimizations to the retrieval scheme which yield a more realistic physical model for the inversion and increase the efficiency of the algorithm. Introduction of a wavelength-dependent retrieval error budget generated from rigorous forward model analysis as well as broadened covariances on the a priori values of AOD, SSA and g and tightened covariances of TOC allows sufficient retrieval sensitivity and resolution to obtain unique solutions of aerosol optical properties as demonstrated by synthetic retrievals. Analysis of a cloud screened data set (May 2003) from Panther Junction, Texas, demonstrates that the algorithm produces realistic values of the optical properties that compare favorably with pseudo-independent methods for AOD, TOC and calculated Ångstrom exponents. Retrieval errors of all parameters (except TOC) are shown to be negatively correlated to AOD, while the Shannon information content is positively correlated, indicating that retrieval skill improves with increasing atmospheric turbidity. When implemented operationally on more than thirty instruments in the Ultraviolet Monitoring and Research Program's (UVMRP) network, this retrieval algorithm will provide a comprehensive and internally consistent climatology of ground-based aerosol properties in the UV spectral range that can be used

  3. The ultraviolet extinction properties of the 30 Dor Nebula

    NASA Astrophysics Data System (ADS)

    De Marchi, Guido; Panagia, Nino

    2018-01-01

    Recent investigation of the extinction law in 30 Dor and the Tarantula Nebula, at optical and near infrared wavelengths, has revealed a ratio of total to selective extinction RV=AV/E(B-V) of about 4.5. This indicates a larger proportion of large grains than in the Galactic diffuse interstellar medium. Possible origins include coalescence of small grains, grain growth, selective destruction of small grains, and fresh injection of large grains. From a study of the ultraviolet extinction properties of three Wolf-Rayet stars in 30 Dor (R 139, R 140, R 145), observed with the International Ultraviolet Explorer, we show that the excess of large grains does not come at the expense of small grains, which are still present. Fresh injection of large grains by supernova explosions appears to be the dominant mechanism.

  4. A Fast Responsive Ultraviolet Sensor from mSILAR-Processed Sn-ZnO

    NASA Astrophysics Data System (ADS)

    Thomas, Deepu; Vijayalakshmi, K. A.; Sadasivuni, Kishor Kumar; Thomas, Ajith; Ponnamma, Deepalekshmi; Cabibihan, John-John

    2017-11-01

    Microwave-assisted successive ionic layer adsorption and reaction was employed to synthesize Sn-ZnO (tin-doped zinc oxide), and its sensitivity to ultraviolet radiation is compared with zinc oxide (ZnO). The sensing films were made by the dip-coated method on an indium titanium oxide glass substrate, and the sensing performance was monitored using the 300-700 nm wavelength of UV-Vis light. Excellent sensitivity and recovery were observed for the Sn-doped ZnO sensor device, especially at 380 nm wavelength of ultraviolet (UV) light (response and recovery time 2.26 s and 8.63 s, respectively, at 5 V bias voltage). The variation in photocurrent with respect to dark and light illumination atmosphere was well illustrated based on the Schottky and inter-particle network effects. Doping of Sn on ZnO nanoparticles varied the surface roughness and crystallite size as observed from scanning electron microscopic and x-ray diffraction studies. Here, we demonstrate a simple and economical fabrication technique for designing a high-performance UV light sensor. The developed device works at room temperature with high durability and stability.

  5. High-power, fixed, and tunable wavelength, grating-free cascaded Raman fiber lasers.

    PubMed

    Balaswamy, V; Arun, S; Aparanji, Santosh; Choudhury, Vishal; Supradeepa, V R

    2018-04-01

    Cascaded Raman lasers enable high powers at various wavelength bands inaccessible with conventional rare-earth-doped lasers. The input and output wavelengths of conventional implementations are fixed by the constituent fiber gratings necessary for cascaded Raman conversion. We demonstrate here a simple architecture for high-power, fixed, and wavelength tunable, grating-free, cascaded Raman conversion between different wavelength bands. The architecture is based on the recently proposed distributed feedback Raman lasers. Here, we implement a module which converts the ytterbium band to the eye-safe 1.5 μm region. We demonstrate pump-limited output powers of over 30 W in fixed and continuously wavelength tunable configurations.

  6. High-power, fixed, and tunable wavelength, grating-free cascaded Raman fiber lasers

    NASA Astrophysics Data System (ADS)

    Balaswamy, V.; Arun, S.; Aparanji, Santosh; Choudhury, Vishal; Supradeepa, V. R.

    2018-04-01

    Cascaded Raman lasers enable high powers at various wavelength bands inaccessible with conventional rare-earth doped lasers. The input and output wavelengths of conventional implementations are fixed by the constituent fiber gratings necessary for cascaded Raman conversion. We demonstrate here, a simple architecture for high power, fixed and wavelength tunable, grating-free, cascaded Raman conversion between different wavelength bands. The architecture is based on the recently proposed distributed feedback Raman lasers. Here, we implement a module which converts the Ytterbium band to the eye-safe 1.5micron region. We demonstrate pump-limited output powers of over 30W in fixed and continuously wavelength tunable configurations.

  7. Goddard Laser for Absolute Measurement of Radiance for Instrument Calibration in the Ultraviolet to Short Wave Infrared

    NASA Technical Reports Server (NTRS)

    McAndrew, Brendan; McCorkel, Joel; Shuman, Timothy; Zukowski, Barbara; Traore, Aboubakar; Rodriguez, Michael; Brown, Steven; Woodward, John

    2018-01-01

    A description of the Goddard Laser for Absolute Calibration of Radiance, a tunable, narrow linewidth spectroradiometric calibration tool, and results from calibration of an earth science satellite instrument from ultraviolet to short wave infrared wavelengths.

  8. Conversion of far ultraviolet to visible radiation: absolute measurements of the conversion efficiency of tetraphenyl butadiene

    NASA Astrophysics Data System (ADS)

    Vest, Robert E.; Coplan, Michael A.; Clark, Charles W.

    Far ultraviolet (FUV) scintillation of noble gases is used in dark matter and neutrino research and in neutron detection. Upon collisional excitation, noble gas atoms recombine into excimer molecules that decay by FUV emission. Direct detection of FUV is difficult. Another approach is to convert it to visible light using a wavelength-shifting medium. One such medium, tetraphenyl butadiene (TPB) can be vapor-deposited on substrates. Thus the quality of thin TPB films can be tightly controlled. We have measured the absolute efficiency of FUV-to-visible conversion by 1 μm-thick TPB films vs. FUV wavelengths between 130 and 300 nm, with 1 nm resolution. The energy efficiency of FUV to visible conversion varies between 1% and 5%. We make comparisons with other recent results. Work performed at the NIST SURF III Synchrotron Ultraviolet Radiation Facility,.

  9. Near-simultaneous ultraviolet and optical spectrophotometry of T Tauri stars

    NASA Technical Reports Server (NTRS)

    Goodrich, Robert W.; Herbig, G. H.

    1986-01-01

    A set of near-simultaneous ultraviolet and optical spectra and UBVR(J)I(J) photometry of five T Tauri stars has been analyzed for the shape of the energy distribution shortward of 3000 A. The far-ultraviolet continua of these stars are very much stronger than the level of light scattered from longer wavelengths in the IUE spectrograph. The results, expressed as two-color plots, show that the UV colors of T Tauri stars differ significantly from those expected from their optical spectral types. Although these particular K-type T Tauri stars are not extreme members of the class, they have the UV colors of A stars. The spectral shape of this UV excess is approximately that expected from published chromospheric models of T Tauri stars.

  10. Low-resolution ultraviolet spectroscopy of several hot stars observed from Apollo 17

    NASA Technical Reports Server (NTRS)

    Henry, R. C.; Weinstein, A.; Feldman, P. D.; Fastie, W. G.; Moos, H. W.

    1975-01-01

    Low-resolution ultraviolet spectra were obtained for six early-type stars in 1972 December, using an Ebert spectrometer mounted in the service module of the Apollo 17 spacecraft. The spectrometer scanned from 1180 A to 1680 A, with a speed that varied with wavelength according to a program chosen for lunar studies. Spectral resolution was 11 A. The ultraviolet absolute calibration of the instrument was determined by comparison with National Bureau of Standards calibrated photodiodes, and is believed known to plus or minus 10 percent. The absolute intensities are in good general agreement with the observations of other stars and with the predictions of stellar model-atmosphere calculations.

  11. Photoprotection of human skin beyond ultraviolet radiation.

    PubMed

    Grether-Beck, Susanne; Marini, Alessandra; Jaenicke, Thomas; Krutmann, Jean

    2014-01-01

    Photoprotection of human skin by means of sunscreens or daily skin-care products is traditionally centered around the prevention of acute (e.g. sunburn) and chronic (e.g. skin cancer and photoaging) skin damage that may result from exposure to ultraviolet rays (UVB and UVA). Within the last decade, however, it has been appreciated that wavelengths beyond the ultraviolet spectrum, in particular visible light and infrared radiation, contribute to skin damage in general and photoaging of human skin in particular. As a consequence, attempts have been made to develop skin care/sunscreen products that not only protect against UVB or UVA radiation but provide photoprotection against visible light and infrared radiation as well. In this article, we will briefly review the current knowledge about the mechanisms responsible for visible light/infrared radiation-induced skin damage and then, based on this information, discuss strategies that have been successfully used or may be employed in the future to achieve photoprotection of human skin beyond ultraviolet radiation. In this regard we will particularly focus on the use of topical antioxidants and the challenges that result from the task of showing their efficacy. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  12. Functional visual sensitivity to ultraviolet wavelengths in the Pileated Woodpecker (Dryocopus pileatus), and its influence on foraging substrate selection

    USGS Publications Warehouse

    O'Daniels, Sean T.; Kesler, Dylan C.; Mihail, Jeanne D.; Webb, Elisabeth B.; Werner, Scott J.

    2017-01-01

    Most diurnal birds are presumed visually sensitive to near ultraviolet (UV) wavelengths, however, controlled behavioral studies investigating UV sensitivity remain few. Although woodpeckers are important as primary cavity excavators and nuisance animals, published work on their visual systems is limited. We developed a novel foraging-based behavioral assay designed to test UV sensitivity in the Pileated Woodpecker (Dryocopus pileatus). We acclimated 21 wild-caught woodpeckers to foraging for frozen mealworms within 1.2 m sections of peeled cedar (Thuja spp.) poles. We then tested the functional significance of UV cues by placing frozen mealworms behind UV-reflective covers, UV-absorptive covers, or decayed red pine substrates within the same 1.2 m poles in independent experiments. Behavioral responses were greater toward both UV-reflective and UV-absorptive substrates in three experiments. Study subjects therefore reliably differentiated and attended to two distinct UV conditions of a foraging substrate. Cue-naïve subjects showed a preference for UV-absorptive substrates, suggesting that woodpeckers may be pre-disposed to foraging from such substrates. Behavioral responses were greater toward decayed pine substrates (UV-reflective) than sound pine substrates suggesting that decayed pine can be a useful foraging cue. The finding that cue-naïve subjects selected UV-absorbing foraging substrates has implications for ecological interactions of woodpeckers with fungi. Woodpeckers transport fungal spores, and communication methods analogous to those of plant-pollinator mutualisms (i.e. UV-absorbing patterns) may have evolved to support woodpecker-fungus mutualisms.

  13. Ultraviolet Spectral Irradiance Scale Comparison: 210 nm to 300 nm

    PubMed Central

    Thompson, Ambler; Early, Edward A.; O’Brian, Thomas R.

    1998-01-01

    Comparison of the irradiances from a number of ultraviolet spectral irradiance standards, based on different physical principles, showed agreement to within their combined standard uncertainties as assigned to them by NIST. The wavelength region of the spectral irradiance comparison was from 210 nm to 300 nm. The spectral irradiance sources were: an electron storage ring, 1000 W quartz-halogen lamps, deuterium arc lamps, and a windowless argon miniarc. PMID:28009378

  14. Multi-wavelength Observations of Accreting Compact Objects

    NASA Astrophysics Data System (ADS)

    Hernandez Santisteban, Juan Venancio

    2016-11-01

    The study of compact binaries invokes core astrophysical concepts ranging from stellar and sub-stellar atmospheres and interiors, stellar and binary evolution to physics of accretion. All of these systems are hosts to a compact object a white dwarf, neutron star or black hole ???? which produces a wide variety of exotic and energetic phenomena across the full electromagnetic spectrum. In this thesis, I will make use of multi-wavelength observations ranging from far-ultraviolet to nearinfrared in order to investigate two main topics: a) the late evolution of cataclysmic variables, and b) the accreting state of transitional millisecond pulsars. Firstly, I analyse the Very Large Telescope X-Shooter time-resolved spectroscopy of the short orbital period cataclysmic variable, SDSS J1433+1011, in Chapter 2. The wide wavelength coverage allowed me to perform a detailed characterisation of the system, as well as a direct mass measurement of the brown dwarf companion. I show that the donor in SDSS J1433+1011 successfully transitioned from the stellar to sub-stellar regime, as predicted by evolutionary models. Further light-curve modelling allowed me to show that a low albedo as well as a low heat circulation efficiency is present in the atmosphere of the sub-stellar donor. In Chapter 3, I analyse data from large synoptic surveys, such as SDSS and PTF, to search for the predicted population of dead cataclysmic variables. Following the non-detection of dead CVs, I was able to estimate the space density (?0 < 2?10????5 pc????3) of this hidden population via a Monte Carlo simulation of the Galactic CV population. In Chapter 4, I present Hubble Space Telescope ultraviolet observations of the transitional millisecond pulsar PSR J1023+0038, during its latest accretion state. In combination with optical and near-infrared data, I show that a standard accretion disc does not reach the magnetosphere of the neutron star. Instead, the overall spectrum is consistent with a truncated disc

  15. Shifting wavelengths of ultraweak photon emissions from dying melanoma cells: their chemical enhancement and blocking are predicted by Cosic's theory of resonant recognition model for macromolecules.

    PubMed

    Dotta, Blake T; Murugan, Nirosha J; Karbowski, Lukasz M; Lafrenie, Robert M; Persinger, Michael A

    2014-02-01

    During the first 24 h after removal from incubation, melanoma cells in culture displayed reliable increases in emissions of photons of specific wavelengths during discrete portions of this interval. Applications of specific filters revealed marked and protracted increases in infrared (950 nm) photons about 7 h after removal followed 3 h later by marked and protracted increases in near ultraviolet (370 nm) photon emissions. Specific wavelengths within the visible (400 to 800 nm) peaked 12 to 24 h later. Specific activators or inhibitors for specific wavelengths based upon Cosic's resonant recognition model elicited either enhancement or diminishment of photons at the specific wavelength as predicted. Inhibitors or activators predicted for other wavelengths, even within 10 nm, were less or not effective. There is now evidence for quantitative coupling between the wavelength of photon emissions and intrinsic cellular chemistry. The results are consistent with initial activation of signaling molecules associated with infrared followed about 3 h later by growth and protein-structural factors associated with ultraviolet. The greater-than-expected photon counts compared with raw measures through the various filters, which also function as reflective material to other photons, suggest that photons of different wavelengths might be self-stimulatory and could play a significant role in cell-to-cell communication.

  16. A Penning discharge source for extreme ultraviolet calibration

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Jelinsky, Patrick; Bowyer, Stuart; Malina, Roger F.

    1986-01-01

    A Penning discharge lamp for use in the calibration of instruments and components for the extreme ultraviolet has been developed. This source is sufficiently light and compact to make it suitable for mounting on the movable slit assembly of a grazing incidence Rowland circle monochromator. Because this is a continuous discharge source, it is suitable for use with photon counting detectors. Line radiation is provided both by the gas and by atoms sputtered off the interchangeable metal cathodes. Usable lines are produced by species as highly ionized as Ne IV and Al V. The wavelength coverage provided is such that a good density of emission lines is available down to wavelengths as short as 100A. This source fills the gap between 100 and 300A, which is inadequately covered by the other available compact continuous radiation sources.

  17. Jupiter in blue, ultraviolet and near infrared

    NASA Technical Reports Server (NTRS)

    2000-01-01

    These three images of Jupiter, taken through the narrow angle camera of NASA's Cassini spacecraft from a distance of 77.6 million kilometers (48.2 million miles) on October 8, reveal more than is apparent to the naked eye through a telescope.

    The image on the left was taken through the blue filter. The one in the middle was taken in the ultraviolet. The one on the right was taken in the near infrared.

    The blue-light filter is within the part of the electromagnetic spectrum detectable by the human eye. The appearance of Jupiter in this image is, consequently, very familiar. The Great Red Spot (below and to the right of center) and the planet's well-known banded cloud lanes are obvious. The brighter bands of clouds are called zones and are probably composed of ammonia ice particles. The darker bands are called belts and are made dark by particles of unknown composition intermixed with the ammonia ice.

    Jupiter's appearance changes dramatically in the ultraviolet and near infrared images. These images are near negatives of each other and illustrate the way in which observations in different wavelength regions can reveal different physical regimes on the planet.

    All gases scatter sunlight efficiently at short wavelengths; this is why the sky appears blue on Earth. The effect is even more pronounced in the ultraviolet. The gases in Jupiter's atmosphere, above the clouds, are no different. They scatter strongly in the ultraviolet, making the deep banded cloud layers invisible in the middle image. Only the very high altitude haze appears dark against the bright background. The contrast is reversed in the near infrared, where methane gas, abundant on Jupiter but not on Earth, is strongly absorbing and therefore appears dark. Again the deep clouds are invisible, but now the high altitude haze appears relatively bright against the dark background. High altitude haze is seen over the poles and the equator.

    The Great Red Spot, prominent in all images, is

  18. Ultraviolet disinfection of potable water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wolfe, R.L.

    Because of upcoming surface and groundwater regulations regarding the control of microbiological and chemical contaminants, there is a need to evaluate the feasibility and effectiveness of ultraviolet (UV) radiation for primary disinfection of potable water supplies. Data is presented on microbicidal wavelengths of UV and distribution of energy output for low and medium-pressure arc lamps. Both systems were found to perform equally well for inactivating microorganisms, but each had distinct advantages in different applications. Approximate dosages for 90% inactivation of selected microorganisms by UV is presented in a table. Cost analysis for disinfection is presented in two tables as wellmore » as the advantages and disadvantages of UV disinfection. 38 refs.« less

  19. Bright high-repetition-rate source of narrowband extreme-ultraviolet harmonics beyond 22 eV

    PubMed Central

    Wang, He; Xu, Yiming; Ulonska, Stefan; Robinson, Joseph S.; Ranitovic, Predrag; Kaindl, Robert A.

    2015-01-01

    Novel table-top sources of extreme-ultraviolet light based on high-harmonic generation yield unique insight into the fundamental properties of molecules, nanomaterials or correlated solids, and enable advanced applications in imaging or metrology. Extending high-harmonic generation to high repetition rates portends great experimental benefits, yet efficient extreme-ultraviolet conversion of correspondingly weak driving pulses is challenging. Here, we demonstrate a highly-efficient source of femtosecond extreme-ultraviolet pulses at 50-kHz repetition rate, utilizing the ultraviolet second-harmonic focused tightly into Kr gas. In this cascaded scheme, a photon flux beyond ≈3 × 1013 s−1 is generated at 22.3 eV, with 5 × 10−5 conversion efficiency that surpasses similar harmonics directly driven by the fundamental by two orders-of-magnitude. The enhancement arises from both wavelength scaling of the atomic dipole and improved spatio-temporal phase matching, confirmed by simulations. Spectral isolation of a single 72-meV-wide harmonic renders this bright, 50-kHz extreme-ultraviolet source a powerful tool for ultrafast photoemission, nanoscale imaging and other applications. PMID:26067922

  20. The albedo and scattering phase function of interstellar dust and the diffuse background at far-ultraviolet wavelengths.

    PubMed

    Hurwitz, M; Bowyer, S; Martin, C

    1991-05-01

    We have determined the scattering parameters of dust in the interstellar medium at far-ultraviolet (FUV) wavelengths (1415-1835 angstroms). Our results are based on spectra of the diffuse background taken with the Berkeley UVX spectrometer. The unique design of this instrument makes possible for the first time accurate determination of the background both at high Galactic latitude, where the signal is intrinsically faint, and at low Galactic latitude, where direct starlight has heretofore compromised measurements of the diffuse emission. Because the data are spectroscopic, the continuum can be distinguished from the atomic and molecular transition features which also contribute to the background. We find the continuum intensity to be well correlated with the Galactic neutral hydrogen column density until saturation at about 1200 photons cm-2 s-1 sr-1 angstrom-1 is reached where tau FUV approximately 1. Our measurement of the intensity where tau FUV > or = 1 is crucial to the determination of the scattering properties of the grains. We interpret the data with a detailed radiative transfer model and conclude that the FUV albedo of the grains is low (<25%) and that the grains scatter fairly isotropically. We evaluate models of dust composition and grain-size distribution and compare their predictions with these new results. We present evidence that, as the Galactic neutral hydrogen column density approaches zero, the FUV continuum background arises primarily from scattering by dust, which implies that dust may be present in virtually all view directions. A non-dust-scattering continuum component has also been identified, with an intensity (external to the foreground Galactic dust) of about 115 photons cm-2 s-1 angstrom-1. With about half this intensity accounted for by two-photon emission from Galactic ionized gas, we identify roughly 50 photons cm-2 s-1 sr-1 angstrom-1 as a true extragalactic component.

  1. Documentation for the machine-readable version of the ANS Ultraviolet Photometry Catalogue of Point Sources (Wesselius et al 1982)

    NASA Technical Reports Server (NTRS)

    Warren, W. H., Jr.

    1984-01-01

    The machine-readable version of the Astronomical Netherlands Satellite ultraviolet photometry catalog is described in detail, with a byte-by-byte format description and characteristics of the data file given. The catalog is a compilation of ultraviolet photometry in five bands, within the wavelength range 155 nm to 330 nm, for 3573 mostly stellar objects. Additional cross reference data (object identification, UBV photometry and MK spectral types) are included in the catalog.

  2. Ultraviolet and Radio Emission from the Northern Middle Lobe of Centaurus A

    NASA Technical Reports Server (NTRS)

    Neff, Susan

    2009-01-01

    We present deep GALEX ultraviolet (135 - 280 nm) images of the Northern Middle Lobe (NML) of the nearby radio galaxy Centaurus A. We find that the ultraviolet emission appears to have a complex interaction with soft X-ray, H-alpha emission, and radio emission, which should help constrain various models of energy transport in the NML. We also present new 90cm VLA images of the NML. The radio morphology at this wavelength is indicative of a more complex system than either a straightforward flaring jet (Morganti et al. 1999) or a bubble with trailing stem (Saxton et al. 2001). New limits are placed on the lack of radio emission from any corresponding southern counterpart to the NML.

  3. Ultraviolet-B radiation in a row-crop canopy: an extended 1-D model

    Treesearch

    Wei Gao; Richard H. Grant; Gordon M. Heisler; James R. Slusser

    2003-01-01

    A decrease in stratospheric ozone may result in a serious threat to plants, since biologically active short-wavelength ultraviolet-B (UV-B 280-320 nm) radiation will increase even with a relatively small decrease in ozone. Numerous investigations have demonstrated that the effect of UV-B enhancements on plants includes reduction in grain yield, alteration in species...

  4. Ultraviolet and thermally stable polymer compositions

    NASA Technical Reports Server (NTRS)

    Reinisch, R. F.; Gloria, H. R.; Goldsberry, R. E.; Adamson, M. J. (Inventor)

    1976-01-01

    A new class of polymers is provided, namely, poly (diarylsiloxy) arylazines. These novel polymers have a basic chemical composition which has the property of stabilizing the optical and physical properties of the polymer against the degradative effect of ultraviolet light and high temperatures. This stabilization occurs at wavelengths including those shorter than found on the surface of the earth and in the absence or presence of oxygen, making the polymers useful for high performance coating applications in extraterrestrial space as well as similar applications in terrestrial service. The invention also provides novel aromatic azines which are useful in the preparation of polymers such as those described.

  5. Ultraviolet and thermally stable polymer compositions

    NASA Technical Reports Server (NTRS)

    Reinisch, R. F.; Gloria, H. R.; Goldsberry, R. E.; Adamson, M. J. (Inventor)

    1974-01-01

    A class of polymers is provided, namely, poly(diarylsiloxy) arylazines. These polymers have a basic chemical composition which has the property of stabilizing the optical and physical properties of the polymer against the degradative effect of ultraviolet light and high temperatures. This stabilization occurs at wavelengths including those shorter than found on the surface of the earth and in the absence or presence of oxygen, making the polymers of the present invention useful for high performance coating applications in extraterrestrial space as well as similar applications in terrestrial service. The invention also provides aromatic azines which are useful in the preparation of polymers such as those of the present invention.

  6. Resonantly enhanced method for generation of tunable, coherent vacuum ultraviolet radiation

    DOEpatents

    Glownia, James H.; Sander, Robert K.

    1985-01-01

    Carbon Monoxide vapor is used to generate coherent, tunable vacuum ultraviolet radiation by third-harmonic generation using a single tunable dye laser. The presence of a nearby electronic level resonantly enhances the nonlinear susceptibility of this molecule allowing efficient generation of the vuv light at modest pump laser intensities, thereby reducing the importance of a six-photon multiple-photon ionization process which is also resonantly enhanced by the same electronic level but to higher order. By choosing the pump radiation wavelength to be of shorter wavelength than individual vibronic levels used to extend tunability stepwise from 154.4 to 124.6 nm, and the intensity to be low enough, multiple-photon ionization can be eliminated. Excitation spectra of the third-harmonic emission output exhibit shifts to shorter wavelength and broadening with increasing CO pressure due to phase matching effects. Increasing the carbon monoxide pressure, therefore, allows the substantial filling in of gaps arising from the stepwise tuning thereby providing almost continuous tunability over the quoted range of wavelength emitted.

  7. Resonantly enhanced method for generation of tunable, coherent vacuum-ultraviolet radiation

    DOEpatents

    Glownia, J.H.; Sander, R.K.

    1982-06-29

    Carbon Monoxide vapor is used to generate coherent, tunable vacuum ultraviolet radiation by third-harmonic generation using a single tunable dye laser. The presence of a nearby electronic level resonantly enhances the nonlinear susceptibility of this molecule allowing efficient generation of the vuv light at modest pump laser intensities, thereby reducing the importance of a six-photon multiple-photon ionization process which is also resonantly enhanced by the same electronic level but no higher order. By choosing the pump radiation wavelength to be of shorter wavelength than individual vibronic levels used to extend tunability stepwise from 154.4 to 124.6 nm, and the intensity to be low enough, multiple-photon ionization can be eliminated. Excitation spectra of the third-harmonic emission output exhibit shifts to shorter wavelength and broadening with increasing CO pressure due to phase matching effects. Increasing the carbon monoxide pressure, therefore, allows the substantial filling in of gaps arising from the stepwise tuning thereby providing almost continuous tunability over the quoted range of wavelength emitted.

  8. The Spartan-281 Far Ultraviolet Imaging Spectrograph

    NASA Technical Reports Server (NTRS)

    Carruthers, George R.; Heckathorn, Harry M.; Dufour, Reginald J.; Opal, Chet B.; Raymond, John C.

    1988-01-01

    The U.S. Naval Research Laboratory's Far Ultraviolet Imaging Spectrograph (FUVIS), currently under development for flight as a Spartan shuttle payload, is designed to perform spectroscopy of diffuse sources in the FUV with very high sensitivity and moderate spatial and spectral resolution. Diffuse nebulae, the general galactic background radiation, and artificially induced radiation associated with the Space Shuttle vehicle are sources of particular interest. The FUVIS instrument will cover the wavelength range of 970-2000 A with selectable resolutions of 5 and 30 A. It is a slit imaging spectrograph having 3 arcmin spatial resolution along its 2.7 deg long slit.

  9. Multi-wavelength optical measurement to enhance thermal/optical analysis for carbonaceous aerosol

    NASA Astrophysics Data System (ADS)

    Chen, L.-W. A.; Chow, J. C.; Wang, X. L.; Robles, J. A.; Sumlin, B. J.; Lowenthal, D. H.; Zimmermann, R.; Watson, J. G.

    2015-01-01

    A thermal/optical carbon analyzer equipped with seven-wavelength light source/detector (405-980 nm) for monitoring spectral reflectance (R) and transmittance (T) of filter samples allowed "thermal spectral analysis (TSA)" and wavelength (λ)-dependent organic-carbon (OC)-elemental-carbon (EC) measurements. Optical sensing was calibrated with transfer standards traceable to absolute R and T measurements, adjusted for loading effects to report spectral light absorption (as absorption optical depth (τa, λ)), and verified using diesel exhaust samples. Tests on ambient and source samples show OC and EC concentrations equivalent to those from conventional carbon analysis when based on the same wavelength (~ 635 nm) for pyrolysis adjustment. TSA provides additional information that evaluates black-carbon (BC) and brown-carbon (BrC) contributions and their optical properties in the near infrared to the near ultraviolet parts of the solar spectrum. The enhanced carbon analyzer can add value to current aerosol monitoring programs and provide insight into more accurate OC and EC measurements for climate, visibility, or health studies.

  10. Liquid argon scintillation detection utilizing wavelength-shifting plates and light guides

    NASA Astrophysics Data System (ADS)

    Howard, B.

    2018-02-01

    In DUNE, the event timing provided by the detection of the relatively prompt scintillation photons will improve spatial resolution in the drift direction of the time-projection chamber (TPC) and is especially useful for non-beam physics topics such as supernova neutrinos and nucleon decay. The baseline design for the first 10kt single phase TPC fits the photon detector system in the natural gap between the wire planes of adjacent TPC volumes. A prototype photon detector design utilizes wavelength-shifter coated plates to convert the vacuum ultraviolet scintillation light to the optical and commercially-produced wavelength-shifting light guides to trap some of this light and transport it to an array of silicon photomultipliers at the end. This system and the testing performed to characterize the system and determine the efficiency are discussed.

  11. Use of MgF2 and LiF photocathodes in the extreme ultraviolet.

    NASA Technical Reports Server (NTRS)

    Lapson, L. B.; Timothy, J. G.

    1973-01-01

    The photoelectric yields of 2000-A thick samples of MgF2 and LiF have been measured at wavelengths in the range from 1216 to 461 A. Peak values of 43 and 34%, respectively, were obtained at wavelengths around 550 A at 45 deg incidence. Coating the cathode of a channel electron multiplier with 3000 A of MgF2 produced no significant deterioration in the electrical properties and increased the sensitivity by factors of 1.62, 2.76, and 2.60 at wavelengths of 742, 584, and 461 A, respectively. Since the stability of response of the MgF2 photocathodes appears to be equal to that of conventional metallic and semiconducting cathodes, it is concluded that MgF2 would be a practical, high-efficiency photocathode for use in the extreme ultraviolet.

  12. IUE's treasure-chest of the ultraviolet Universe

    NASA Astrophysics Data System (ADS)

    1997-11-01

    IUE was the most long-lived and (by a wide margin) the most productive satellite so far, in the history of space astronomy. After going into orbit on 26 January 1978, as a NASA-ESA-UK project, IUE was meant to operate for three years. More than eighteen years later, IUE still worked 24 hours a day, harvesting new knowledge for astronomers. The last observations were made from ESA's ground station at Villafranca, Spain, on 26 September 1996, and IUE was switched off four days later. Since then, team members at Villafranca and at NASAs Goddard Space Flight Center have used modern data-processing and information technology to recycle 100,000 ultraviolet spectra of comets, planets, stars, galaxies and quasars, acquired by IUE during its 18.5 years of operations. As a result, the IUE Final Archive is already available on-line via the Internet to hundreds of users who have registered to work with the data. The last few items (about 2 per cent of the total) will be added before the end of November. Also to be presented at the Sevilla conference is ESA's system called INES ("IUE Newly Extracted Spectra") which offers access, selection and distribution of data products, in a thoroughly user-friendly fashion. The IUE Final Archive is the third massive compendium made available to the worlds astronomers by ESA in 1997. The Hipparcos and Tycho Catalogues, released earlier in the year, give the positions of stars with unprecedented accuracy, thanks to ESA's Hipparcos satellite. "Space astronomy has set the example in providing a high standard of data quality and making the data accessible to the scientific community through archives", says Roger Bonnet, ESA's Scientific Director. "Now, ground-based observatories are following suit. The data legacy of IUE will be distributed to he community so that research on IUE data can continue long after the end of IUE's lifetime in space". Wonders of ultraviolet spectroscopy IUE analysed ultraviolet light, in a wavelength range from 1150

  13. Short-Wavelength Infrared Views of Messier 81

    NASA Technical Reports Server (NTRS)

    2003-01-01

    The magnificent spiral arms of the nearby galaxy Messier 81 are highlighted in this NASA Spitzer Space Telescope image. Located in the northern constellation of Ursa Major (which also includes the Big Dipper), this galaxy is easily visible through binoculars or a small telescope. M81 is located at a distance of 12 million light-years from Earth.

    Because of its proximity, M81 provides astronomers with an enticing opportunity to study the anatomy of a spiral galaxy in detail. The unprecedented spatial resolution and sensitivity of Spitzer at infrared wavelengths show a clear separation between the several key constituents of the galaxy: the old stars, the interstellar dust heated by star formation activity, and the embedded sites of massive star formation. The infrared images also permit quantitative measurements of the galaxy's overall dust content, as well as the rate at which new stars are being formed.

    The infrared image was obtained by Spitzer's infrared array camera. It is a four-color composite of invisible light, showing emissions from wavelengths of 3.6 microns (blue), 4.5 microns (green), 5.8 microns (yellow) and 8.0 microns (red). Winding outward from the bluish-white central bulge of the galaxy, where old stars predominate and there is little dust, the grand spiral arms are dominated by infrared emission from dust. Dust in the galaxy is bathed by ultraviolet and visible light from the surrounding stars. Upon absorbing an ultraviolet or visible-light photon, a dust grain is heated and re-emits the energy at longer infrared wavelengths. The dust particles, composed of silicates (which are chemically similar to beach sand) and polycyclic aromatic hydrocarbons, trace the gas distribution in the galaxy. The well-mixed gas (which is best detected at radio wavelengths) and dust provide a reservoir of raw materials for future star formation.

    The infrared-bright clumpy knots within the spiral arms denote where massive stars are being born in giant H

  14. Functional visual sensitivity to ultraviolet wavelengths in the Pileated Woodpecker (Dryocopus pileatus), and its influence on foraging substrate selection.

    PubMed

    O'Daniels, Sean T; Kesler, Dylan C; Mihail, Jeanne D; Webb, Elisabeth B; Werner, Scott J

    2017-05-15

    Most diurnal birds are presumed visually sensitive to near ultraviolet (UV) wavelengths, however, controlled behavioral studies investigating UV sensitivity remain few. Although woodpeckers are important as primary cavity excavators and nuisance animals, published work on their visual systems is limited. We developed a novel foraging-based behavioral assay designed to test UV sensitivity in the Pileated Woodpecker (Dryocopus pileatus). We acclimated 21 wild-caught woodpeckers to foraging for frozen mealworms within 1.2m sections of peeled cedar (Thuja spp.) poles. We then tested the functional significance of UV cues by placing frozen mealworms behind UV-reflective covers, UV-absorptive covers, or decayed red pine substrates within the same 1.2m poles in independent experiments. Behavioral responses were greater toward both UV-reflective and UV-absorptive substrates in three experiments. Study subjects therefore reliably differentiated and attended to two distinct UV conditions of a foraging substrate. Cue-naïve subjects showed a preference for UV-absorptive substrates, suggesting that woodpeckers may be pre-disposed to foraging from such substrates. Behavioral responses were greater toward decayed pine substrates (UV-reflective) than sound pine substrates suggesting that decayed pine can be a useful foraging cue. The finding that cue-naïve subjects selected UV-absorbing foraging substrates has implications for ecological interactions of woodpeckers with fungi. Woodpeckers transport fungal spores, and communication methods analogous to those of plant-pollinator mutualisms (i.e. UV-absorbing patterns) may have evolved to support woodpecker-fungus mutualisms. Published by Elsevier Inc.

  15. Far Ultraviolet Astronomy

    NASA Technical Reports Server (NTRS)

    Sonneborn, George; Rabin, Douglas M. (Technical Monitor)

    2002-01-01

    The Far Ultraviolet Spectroscopic Explorer (FUSE) is studying a wide range of astronomical problems in the 905-1187 Angstrom wavelength region through the use of high resolution spectroscopy. The FUSE bandpass forms a nearly optimal complement to the spectral coverage provided by the Hubble Space Telescope (HST), which extends down to approximately 1170 Angstroms. The photoionization threshold of atomic hydrogen (911 Angstroms) sets a natural short-wavelength limit for the FUV. FUSE was launched in June 1999 from Cape Canaveral, Florida, on a Delta II rocket into a 768 km circular orbit. Scientific observations started later that year. This spectral region is extremely rich in spectral diagnostics of astrophysical gases over a wide range of temperatures (100 K to over 10 million K). Important strong spectral lines in this wavelength range include those of neutral hydrogen, deuterium, nitrogen, oxygen, and argon (H I, D I, N I, O I, and Ar I), molecular hydrogen (H2), five-times ionized oxygen (O VI), and several ionization states of sulfur (S III - S VI). These elements are essential for understanding the origin and evolution of the chemical elements, the formation of stars and our Solar System, and the structure of galaxies, including our Milky Way. FUSE is one of NASA's Explorer missions and a cooperative project of NASA and the space agencies of Canada and France. These missions are smaller, more scientifically focused missions than the larger observatories, like Hubble and Chandra. FUSE was designed, built and operated for NASA by the Department of Physics and Astronomy at Johns Hopkins University. Hundreds of astronomers world-wide are using FUSE for a wide range of scientific research. Some of the important scientific discoveries from the first two years of the mission are described.

  16. Ultraviolet spectral reflectance of carbonaceous materials

    NASA Astrophysics Data System (ADS)

    Applin, Daniel M.; Izawa, Matthew R. M.; Cloutis, Edward A.; Gillis-Davis, Jeffrey J.; Pitman, Karly M.; Roush, Ted L.; Hendrix, Amanda R.; Lucey, Paul G.

    2018-06-01

    A number of planetary spacecraft missions have carried instruments with sensors covering the ultraviolet (UV) wavelength range. However, there exists a general lack of relevant UV reflectance laboratory data to compare against these planetary surface remote sensing observations in order to make confident material identifications. To address this need, we have systematically analyzed reflectance spectra of carbonaceous materials in the 200-500 nm spectral range, and found spectral-compositional-structural relationships that suggest this wavelength region could distinguish between otherwise difficult-to-identify carbon phases. In particular (and by analogy with the infrared spectral region), large changes over short wavelength intervals in the refractive indices associated with the trigonal sp2π-π* transition of carbon can lead to Fresnel peaks and Christiansen-like features in reflectance. Previous studies extending to shorter wavelengths also show that anomalous dispersion caused by the σ-σ* transition associated with both the trigonal sp2 and tetrahedral sp3 sites causes these features below λ = 200 nm. The peak wavelength positions and shapes of π-π* and σ-σ* features contain information on sp3/sp2, structure, crystallinity, and powder grain size. A brief comparison with existing observational data indicates that the carbon fraction of the surface of Mercury is likely amorphous and submicroscopic, as is that on the surface of the martian satellites Phobos and Deimos, and possibly comet 67P/Churyumov-Gerasimenko, while further coordinated observations and laboratory experiments should refine these feature assignments and compositional hypotheses. The new laboratory diffuse reflectance data reported here provide an important new resource for interpreting UV reflectance measurements from planetary surfaces throughout the solar system, and confirm that the UV can be rich in important spectral information.

  17. Report of the ultraviolet and visible sensors panel

    NASA Technical Reports Server (NTRS)

    Timothy, J. Gethyn; Blouke, M.; Bredthauer, R.; Kimble, R.; Lee, T.-H.; Lesser, M.; Siegmund, O.; Weckler, G.

    1991-01-01

    In order to meet the science objectives of the Astrotech 21 mission set the Ultraviolet (UV) and Visible Sensors Panel made a number of recommendations. In the UV wavelength range of 0.01 to 0.3 micro-m the focus is on the need for large format high quantum efficiency, radiation hard 'solar-blind' detectors. Options recommended for support include Si and non-Si charge coupled devices (CCDs) as well as photocathodes with improved microchannel plate readouts. For the 0.3 to 0.9 micro-m range, it was felt that Si CCDs offer the best option for high quantum efficiencies at these wavelengths. In the 0.9 to 2.5 micro-m the panel recommended support for the investigation of monolithic arrays. Finally, the panel noted that the implementation of very large arrays will require new data transmission, data recording, and data handling technologies.

  18. Unexpected Far-Ultraviolet Photometric Characteristics On Mimas

    NASA Astrophysics Data System (ADS)

    Royer, E. M.; Hendrix, A. R.

    2013-12-01

    While infrared and visible are the most common wavelength domains used to investigate planetary surfaces, ultraviolet (UV) data are significant and useful. Here, we present the first far-UV phase curves of Mimas, thus displaying another piece of the Saturnian System puzzle. Our preliminary results shows that, one more time, Mimas surface properties are far from what we was expected. Namely, we observe a leading hemisphere brighter than the trailing hemisphere at some far-UV wavelengths. We used the far-UV channel of the Cassini/UVIS instrument, ranging from 118 to 190 nm. Disk-integrated phase curves for the leading hemisphere and the trailing hemisphere, at 180nm, have been produced. Data points span from 0.5 to 163.5 degrees in phase angle. Mimas displays a leading hemisphere brighter than its trailing hemisphere, when theory and previous Voyager observations at longer wavelengths attest of a brighter trailing hemisphere due to the impact of the E-ring grains on this face of the satellite. Surprisingly, UVIS data show a very bright opposition effect on Mimas leading hemisphere, greater than what is observed on Tethys or Dione leading hemisphere at the same wavelength of 180 nm. Preliminary results of photometric properties modeling seem to indicate an important contribution of the coherent-backscattering process in the opposition surge. Exogenic processes such as bombardment by energetic electrons and/or E-ring grains are discussed to explain this unexpected surface property of Mimas.

  19. Ultraviolet source for rocket measurements of nitric oxide in the upper atmosphere. [D region

    NASA Technical Reports Server (NTRS)

    Siddiqui, J. M. H.

    1974-01-01

    An ultraviolet source suitable for balloon and rocket payloads for measurements of nitric oxide in the lower D-region of the ionosphere was developed. The source primarily emits 1236 A and 1165 A photons obtained from an R.F.-excited krypton discharge in a resonator of coaxial geometry. Ultraviolet flux output greater than 10 to the 14th power photons/sec can be obtained from this source. A systematic design philosophy is developed which enables the photon output to be optimized with respect to photon wavelength, gas pressure, R.F., resonator geometry, and gas to be used. Critical factors in the design are discussed in detail.

  20. A fully covariant information-theoretic ultraviolet cutoff for scalar fields in expanding Friedmann Robertson Walker spacetimes

    NASA Astrophysics Data System (ADS)

    Kempf, A.; Chatwin-Davies, A.; Martin, R. T. W.

    2013-02-01

    While a natural ultraviolet cutoff, presumably at the Planck length, is widely assumed to exist in nature, it is nontrivial to implement a minimum length scale covariantly. This is because the presence of a fixed minimum length needs to be reconciled with the ability of Lorentz transformations to contract lengths. In this paper, we implement a fully covariant Planck scale cutoff by cutting off the spectrum of the d'Alembertian. In this scenario, consistent with Lorentz contractions, wavelengths that are arbitrarily smaller than the Planck length continue to exist. However, the dynamics of modes of wavelengths that are significantly smaller than the Planck length possess a very small bandwidth. This has the effect of freezing the dynamics of such modes. While both wavelengths and bandwidths are frame dependent, Lorentz contraction and time dilation conspire to make the freezing of modes of trans-Planckian wavelengths covariant. In particular, we show that this ultraviolet cutoff can be implemented covariantly also in curved spacetimes. We focus on Friedmann Robertson Walker spacetimes and their much-discussed trans-Planckian question: The physical wavelength of each comoving mode was smaller than the Planck scale at sufficiently early times. What was the mode's dynamics then? Here, we show that in the presence of the covariant UV cutoff, the dynamical bandwidth of a comoving mode is essentially zero up until its physical wavelength starts exceeding the Planck length. In particular, we show that under general assumptions, the number of dynamical degrees of freedom of each comoving mode all the way up to some arbitrary finite time is actually finite. Our results also open the way to calculating the impact of this natural UV cutoff on inflationary predictions for the cosmic microwave background.

  1. Retrieval of Total Ozone Amounts from Zenith-Sky Intensities in the Ultraviolet Region

    NASA Technical Reports Server (NTRS)

    Bojkov, B. R.; Bhartia, P. K.; Hilsenrath, E.; Labow, G. J.

    2004-01-01

    A new method to determine the total ozone column from zenith-sky intensities in the ultraviolet region has been developed for the Shuttle Solar Backscatter Ultraviolet Spectrometer (SSBUV) operating at the NASA Goddard Space Flight Center. The total ozone column amounts are derived by comparing the ratio of measured intensities from three wavelengths with the equivalent ratios calculated by a radiative transfer model. The differences between the retrieved ozone column amounts and the collocated Brewer double monochromator are within 2% for the measurement period beginning in April 2001. The methodology, as well as the influences of the ozone profiles, aerosols, surface albedo, and the solar zenith angle on the retrieved total ozone amounts will be presented.

  2. Wavelengths, energy levels and hyperfine structure of Mn II and Sc II.

    NASA Astrophysics Data System (ADS)

    Nave, Gillian; Pickering, Juliet C.; Townley-Smith, Keeley I. M.; Hala, .

    2015-08-01

    For many decades, the Atomic Spectroscopy Groups at the National Institute of Standards and Technology (NIST) and Imperial College London (ICL) have measured atomic data of astronomical interest. Our spectrometers include Fourier transform (FT) spectrometers at NIST and ICL covering the region 1350 Å to 5.5 μm and a 10.7-m grating spectrometer at NIST covering wavelengths from 300 - 5000 Å. Sources for these spectra include high-current continuous and pulsed hollow cathode (HCL) lamps, Penning discharges, and sliding spark discharges. Recent work has focused on the measurement and analysis of wavelengths, energy levels, and hyperfine structure (HFS) constants for iron-group elements. The analysis of FT spectra of Cr I, Mn I, and Mn II is being led by ICL and is described in a companion poster [1]. Current work being led by NIST includes the analysis of HFS in Mn II, analysis of Mn II in the vacuum ultraviolet, and a comprehensive analysis of Sc II.Comprehensive HFS constants for Mn II are needed for the interpretation of stellar spectra and incorrect abundances may be obtained when HFS is omitted. Holt et al. [2] have measured HFS constants for 59 levels of Mn II using laser spectroscopy. We used FT spectra of Mn/Ni and Mn/Cu HCLs covering wavelength ranges from 1350 Å to 5.4 μm to confirm 26 of the A constants of Holt et al. and obtain values for roughly 40 additional levels. We aim to obtain HFS constants for the majority of lines showing significant HFS that are observed in chemically-peculiar stars.Spectra of Sc HCLs have been recorded from 1800 - 6700 Å using a vacuum ultraviolet FT spectrometer at NIST. Additional measurements to cover wavelengths above 6700 Å and below 1800 Å are in progress. The spectra are being analyzed by NIST and Alighar Muslim University, India in order to derive improved wavelengths, energy levels, and hyperfine structure parameters.This work was partially supported by NASA, the STFC and PPARC (UK), the Royal Society of the UK

  3. Accurate Laboratory Wavelengths of the e 3 Σ-(ν' = 5) - X 1 Σ+(ν'' = 0) Band of 12C16O

    NASA Astrophysics Data System (ADS)

    Dickenson, G. D.; Nortje, A. C.; Steenkamp, C. M.; Rohwer, E. G.; Du Plessis, A.

    2010-05-01

    The forbidden singlet-triplet transitions of carbon monoxide (CO) are important in the interpretation of vacuum ultraviolet interstellar absorption spectra and in particular for the measurement of large CO column densities. Twenty rovibronic lines of the e 3Σ-(ν' = 5) - X 1Σ+(ν'' = 0) band of 12 C 16O for which laboratory wavelengths were previously unavailable were identified in laser-induced fluorescence excitation spectra. Wavelengths were assigned to five rovibronic transitions to an average accuracy of 0.0028 Å. A further 15 lines could not be fully resolved and average wavelengths were measured for these groups of closely spaced lines. A wavelength difference of 0.011 ± 0.0028 Å between the measured wavelengths and the calculated wavelengths in the atlas of Eidelsberg & Rostas demonstrates the need for more experimental data on CO.

  4. Optogalvanic wavelength calibration for laser monitoring of reactive atmospheric species

    NASA Technical Reports Server (NTRS)

    Webster, C. R.

    1982-01-01

    Laser-based techniques have been successfully employed for monitoring atmospheric species of importance to stratospheric ozone chemistry or tropospheric air quality control. When spectroscopic methods using tunable lasers are used, a simultaneously recorded reference spectrum is required for wavelength calibration. For stable species this is readily achieved by incorporating into the sensing instrument a reference cell containing the species to be monitored. However, when the species of interest is short-lived, this approach is unsuitable. It is proposed that wavelength calibration for short-lived species may be achieved by generating the species of interest in an electrical or RF discharge and using optogalvanic detection as a simple, sensitive, and reliable means of recording calibration spectra. The wide applicability of this method is emphasized. Ultraviolet, visible, or infrared lasers, either CW or pulsed, may be used in aircraft, balloon, or shuttle experiments for sensing atoms, molecules, radicals, or ions.

  5. Influence of ultraviolet irradiation on data retention characteristics in resistive random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kimura, K.; Ohmi, K.; Tottori University Electronic Display Research Center, 101 Minami4-chome, Koyama-cho, Tottori-shi, Tottori 680-8551

    With increasing density of memory devices, the issue of generating soft errors by cosmic rays is becoming more and more serious. Therefore, the irradiation resistance of resistance random access memory (ReRAM) to cosmic radiation has to be elucidated for practical use. In this paper, we investigated the data retention characteristics of ReRAM against ultraviolet irradiation with a Pt/NiO/ITO structure. Soft errors were confirmed to be caused by ultraviolet irradiation in both low- and high-resistance states. An analysis of the wavelength dependence of light irradiation on data retention characteristics suggested that electronic excitation from the valence to the conduction band andmore » to the energy level generated due to the introduction of oxygen vacancies caused the errors. Based on a statistically estimated soft error rates, the errors were suggested to be caused by the cohesion and dispersion of oxygen vacancies owing to the generation of electron-hole pairs and valence changes by the ultraviolet irradiation.« less

  6. Bidirectional reflectance distribution function of diffuse extreme ultraviolet scatterers and extreme ultraviolet baffle materials.

    PubMed

    Newell, M P; Keski-Kuha, R A

    1997-08-01

    Bidirectional reflectance distribution function (BRDF) measurements of a number of diffuse extreme ultraviolet (EUV) scatterers and EUV baffle materials have been performed with the Goddard EUV scatterometer. BRDF data are presented for white Spectralon SRS-99 at 121.6 nm; the data exhibit a non-Lambertian nature and a total hemispherical reflectance lower than 0.15. Data are also presented for an evaporated Cu black sample, a black Spectralon SRS-02 sample, and a Martin Optical Black sample at wavelengths of 58.4 and 121.6 nm and for angles of incidence of 15 degrees and 45 degrees. Overall Martin Optical Black exhibited the lowest BRDF characteristic, with a total hemispherical reflectance of the order of 0.01 and measured BRDF values as low as 2 x 10(-3) sr(-1).

  7. Liquid Argon Scintillation Detection Utilizing Wavelength-Shifting Plates and Light Guides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, B.

    In DUNE, the event timing provided by the detection of the relatively prompt scintillation photons will improve spatial resolution in the drift direction of the time-projection chamber (TPC) and is especially useful for non-beam physics topics such as supernova neutrinos and nucleon decay. The baseline design for the first 10kt single phase TPC fits the photon detector system in the natural gap between the wire planes of adjacent TPC volumes. A prototype photon detector design utilizes wavelength-shifter coated plates to convert the vacuum ultraviolet scintillation light to the optical and commercially-produced wavelength-shifting light guides to trap some of this lightmore » and transport it to an array of silicon photomultipliers at the end. This system and the testing performed to characterize the system and determine the efficiency are discussed.« less

  8. Optical characterization limits of nanoparticle aggregates at different wavelengths using approximate Bayesian computation

    NASA Astrophysics Data System (ADS)

    Eriçok, Ozan Burak; Ertürk, Hakan

    2018-07-01

    Optical characterization of nanoparticle aggregates is a complex inverse problem that can be solved by deterministic or statistical methods. Previous studies showed that there exists a different lower size limit of reliable characterization, corresponding to the wavelength of light source used. In this study, these characterization limits are determined considering a light source wavelength range changing from ultraviolet to near infrared (266-1064 nm) relying on numerical light scattering experiments. Two different measurement ensembles are considered. Collection of well separated aggregates made up of same sized particles and that of having particle size distribution. Filippov's cluster-cluster algorithm is used to generate the aggregates and the light scattering behavior is calculated by discrete dipole approximation. A likelihood-free Approximate Bayesian Computation, relying on Adaptive Population Monte Carlo method, is used for characterization. It is found that when the wavelength range of 266-1064 nm is used, successful characterization limit changes from 21-62 nm effective radius for monodisperse and polydisperse soot aggregates.

  9. Femtosecond-pulse-driven electron-excited extreme-ultraviolet lasers in Be-like ions.

    PubMed

    Hooker, S M; Harris, S E

    1995-10-01

    A suggestion for the generation of extreme-ultraviolet (XUV) laser radiation based on tunneling ionization and subsequent electron excitation of Deltan not equal 0 transitions is described. The favorable scaling of the required intensity of the pump laser with the output XUV wavelength is compared with that exhibited by XUV lasers ased on Deltan = 0 transitions. Calculations for Be-like Ne predict signif icant gain at 14.1 nm.

  10. Bidirectional Reflectance Function Measurement of Molecular Contaminant Scattering in the Vacuum Ultraviolet

    NASA Technical Reports Server (NTRS)

    Herren, Kenneth A.; Gregory, Don A.

    2006-01-01

    Bi-directional reflectance distribution function (BRDF) measurements of optical surfaces both before and after molecular contamination were done using UV, VUV and visible light. Molecular contamination of optical surfaces from outgassed material has been shown in many cases to proceed from acclimation centers, and to produce many roughly hemispherical "islands" of contamination on the surface. Vacuum Ultraviolet (VW) wavelengths are used here to measure angularly scattered light from optical surfaces.

  11. Improving Assessment of Lifetime Solar Ultraviolet Radiation Exposure in Epidemiologic Studies: Comparison of Ultraviolet Exposure Assessment Methods in a Nationwide United States Occupational Cohort.

    PubMed

    Little, Mark P; Tatalovich, Zaria; Linet, Martha S; Fang, Michelle; Kendall, Gerald M; Kimlin, Michael G

    2018-06-13

    Solar ultraviolet radiation is the primary risk factor for skin cancers and sun-related eye disorders. Estimates of individual ambient ultraviolet irradiance derived from ground-based solar measurements and from satellite measurements have rarely been compared. Using self-reported residential history from 67,189 persons in a nationwide occupational US radiologic technologists cohort, we estimated ambient solar irradiance using data from ground-based meters and noontime satellite measurements. The mean distance-moved from city of longest residence in childhood increased from 137.6 km at ages 13-19 to 870.3 km at ages ≥65, with corresponding increases in absolute latitude-difference moved. At ages 20/40/60/80, the Pearson/Spearman correlation coefficients of ground-based and satellite-derived solar potential ultraviolet exposure, using irradiance and cumulative radiant-exposure metrics, were high (=0.87-0.92). There was also moderate correlation (Pearson/Spearman correlation coefficients=0.51-0.60) between irradiance at birth and at last-known address, for ground-based and satellite data. Satellite-based lifetime estimates of ultraviolet radiation were generally 14-15% lower than ground-based estimates, albeit with substantial uncertainties, possibly because ground-based estimates incorporate fluctuations in cloud and ozone, which are incompletely incorporated in the single noontime satellite-overpass ultraviolet value. If confirmed elsewhere, the findings suggest that ground-based estimates may improve exposure-assessment accuracy and potentially provide new insights into ultraviolet-radiation-disease relationships in epidemiologic studies. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  12. Calculating Solar Ultraviolet Irradiation Of The Human Cornea And Corresponding Required Sunglass Lens Transmittances

    NASA Astrophysics Data System (ADS)

    Hoover, Herbert L.; Marsaud, Serge G.

    1986-05-01

    Tinted ophthalmic lenses are used primarily for eye comfort in a brightly lit environment. An ancillary benefit is the attenuation of ultraviolet radiation. Some national product standards specify quantitative limits for ultraviolet transmittances. Such limits ought to be founded on quantitative estimates of solar irradiances of ocular tissues, with actinic effectiveness taken into account. We use the equations of Green and coworkers for direct and diffuse solar irradiance at the earth's surface to calculate average sky and ground spectral radiances. We use the geometric factors derived by us for the coupling of radiation from these sources to the human cornea. Actinically weighted corneal spectral irradiances integrated over wavelength and time yield peak irradiances and accumulated exposure doses that are compared with recommended exposure limits. This provides the maximal effective ultraviolet transmittances of tinted ophthalmic lenses such that these exposure limits will not be exceeded in the selected exposure environment. The influences on corneal irradiation of such exposure parameters as solar zenith angle, altitude of the exposure site, characteristics of atmospheric aerosols, and ground reflectances are illustrated. The relationships between the effective transmittance (which is a function of the environmental radiation and any actinicweighting function) and readily determined characteristics of the lens itself, viz., its mean transmittance, and a selected spectral transmittance, are derived for three lens transmittance curves. Limits of lens transmittance for the UV-B and UV-A wavelength regions are presented for several representative exposure sites in Europe and the U.S.A.

  13. Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors

    DOEpatents

    Ruffner, Judith Alison

    1999-01-01

    A method for coating (flat or non-flat) optical substrates with high-reflectivity multi-layer coatings for use at Deep Ultra-Violet ("DUV") and Extreme Ultra-Violet ("EUV") wavelengths. The method results in a product with minimum feature sizes of less than 0.10-.mu.m for the shortest wavelength (13.4-nm). The present invention employs a computer-based modeling and deposition method to enable lateral and vertical thickness control by scanning the position of the substrate with respect to the sputter target during deposition. The thickness profile of the sputter targets is modeled before deposition and then an appropriate scanning algorithm is implemented to produce any desired, radially-symmetric thickness profile. The present invention offers the ability to predict and achieve a wide range of thickness profiles on flat or figured substrates, i.e., account for 1/R.sup.2 factor in a model, and the ability to predict and accommodate changes in deposition rate as a result of plasma geometry, i.e., over figured substrates.

  14. Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors

    DOEpatents

    Ruffner, J.A.

    1999-06-15

    A method for coating (flat or non-flat) optical substrates with high-reflectivity multi-layer coatings for use at Deep Ultra-Violet (DUV) and Extreme Ultra-Violet (EUV) wavelengths. The method results in a product with minimum feature sizes of less than 0.10 [micro]m for the shortest wavelength (13.4 nm). The present invention employs a computer-based modeling and deposition method to enable lateral and vertical thickness control by scanning the position of the substrate with respect to the sputter target during deposition. The thickness profile of the sputter targets is modeled before deposition and then an appropriate scanning algorithm is implemented to produce any desired, radially-symmetric thickness profile. The present invention offers the ability to predict and achieve a wide range of thickness profiles on flat or figured substrates, i.e., account for 1/R[sup 2] factor in a model, and the ability to predict and accommodate changes in deposition rate as a result of plasma geometry, i.e., over figured substrates. 15 figs.

  15. Search with Copernicus for ultraviolet emission lines in the planetary nebula NGC 3242

    NASA Technical Reports Server (NTRS)

    Schwartz, R. D.; Snow, T. P., Jr.; Upson, W. L., II

    1978-01-01

    The high-excitation planetary nebula NGC 3242 has been observed with the ultraviolet telescope-spectrometer aboard Copernicus. Wavelength intervals corresponding to the emission lines of O VI at 1032 A, He II at 1085 A, Si III at 1206 A, and N V at 1239 A have been scanned. Upper limits to the observed fluxes are reported and compared with predicted emission-line fluxes from this object.

  16. Ultraviolet properties of individual hot stars in globular cluster cores. 1: NGC 1904 (M 79)

    NASA Technical Reports Server (NTRS)

    Altner, Bruce; Matilsky, Terry A.

    1992-01-01

    As part of an observing program using the International Ultraviolet Explorer (IUE) satellite to investigate the ultraviolet properties of stars found within the cores of galactic globular clusters with blue horizontal branches (HBs), we obtained three spectra of the cluster NGC 1904 (M 79). All three were long integration-time, short-wavelength (SWP) spectra obtained at the so called 'center of light' and all three showed evidence of sources within the IUE large aperture (21.4 in. by 10 in.). In this paper we shall describe the analysis of these spectra and present evidence that the UV sources represent individual hot stars in the post-HB stage of evolution.

  17. Ultraviolet Raman scattering from persistent chemical warfare agents

    NASA Astrophysics Data System (ADS)

    Kullander, Fredrik; Wästerby, Pär.; Landström, Lars

    2016-05-01

    Laser induced Raman scattering at excitation wavelengths in the middle ultraviolet was examined using a pulsed tunable laser based spectrometer system. Droplets of chemical warfare agents, with a volume of 2 μl, were placed on a silicon surface and irradiated with sequences of laser pulses. The Raman scattering from V-series nerve agents, Tabun (GA) and Mustard gas (HD) was studied with the aim of finding the optimum parameters and the requirements for a detection system. A particular emphasis was put on V-agents that have been previously shown to yield relatively weak Raman scattering in this excitation band.

  18. Ultraviolet light-emitting diodes in water disinfection.

    PubMed

    Vilhunen, Sari; Särkkä, Heikki; Sillanpää, Mika

    2009-06-01

    The novel system of ultraviolet light-emitting diodes (UV LEDs) was studied in water disinfection. Conventional UV lamps, like mercury vapor lamp, consume much energy and are considered to be problem waste after use. UV LEDs are energy efficient and free of toxicants. This study showed the suitability of LEDs in disinfection and provided information of the effect of two emitted wavelengths and different test mediums to Escherichia coli destruction. Common laboratory strain of E. coli (K12) was used and the effects of two emitted wavelengths (269 and 276 nm) were investigated with two photolytic batch reactors both including ten LEDs. The effects of test medium were examined with ultrapure water, nutrient and water, and nutrient and water with humic acids. Efficiency of reactors was almost the same even though the one emitting higher wavelength had doubled optical power compared to the other. Therefore, the effect of wavelength was evident and the radiation emitted at 269 nm was more powerful. Also, the impact of background was studied and noticed to have only slight deteriorating effect. In the 5-min experiment, the bacterial reduction of three to four log colony-forming units (CFU) per cubic centimeter was achieved, in all cases. When turbidity of the test medium was greater, part of the UV radiation was spent on the absorption and reactions with extra substances on liquid. Humic acids can also coat the bacteria reducing the sensitivity of the cells to UV light. The lower wavelength was distinctly more efficient when the optical power is considered, even though the difference of wavelengths was small. The reason presumably is the greater absorption of DNA causing more efficient bacterial breakage. UV LEDs were efficient in E. coli destruction, even if LEDs were considered to have rather low optical power. The effect of wavelengths was noticeable but the test medium did not have much impact. This study found UV LEDs to be an optimal method for bacterial

  19. High power pumped MID-IR wavelength devices using nonlinear frequency mixing (NFM)

    NASA Technical Reports Server (NTRS)

    Sanders, Steven (Inventor); Lang, Robert J. (Inventor); Waarts, Robert G. (Inventor)

    2001-01-01

    Laser diode pumped mid-IR wavelength sources include at least one high power, near-IR wavelength, injection and/or sources wherein one or both of such sources may be tunable providing a pump wave output beam to a quasi-phase matched (QPM) nonlinear frequency mixing (NFM) device. The NFM device may be a difference frequency mixing (DFM) device or an optical parametric oscillation (OPO) device. Wavelength tuning of at least one of the sources advantageously provides the ability for optimizing pump or injection wavelengths to match the QPM properties of the NFM device enabling a broad range of mid-IR wavelength selectivity. Also, pump powers are gain enhanced by the addition of a rare earth amplifier or oscillator, or a Raman/Brillouin amplifier or oscillator between the high power source and the NFM device. Further, polarization conversion using Raman or Brillouin wavelength shifting is provided to optimize frequency conversion efficiency in the NFM device.

  20. The 1997 North American Interagency Intercomparison of Ultraviolet Spectroradiometers Including Narrowband Filter Radiometers

    PubMed Central

    Lantz, Kathleen; Disterhoft, Patrick; Early, Edward; Thompson, Ambler; DeLuisi, John; Berndt, Jerry; Harrison, Lee; Kiedron, Peter; Ehramjian, James; Bernhard, Germar; Cabasug, Lauriana; Robertson, James; Mou, Wanfeng; Taylor, Thomas; Slusser, James; Bigelow, David; Durham, Bill; Janson, George; Hayes, Douglass; Beaubien, Mark; Beaubien, Arthur

    2002-01-01

    The fourth North American Intercomparison of Ultraviolet Monitoring Spectroradiometers was held September 15 to 25, 1997 at Table Mountain outside of Boulder, Colorado, USA. Concern over stratospheric ozone depletion has prompted several government agencies in North America to establish networks of spectroradiometers for monitoring solar ultraviolet irradiance at the surface of the Earth. The main purpose of the Intercomparison was to assess the ability of spectroradiometers to accurately measure solar ultraviolet irradiance, and to compare the results between instruments of different monitoring networks. This Intercomparison was coordinated by NIST and NOAA, and included participants from the ASRC, EPA, NIST, NSF, SERC, USDA, and YES. The UV measuring instruments included scanning spectroradiometers, spectrographs, narrow band multi-filter radiometers, and broadband radiometers. Instruments were characterized for wavelength accuracy, bandwidth, stray-light rejection, and spectral irradiance responsivity. The spectral irradiance responsivity was determined two to three times outdoors to assess temporal stability. Synchronized spectral scans of the solar irradiance were performed over several days. Using the spectral irradiance responsivities determined with the NIST traceable standard lamp, and a simple convolution technique with a Gaussian slit-scattering function to account for the different bandwidths of the instruments, the measured solar irradiance from the spectroradiometers excluding the filter radiometers at 16.5 h UTC had a relative standard deviation of ±4 % for wavelengths greater than 305 nm. The relative standard deviation for the solar irradiance at 16.5 h UTC including the filter radiometer was ±4 % for filter functions above 300 nm. PMID:27446717

  1. Solar Electromagnetic Radiation Study for Solar Cycle 22: Solar Ultraviolet Irradiance, 120 to 300 NM: Report of Working Groups 2 and 3 of SOLERS 22

    NASA Technical Reports Server (NTRS)

    Rottman, G. J.; Cebula, R. P.; Gillotay, D.; Simon, P. A.

    1996-01-01

    This report summarizes the activities of Working Group 2 and Working Group 3 of the SOLax Electromagnetic Radiation Study for Solar Cycle 22 (SOLERS22) Program. The international (SOLERS22) is Project 1.2 of the Solar-Terrestrial Energy Program (STEP) sponsored by SCOSTEP, a committee of the International Council of Scientific Unions). SOLERS22 is comprised of five Working Groups, each concentrating on a specific wave-length range: WG-1 - visible and infrared, WG-2 - mid-ultraviolet (200 < A < 300 nm), WG-3 - Far-ultraviolet (lambda greater than 100 and lambda less than 200 nanometers), WG-4 - extreme-ultraviolet (lambda greater than 10 and lambda less than 100 nm), and WG-5 - X-ray (lambda greater than 1 and lambda less than 10 nano meters). The overarching goals of SOLERS22 are to: 1) establish daily solar irradiance values in the specified wavelength ranges, 2) consider the evolving solar structures as the cause of temporal variations, and 3) understand the underlying physical processes driving these changes.

  2. A reflective optical transport system for ultraviolet Thomson scattering from electron plasma waves on OMEGA.

    PubMed

    Katz, J; Boni, R; Sorce, C; Follett, R; Shoup, M J; Froula, D H

    2012-10-01

    A reflective optical transport system has been designed for the OMEGA Thomson-scattering diagnostic. A Schwarzschild objective that uses two concentric spherical mirrors coupled to a Pfund objective provides diffraction-limited imaging across all reflected wavelengths. This enables the operator to perform Thomson-scattering measurements of ultraviolet (0.263 μm) light scattered from electron plasma waves.

  3. Far Ultraviolet Spectroscopic Explorer Observations of the Seyfert 1.5 Galaxy NGC 5548 in a Low State

    NASA Technical Reports Server (NTRS)

    Brotherton, M. S.; Green, R. F.; Kriss, G. A.; Oegerle, W.; Kaiser, M. E.; Zheng, W.; Hutchings, J. B.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    We present far-ultraviolet spectra of the Seyfert 1.5 galaxy NGC 5548 obtained in 2000 June with the Far Ultraviolet Spectroscopic Explorer (FUSE). Our data span the observed wavelength range 915-1185 A at a resolution of approximately 20 km s(exp -1). The spectrum shows a weak continuum and emission from O VI (lambda)(lambda)1032, 1038, C III (lambda)977, and He II (lambda)1085. The FUSE data were obtained when the AGN (Active Galactic Nuclei) was in a low state, which has revealed strong, narrow O VI emission lines. We also resolve intrinsic, associated absorption lines of O VI and the Lyman series. Several distinct kinematic components are present, spanning a velocity range of approximately 0 to -1300 km s(exp -1) relative to systemic, with kinematic structure similar to that seen in previous observations of longer wavelength ultraviolet (UV) lines. We explore the relationships between the far-UV (ultraviolet) absorbers and those seen previously in the UV and X-rays. We find that the high-velocity UV absorption component is consistent with being low-ionization, contrary to some previous claims, and is consistent with its non-detection in high-resolution X-ray spectra. The intermediate velocity absorbers, at -300 to -400 km s(exp -1), show H I and O VI column densities consistent with having contributions from both a high-ionization X-ray absorber and a low-ionization UV absorber. No single far-UV absorbing component can be solely identified with the X-ray absorber.

  4. Oil leakage detection for electric power equipment based on ultraviolet fluorescence effect

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Wang, Jian-hui; Xu, Bin; Huang, Zhi-dong; Huang, Lan-tao

    2018-03-01

    This paper presents a method to detect the oil leakage of high voltage power equipment based on ultraviolet fluorescence effect. The method exploits the principle that the insulating oil has the fluorescent effect under the irradiation of specific ultraviolet light. The emission spectrum of insulating oil under excitation light with different wavelengths is measured and analyzed first. On this basis, a portable oil leakage detective device for high voltage power equipment is designed and developed with a selected 365 nm ultraviolet as the excitation light and the low light level camera as the fluorescence image collector. Then, the feasibility of the proposed method and device in different conditions is experimentally verified in the laboratory environment. Finally, the developed oil leakage detective device is applied to 500 kV Xiamen substation and Quanzhou substation. And the results show that the device can detect the oil leakage of high voltage electrical equipment quickly and conveniently even under the condition of a slight oil leakage especially in the low light environment.

  5. Improved Mirror Coatings for Use in the Lyman Ultraviolet to Enhance Astronomical Instrument Capabilities

    NASA Technical Reports Server (NTRS)

    Quijada, Manuel A.; Del Hoyo, Javier; Boris, David R.; Walton, Scott

    2017-01-01

    This paper will describe efforts at developing broadband mirror coatings with high performance that will extend from infrared wavelengths down to the Far-Ultraviolet (FUV) spectral region. These mirror coatings would be realized by passivating the surface of freshly made aluminum coatings with XeF2 gas in order to form a thin AlF3 overcoat that will protect the aluminum from oxidation and, hence, realize the high-reflectance of this material down to its intrinsic cut-off wavelength of 90 nm. Improved reflective coatings for optics, particularly in the FUV region (90-120 nm), could yield dramatically more sensitive instruments and permit more instrument design freedom.

  6. Improved mirror coatings for use in the Lyman Ultraviolet to enhance astronomical instrument capabilities

    NASA Astrophysics Data System (ADS)

    Quijada, Manuel A.; del Hoyo, Javier; Boris, David R.; Walton, Scott G.

    2017-09-01

    This paper will describe efforts at developing broadband mirror coatings with high performance that will extend from infrared wavelengths down to the Far-Ultraviolet (FUV) spectral region. These mirror coatings would be realized by passivating the surface of freshly made aluminum coatings with fluorine ions in order to form a thin AlF3 overcoat that will protect the aluminum from oxidation and, hence, realize the high-reflectance of this material down to its intrinsic cut-off wavelength of 90 nm. Improved reflective coatings for optics, particularly in the FUV region (90-120 nm), could yield dramatically more sensitive instruments and permit more instrument design freedom.

  7. Far Ultraviolet Refractive Index of Optical Materials for Solar Blind Channel (SBC) Filters for HST Advanced Camera for Surveys

    NASA Technical Reports Server (NTRS)

    Leviton, Douglas B.; Madison, Timothy J.; Petrone, Peter

    1998-01-01

    Refractive index measurements using the minimum deviation method have been carried out for prisms of a variety of far ultraviolet optical materials used in the manufacture of Solar Blind Channel (SBC) filters for the HST Advanced Camera for Surveys (ACS). Some of the materials measured are gaining popularity in a variety of high technology applications including high power excimer lasers and advanced microlithography optics operating in a wavelength region where high quality knowledge of optical material properties is sparse. Our measurements are of unusually high accuracy and precision for this wavelength region owing to advanced instrumentation in the large vacuum chamber of the Diffraction Grating Evaluation Facility (DGEF) at Goddard Space Flight Center (GSFC). Index values for CaF2, BaF2, LiF, and far ultraviolet grades of synthetic sapphire and synthetic fused silica are reported and compared with values from the literature.

  8. Organic molecules and nanoparticles in inorganic crystals: Vitamin C in CaCO3 as an ultraviolet absorber

    NASA Astrophysics Data System (ADS)

    Sato, H.; Ikeya, M.

    2004-03-01

    Organic molecules and nanoparticles embedded in inorganic crystalline lattices have been studied to add different properties and functions to composite materials. Calcium carbonate was precipitated by dropping an aqueous solution of CaCl2 into that of Na2CO3 containing dissolved vitamin C (ascorbic acid). The optical absorption ascribed to divalent ascorbate anions in the lattice was observed in the ultraviolet B (wavelength: 280-315 nm) region, while solid vitamin C exhibited absorption in the ultraviolet C (100-280 nm) region. The divalent ascorbate anion is only stable in CaCO3 due to the absence of oxygen molecules. Doping CaCO3 with nanoparticles of ZnO also enhanced the absorption in the ultraviolet A (315-380 nm) region. These composite materials are suggested for use as UV absorbers.

  9. Ultraviolet spectroscopy of meteoric debris: In situ calibration experiments from Earth orbit

    NASA Technical Reports Server (NTRS)

    Nuth, J. A., III; Wdowiak, T. J.; Kubinec, W. R.

    1986-01-01

    It is proposed to carry out slitless spectroscopy at ultraviolet wavelengths from orbit of meteoric debris associated with comets. The Eta Aquarid, Orionid/Halley, and the Persied/1962 862 Swift-Tuttle showers would be principal targets. Low light level, ultraviolet video technique will be used during night side of the orbit in a wide field, earthward viewing mode. Data will be stored in compact video cassette recorders. The experiment may be configured as a GAS package or in the HITCHHIKER mode. The latter would allow flexible pointing capability beyond that offered by shuttle orientation of the GAS package, and doubling of the data record. The 1100 to 3200 A spectral region should show emissions of atomic, ionic, and molecular species of interest on cometary and solar system studies.

  10. Ultraviolet spectroscopy of meteoric debris: In situ calibration experiments from earth orbit

    NASA Technical Reports Server (NTRS)

    Nuth, Joseph A.; Wdowiak, Thomas J.; Kubinec, William R.

    1987-01-01

    It is proposed to carry out slitless spectroscopy at ultraviolet wavelengths from orbit of meteoric debris associated with comets. The Eta Aquarid, Orionid/Halley, and the Persied/1962 862 Swift-Tuttle showers would be principal targets. Low light level, ultraviolet video technique will be used during the night side of the orbit in a wide field, earthward viewing mode. Data will be stored in compact video cassette recorders. The experiment may be configured as a GAS package or in the HITCHHIKER mode. The latter would allow flexible pointing capability beyond that offered by shuttle orientation of the GAS package, and doubling of the data record. The 1100 to 3200 A spectral region should show emissions of atomic, ionic, and molecular species of interest on cometary and solar system studies.

  11. Ultraviolet photometry from the orbiting astronomical observatory. XXX - The Orion reflection nebulosity

    NASA Technical Reports Server (NTRS)

    Witt, A. N.; Lillie, C. F.

    1978-01-01

    Surface-brightness measurements are presented that cover the region of Orion in nine intermediate-width bandpasses ranging from 4250 to 1550 A. The existence of an extended ultraviolet reflection nebulosity in this area is confirmed, and the characteristics of its spectrum and spatial distribution are derived. The observations are consistent with a model in which the dense molecular cloud complex in Orion is illuminated by the foreground Orion aggregate of early-type stars. The interpretation is complicated by the fact that foreground dust may contribute to the observed scattered light. The scattering particles in the cloud appear to exhibit a wavelength-dependent albedo similar to that found for interstellar grains in general, with a strong indication that the phase function changes to a less forward-scattering form in the ultraviolet.

  12. Do spotless starlings place feathers at their nests by ultraviolet color?

    PubMed

    Avilés, Jesús M; Parejo, Deseada; Pérez-Contreras, Tomás; Navarro, Carlos; Soler, Juan J

    2010-02-01

    A considerable number of bird species carry feathers to their nests. Feathers' presence in the nests has traditionally been explained by their insulating properties. Recently, however, it has been suggested that feathers carried to the nests by females of the spotted starling (Sturnus unicolor L.) could have an ornamental function based on their ultraviolet (300-400 nm) and human-visible longer wavelength (400-700 nm) coloration. In our population, 95.7% of feathers found inside next-boxes occupied by nesting starlings were rock dove fly feathers. Of these feathers, 82.7% were naturally positioned with their reverse side oriented toward the entrance hole and 42.4% of all found feathers were situated within the nest-cup. Here we experimentally assess the signaling function of ultraviolet coloration of feathers in nests of spotless starlings by providing nests with a number of pigeon flight feathers that were respectively treated on their obverse, reverse, both, or neither side with a UV blocker. Starlings placed 42.5% of the experimental feathers in the nest-cup irrespective of the UV block treatment. Orientation of feathers toward the entrance hole was not related with their ultraviolet radiation. However, feathers placed within the nest-cup were more likely found with their reverse side oriented toward the entrance hole confirming our correlative findings. These results suggest a minor role of ultraviolet coloration on feather location by spotless starlings.

  13. Do spotless starlings place feathers at their nests by ultraviolet color?

    NASA Astrophysics Data System (ADS)

    Avilés, Jesús M.; Parejo, Deseada; Pérez-Contreras, Tomás; Navarro, Carlos; Soler, Juan J.

    2010-02-01

    A considerable number of bird species carry feathers to their nests. Feathers’ presence in the nests has traditionally been explained by their insulating properties. Recently, however, it has been suggested that feathers carried to the nests by females of the spotted starling ( Sturnus unicolor L.) could have an ornamental function based on their ultraviolet (300-400 nm) and human-visible longer wavelength (400-700 nm) coloration. In our population, 95.7% of feathers found inside next-boxes occupied by nesting starlings were rock dove fly feathers. Of these feathers, 82.7% were naturally positioned with their reverse side oriented toward the entrance hole and 42.4% of all found feathers were situated within the nest-cup. Here we experimentally assess the signaling function of ultraviolet coloration of feathers in nests of spotless starlings by providing nests with a number of pigeon flight feathers that were respectively treated on their obverse, reverse, both, or neither side with a UV blocker. Starlings placed 42.5% of the experimental feathers in the nest-cup irrespective of the UV block treatment. Orientation of feathers toward the entrance hole was not related with their ultraviolet radiation. However, feathers placed within the nest-cup were more likely found with their reverse side oriented toward the entrance hole confirming our correlative findings. These results suggest a minor role of ultraviolet coloration on feather location by spotless starlings.

  14. Near-ultraviolet laser diodes for brilliant ultraviolet fluorophore excitation.

    PubMed

    Telford, William G

    2015-12-01

    Although multiple lasers are now standard equipment on most modern flow cytometers, ultraviolet (UV) lasers (325-365 nm) remain an uncommon excitation source for cytometry. Nd:YVO4 frequency-tripled diode pumped solid-state lasers emitting at 355 nm are now the primary means of providing UV excitation on multilaser flow cytometers. Although a number of UV excited fluorochromes are available for flow cytometry, the cost of solid-state UV lasers remains prohibitively high, limiting their use to all but the most sophisticated multilaser instruments. The recent introduction of the brilliant ultraviolet (BUV) series of fluorochromes for cell surface marker detection and their importance in increasing the number of simultaneous parameters for high-dimensional analysis has increased the urgency of including UV sources in cytometer designs; however, these lasers remain expensive. Near-UV laser diodes (NUVLDs), a direct diode laser source emitting in the 370-380 nm range, have been previously validated for flow cytometric analysis of most UV-excited probes, including quantum nanocrystals, the Hoechst dyes, and 4',6-diamidino-2-phenylindole. However, they remain a little-used laser source for cytometry, despite their significantly lower cost. In this study, the ability of NUVLDs to excite the BUV dyes was assessed, along with their compatibility with simultaneous brilliant violet (BV) labeling. A NUVLD emitting at 375 nm was found to excite most of the available BUV dyes at least as well as a UV 355 nm source. This slightly longer wavelength did produce some unwanted excitation of BV dyes, but at sufficiently low levels to require minimal additional compensation. NUVLDs are compact, relatively inexpensive lasers that have higher power levels than the newest generation of small 355 nm lasers. They can, therefore, make a useful, cost-effective substitute for traditional UV lasers in multicolor analysis involving the BUV and BV dyes. Published 2015 Wiley Periodicals Inc. on

  15. Multi-Wavelength Views of Messier 81

    NASA Technical Reports Server (NTRS)

    2003-01-01

    [figure removed for brevity, see original site] Click on individual images below for larger view

    [figure removed for brevity, see original site]

    [figure removed for brevity, see original site]

    [figure removed for brevity, see original site]

    [figure removed for brevity, see original site]

    The magnificent spiral arms of the nearby galaxy Messier 81 are highlighted in this image from NASA's Spitzer Space Telescope. Located in the northern constellation of Ursa Major (which also includes the Big Dipper), this galaxy is easily visible through binoculars or a small telescope. M81 is located at a distance of 12 million light-years.

    The main image is a composite mosaic obtained with the multiband imaging photometer for Spitzer and the infrared array camera. Thermal infrared emission at 24 microns detected by the photometer (red, bottom left inset) is combined with camera data at 8.0 microns (green, bottom center inset) and 3.6 microns (blue, bottom right inset).

    A visible-light image of Messier 81, obtained at Kitt Peak National Observatory, a ground-based telescope, is shown in the upper right inset. Both the visible-light picture and the 3.6-micron near-infrared image trace the distribution of stars, although the Spitzer image is virtually unaffected by obscuring dust. Both images reveal a very smooth stellar mass distribution, with the spiral arms relatively subdued.

    As one moves to longer wavelengths, the spiral arms become the dominant feature of the galaxy. The 8-micron emission is dominated by infrared light radiated by hot dust that has been heated by nearby luminous stars. Dust in the galaxy is bathed by ultraviolet and visible light from nearby stars. Upon absorbing an ultraviolet or visible-light photon, a dust grain is heated and re-emits the energy at longer infrared wavelengths. The dust particles are composed of silicates (chemically similar to beach sand), carbonaceous grains and polycyclic aromatic hydrocarbons and

  16. Emirates Mars Ultraviolet Spectrometer (EMUS) Overview from the Emirates Mars Mission

    NASA Astrophysics Data System (ADS)

    Lootah, F. H.; Almatroushi, H. R.; AlMheiri, S.; Holsclaw, G.; Deighan, J.; Chaffin, M.; Reed, H.; Lillis, R. J.; Fillingim, M. O.; England, S.

    2017-12-01

    The Emirates Mars Ultraviolet Spectrometer (EMUS) instrument is one of three science instruments on board the "Hope Probe" of the Emirates Mars Mission (EMM). EMM is a United Arab Emirates' (UAE) mission to Mars, launching in 2020, to explore the global dynamics of the Martian atmosphere, while sampling on both diurnal and seasonal timescales. The EMUS instrument is a far-ultraviolet imaging spectrograph that measures emissions in the spectral range 100-170 nm. Using a combination of its one-dimensional imaging and spacecraft motion, it will build up two-dimensional far-ultraviolet images of the Martian disk and near-space environment at several important wavelengths: the Lyman beta atomic hydrogen emission (102.6 nm), the Lyman alpha atomic hydrogen emission (121.6 nm), two atomic oxygen emissions (130.4 nm and 135.6 nm), and the carbon monoxide fourth positive group band emission (140 nm-170 nm). Radiances at these wavelengths will be used to derive the column abundance of atomic oxygen, and carbon monoxide in the Martian thermosphere, and the density of atomic oxygen and atomic hydrogen in the Martian exosphere both with spatial and sub-seasonal variability. The EMUS instrument consists of a single telescope mirror feeding a Rowland circle imaging spectrograph with selectable spectral resolution (1.3 nm, 1.8 nm, or 5 nm), and a photon-counting and locating detector (provided by the Space Sciences Laboratory at the University of California, Berkeley). The EMUS spatial resolution of less than 300 km on the disk is sufficient to characterize spatial variability in the Martian thermosphere (100-200 km altitude) and exosphere (>200 km altitude). The instrument is jointly developed by the Laboratory for Atmospheric and Space Physics (LASP) at the University of Colorado Boulder and Mohammed Bin Rashid Space Centre (MBRSC) in Dubai, UAE.

  17. Emirates Mars Ultraviolet Spectrometer (EMUS) Overview from the Emirates Mars Mission

    NASA Astrophysics Data System (ADS)

    Almatroushi, Hessa; Lootah, Fatma; Holsclaw, Greg; Deighan, Justin; Chaffin, Michael; Lillis, Robert; Fillingim, Matthew; England, Scott; AlMheiri, Suhail; Reed, Heather

    2017-04-01

    The Emirates Mars Ultraviolet Spectrometer (EMUS) instrument is one of three science instruments to be carried on board the Emirate Mars Mission (EMM), the "Hope Probe". EMM is a United Arab Emirates' (UAE) mission to Mars launching in 2020 to explore the dynamics in the Martian atmosphere globally, while sampling on both diurnal and seasonal timescales. The EMUS instrument is a far-ultraviolet imaging spectrograph that measures emissions in the spectral range 100-170 nm. Using spacecraft motion, it will build up two-dimensional far-ultraviolet images of the Martian disk and near-space environment at several important wavelengths: Lyman beta atomic hydrogen emission (102.6 nm), Lyman alpha atomic hydrogen emission (121.6 nm), atomic oxygen emission (130.4 nm and 135.6 nm), and carbon monoxide fourth positive group band emission (140 nm-170 nm). Radiances at these wavelengths will be used to derive the column abundance of atomic oxygen, and carbon monoxide in the Martian thermosphere, and the density of atomic oxygen and atomic hydrogen in the Martian exosphere both with spatial and sub-seasonal variability. EMUS consists of a single telescope mirror feeding a Rowland circle imaging spectrograph capable of selectable spectral resolution (1.3 nm, 1.8 nm, or 5 nm) with a photon-counting and locating detector (provided by the Space Sciences Laboratory at the University of California, Berkeley). The EMUS spatial resolution of less than 300km on the disk is sufficient to characterize spatial variability in the Martian thermosphere (100-200 km altitude) and exosphere (>200 km altitude). The instrument is jointly developed by the Laboratory for Atmospheric and Space Physics (LASP) at the University of Colorado Boulder and Mohammed Bin Rashid Space Centre (MBRSC) in Dubai, UAE

  18. Effects of ultraviolet (UV) irradiation in air and under vacuum on low-k dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, F. A.; Nguyen, H. M.; Shohet, J. L., E-mail: shohet@engr.wisc.edu

    This work addresses the effect of ultraviolet radiation of wavelengths longer than 250 nm on Si-CH{sub 3} bonds in porous low-k dielectrics. Porous low-k films (k = 2.3) were exposed to 4.9 eV (254 nm) ultraviolet (UV) radiation in both air and vacuum for one hour. Using Fourier Transform Infrared (FTIR) spectroscopy, the chemical structures of the dielectric films were analyzed before and after the UV exposure. UV irradiation in air led to Si-CH{sub 3} bond depletion in the low-k material and made the films hydrophilic. However, no change in Si-CH{sub 3} bond concentration was observed when the same samplesmore » were exposed to UV under vacuum with a similar fluence. These results indicate that UV exposures in vacuum with wavelengths longer than ∼250 nm do not result in Si-CH{sub 3} depletion in low-k films. However, if the irradiation takes place in air, the UV irradiation removes Si-CH{sub 3} although direct photolysis of air species does not occur above ∼242 nm. We propose that photons along with molecular oxygen and, water, synergistically demethylate the low-k films.« less

  19. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less

  20. Pluto's Ultraviolet Airglow and Detection of Ions in the Upper Atmosphere

    NASA Astrophysics Data System (ADS)

    Steffl, A.; Young, L. A.; Kammer, J.; Gladstone, R.; Hinson, D. P.; Summers, M. E.; Strobel, D. F.; Stern, S. A.; Weaver, H. A., Jr.; Olkin, C.; Ennico Smith, K.

    2017-12-01

    In July 2015, the Alice ultraviolet spectrograph aboard the New Horizons spacecraft made numerous observations of Pluto and its atmosphere. We present here the far ultraviolet reflectance spectrum of Pluto and airglow emissions from its atmosphere. At wavelengths greater than 1400Å, Pluto's spectrum is dominated by sunlight reflected from the surface of the planet. Various hydrocarbon species such as C2H4 are detected in absorption of the solar continuum. Below 1400Å, Pluto's atmosphere is opaque and the surface cannot be detected. However, after carefully removing various sources of background light, we see extremely faint airglow emissions (<0.05 Rayleighs/Ångstrom) from Pluto's atmosphere. All of the emissions are produced by nitrogen in various forms: molecular, atomic, and singly ionized. The detection of N+ at 1086Å is the first, and thus far only, direct detection of ions in Pluto's atmosphere. This N+ emission line is produced primarily by dissociative photoionization of molecular N2 by solar EUV photons (energy > 34.7 eV; wavelength < 360Å). Notably absent from Pluto's spectrum are emission lines from argon at 1048 and 1067Å. We place upper limits on the amount of argon in Pluto's atmosphere above the tau=1 level (observed to be at 750km tangent altitude) that are significantly lower than pre-encounter atmospheric models.

  1. Field Studies of Broadband Aerosol Optical Extinction in the Ultraviolet Spectral Region

    NASA Astrophysics Data System (ADS)

    Washenfelder, R. A.; Attwood, A.; Brock, C. A.; Brown, S. S.

    2013-12-01

    Aerosols influence the Earth's radiative budget by scattering and absorbing incoming solar radiation. The optical properties of aerosols vary as a function of wavelength, but few measurements have reported the wavelength dependence of aerosol extinction cross sections and complex refractive indices. In the case of brown carbon, its wavelength-dependent absorption in the ultraviolet spectral region has been suggested as an important component of aerosol radiative forcing. We describe a new field instrument to measure aerosol optical extinction as a function of wavelength, using cavity enhanced spectroscopy with a broadband light source. The instrument consists of two broadband channels which span the 360-390 and 385-420 nm spectral regions using two light emitting diodes (LED) and a grating spectrometer with charge-coupled device (CCD) detector. We deployed this instrument during the Fire Lab at Missoula Experiment during Fall 2012 to measure biomass burning aerosol, and again during the Southern Oxidant and Aerosol Study in summer 2013 to measure organic aerosol in the Southeastern U.S. In both field experiments, we determined aerosol optical extinction as a function of wavelength and can interpret this together with size distribution and composition measurements to characterize the aerosol optical properties and radiative forcing.

  2. Observations of the Magnetic Cataclysmic Variable VV Puppis with the Far Ultraviolet Spectroscopic Explorer

    NASA Astrophysics Data System (ADS)

    Hoard, D. W.; Szkody, Paula; Ishioka, Ryoko; Ferrario, L.; Gänsicke, B. T.; Schmidt, Gary D.; Kato, Taichi; Uemura, Makoto

    2002-10-01

    We present the first far-ultraviolet (FUV) observations of the magnetic cataclysmic variable VV Puppis, obtained with the Far Ultraviolet Spectroscopic Explorer satellite. In addition, we have obtained simultaneous ground-based optical photometric observations of VV Pup during part of the FUV observation. The shapes of the FUV and optical light curves are consistent with each other and with those of past observations at optical, extreme-ultraviolet, and X-ray wavelengths. Time-resolved FUV spectra during the portion of VV Pup's orbit when the accreting magnetic pole of the white dwarf can be seen show an increasing continuum level as the accretion spot becomes more directly visible. The most prominent features in the spectrum are the O VI λλ1031.9, 1037.6 emission lines. We interpret the shape and velocity shift of these lines in the context of an origin in the accretion funnel near the white dwarf surface. A blackbody function with Tbb>~90,000 K provides an adequate fit to the FUV spectral energy distribution of VV Pup. Based on observations with the NASA-CNES-CSA Far Ultraviolet Spectroscopic Explorer. FUSE is operated for NASA by Johns Hopkins University under NASA contract NAS 5-32985.

  3. Multi-wavelength Radio Continuum Emission Studies of Dust-free Red Giants

    NASA Technical Reports Server (NTRS)

    O'Gorman, Eamon; Harper, Graham M.; Brown, Alexander; Dranke, Stephen; Richards, Anita M. S.

    2013-01-01

    Multi-wavelength centimeter continuum observations of non-dusty, non-pulsating K spectral-type red giants directly sample their chromospheres and wind acceleration zones. Such stars are feeble emitters at these wavelengths, however, and previous observations have provided only a small number of modest signal-to-noise measurements slowly accumulated over three decades. We present multi-wavelength Karl G. Jansky Very Large Array thermal continuum observations of the wind acceleration zones of two dust-free red giants, Arcturus (alpha Boo: K2 III) and Aldebaran (alpha Tau: K5 III). Importantly, most of our observations of each star were carried out over just a few days, so that we obtained a snapshot of the different stellar atmospheric layers sampled at different wavelengths, independent of any long-term variability. We report the first detections at several wavelengths for each star including a detection at 10 cm (3.0 GHz: S band) for both stars and a 20 cm (1.5 GHz: L band) detection for alpha Boo. This is the first time single (non-binary) luminosity class III red giants have been detected at these continuum wavelengths. Our long-wavelength data sample the outer layers of alpha Boo's atmosphere where its wind velocity is approaching (or possibly has reached) its terminal value and the ionization balance is becoming frozen-in. For alpha Tau, however, our long-wavelength data are still sampling its inner atmosphere, where the wind is still accelerating probably due to its lower mass-loss rate. We compare our data with published semi-empirical models based on ultraviolet data, and the marked deviations highlight the need for new atmospheric models to be developed. Spectral indices are used to discuss the possible properties of the stellar atmospheres, and we find evidence for a rapidly cooling wind in the case of alpha Boo. Finally, we develop a simple analytical wind model for alpha Boo based on our new long-wavelength flux measurements.

  4. MULTI-WAVELENGTH RADIO CONTINUUM EMISSION STUDIES OF DUST-FREE RED GIANTS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Gorman, Eamon; Harper, Graham M.; Brown, Alexander

    2013-10-01

    Multi-wavelength centimeter continuum observations of non-dusty, non-pulsating K spectral-type red giants directly sample their chromospheres and wind acceleration zones. Such stars are feeble emitters at these wavelengths, however, and previous observations have provided only a small number of modest signal-to-noise measurements slowly accumulated over three decades. We present multi-wavelength Karl G. Jansky Very Large Array thermal continuum observations of the wind acceleration zones of two dust-free red giants, Arcturus (α Boo: K2 III) and Aldebaran (α Tau: K5 III). Importantly, most of our observations of each star were carried out over just a few days, so that we obtained amore » snapshot of the different stellar atmospheric layers sampled at different wavelengths, independent of any long-term variability. We report the first detections at several wavelengths for each star including a detection at 10 cm (3.0 GHz: S band) for both stars and a 20 cm (1.5 GHz: L band) detection for α Boo. This is the first time single (non-binary) luminosity class III red giants have been detected at these continuum wavelengths. Our long-wavelength data sample the outer layers of α Boo's atmosphere where its wind velocity is approaching (or possibly has reached) its terminal value and the ionization balance is becoming frozen-in. For α Tau, however, our long-wavelength data are still sampling its inner atmosphere, where the wind is still accelerating probably due to its lower mass-loss rate. We compare our data with published semi-empirical models based on ultraviolet data, and the marked deviations highlight the need for new atmospheric models to be developed. Spectral indices are used to discuss the possible properties of the stellar atmospheres, and we find evidence for a rapidly cooling wind in the case of α Boo. Finally, we develop a simple analytical wind model for α Boo based on our new long-wavelength flux measurements.« less

  5. Catalog of far-ultraviolet objective-prism spectrophotometry: Skylab experiment S-019, ultraviolet steller astronomy

    NASA Technical Reports Server (NTRS)

    Henize, K. G.; Wray, J. D.; Parsons, S. B.; Benedict, G. F.

    1979-01-01

    Ultraviolet stellar spectra in the wavelength region from 1300 to 5000 A (130 to 500) were photographed during the three manned Skylab missions using a 15 cm aperture objective-prism telescope. The prismatic dispersion varied from 58 A mm/1 at 1400 A to 1600 A mm/1 at 3000 A. Approximately 1000 spectra representing 500 stars were measured and reduced to observed fluxes. About 100 stars show absorption lines of Si IV, C IV, or C II. Numerous line features are also recorded in supergiant stars, shell stars, A and F stars, and Wolf-Rayet stars. Most of the stars in the catalog are of spectral class B, with a number of O and A type stars and a sampling of WC, WN, F and C type stars. Spectrophotometric results are tabulated for these 500 stars.

  6. Monolithically integrated distributed feedback laser array wavelength-selectable light sources for WDM-PON application

    NASA Astrophysics Data System (ADS)

    Chen, Xin; Zhao, Jianyi; Zhou, Ning; Huang, Xiaodong; Cao, Mingde; Wang, Lei; Liu, Wen

    2015-01-01

    The monolithic integration of 1.5-μm four channels phase shift distributed feedback lasers array (DFB-LD array) with 4×1 multi-mode interference (MMI) optical combiner is demonstrated. A home developed process mainly consists of butt-joint regrowth (BJR) and simultaneous thermal and ultraviolet nanoimprint lithography (STU-NIL) is implemented to fabricate gratings and integrated devices. The threshold currents of the lasers are less than 10 mA and the side mode suppression ratios (SMSR) are better than 40 dB for all channels. Quasi-continuous tuning is realized over 7.5 nm wavelength region with the 30 °C temperature variation. The results indicate that the integration device we proposed can be used in wavelength division multiplexing passive optical networks (WDM-PON).

  7. Comparisons of laboratory wavelength measurements with theoretical calculations for neon-like through lithium-like argon, sulfur, and silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lepson, J K; Beiersdorfer, P; Behar, E

    Atomic structure codes have a difficult time accurately calculating the wavelengths of many-electron ions without the benefit of laboratory measurements. This is especially true for wavelengths of lines in the extreme ultraviolet and soft x-ray regions. We are using the low-energy capability of the Livermore electron beam ion traps to compile a comprehensive catalog of astrophysically relevant emission lines in support of satellite x-ray observations. Our database includes wavelength measurements, relative intensities, and line assignments, and is compared to a full set of calculations using the Hebrew University - Lawrence Livermore Atomic Code (HULLAC). Mean deviation of HULLAC calculations frommore » our measured wavelength values is highest for L-shell transitions of neon-like ions and lowest for lithium-like ions, ranging from a mean deviation of over 0.5 {angstrom} for Si V to 12 m{angstrom} in Ar XVI.« less

  8. Mechanistic considerations on the wavelength-dependent variations of UVR genotoxicity and mutagenesis in skin: the discrimination of UVA-signature from UV-signature mutation.

    PubMed

    Ikehata, Hironobu

    2018-05-31

    Ultraviolet radiation (UVR) predominantly induces UV-signature mutations, C → T and CC → TT base substitutions at dipyrimidine sites, in the cellular and skin genome. I observed in our in vivo mutation studies of mouse skin that these UVR-specific mutations show a wavelength-dependent variation in their sequence-context preference. The C → T mutation occurs most frequently in the 5'-TCG-3' sequence regardless of the UVR wavelength, but is recovered more preferentially there as the wavelength increases, resulting in prominent occurrences exclusively in the TCG sequence in the UVA wavelength range, which I will designate as a "UVA signature" in this review. The preference of the UVB-induced C → T mutation for the sequence contexts shows a mixed pattern of UVC- and UVA-induced mutations, and a similar pattern is also observed for natural sunlight, in which UVB is the most genotoxic component. In addition, the CC → TT mutation hardly occurs at UVA1 wavelengths, although it is detected rarely but constantly in the UVC and UVB ranges. This wavelength-dependent variation in the sequence-context preference of the UVR-specific mutations could be explained by two different photochemical mechanisms of cyclobutane pyrimidine dimer (CPD) formation. The UV-signature mutations observed in the UVC and UVB ranges are known to be caused mainly by CPDs produced through the conventional singlet/triplet excitation of pyrimidine bases after the direct absorption of the UVC/UVB photon energy in those bases. On the other hand, a novel photochemical mechanism through the direct absorption of the UVR energy to double-stranded DNA, which is called "collective excitation", has been proposed for the UVA-induced CPD formation. The UVA photons directly absorbed by DNA produce CPDs with a sequence context preference different from that observed for CPDs caused by the UVC/UVB-mediated singlet/triplet excitation, causing CPD formation preferentially at thymine-containing dipyrimidine

  9. Dual-wavelength phase-shifting digital holography selectively extracting wavelength information from wavelength-multiplexed holograms.

    PubMed

    Tahara, Tatsuki; Mori, Ryota; Kikunaga, Shuhei; Arai, Yasuhiko; Takaki, Yasuhiro

    2015-06-15

    Dual-wavelength phase-shifting digital holography that selectively extracts wavelength information from five wavelength-multiplexed holograms is presented. Specific phase shifts for respective wavelengths are introduced to remove the crosstalk components and extract only the object wave at the desired wavelength from the holograms. Object waves in multiple wavelengths are selectively extracted by utilizing 2π ambiguity and the subtraction procedures based on phase-shifting interferometry. Numerical results show the validity of the proposed technique. The proposed technique is also experimentally demonstrated.

  10. Concept Study Report: Extreme-Ultraviolet Imaging Spectrometer Solar-B

    NASA Technical Reports Server (NTRS)

    Doschek, George, A.; Brown, Charles M.; Davila, Joseph M.; Dere, Kenneth P.; Korendyke, Clarence M.; Mariska, John T.; Seely, John F.

    1999-01-01

    We propose a next generation Extreme-ultraviolet Imaging Spectrometer (EIS) that for the first time combines high spectral, spatial, and temporal resolution in a single solar spectroscopic instrument. The instrument consists of a multilayer-coated off-axis telescope mirror and a multilayer-coated grating spectrometer. The telescope mirror forms solar images on the spectrometer entrance slit assembly. The spectrometer forms stigmatic spectra of the solar region located at the slit. This region is selected by the articulated telescope mirror. Monochromatic images are obtained either by rastering the solar region across a narrow entrance slit, or by using a very wide slit (called a slot) in place of the slit. Monochromatic images of the region centered on the slot are obtained in a single exposure. Half of each optic is coated to maximize reflectance at 195 Angstroms; the other half to maximize reflectance at 270 Angstroms. The two Extreme Ultraviolet (EUV) wavelength bands have been selected to maximize spectral and dynamical and plasma diagnostic capabilities. Spectral lines are observed that are formed over a temperature range from about 0.1 MK to about 20 MK. The main EIS instrument characteristics are: wavelength bands - 180 to 204 Angstroms; 250 to 290 Angstroms; spectral resolution - 0.0223 Angstroms/pixel (34.3km/s at 195 Angstroms and 23.6 km/s at 284 Angstroms); slit dimensions - 4 slits, two currently specified dimensions are 1" x 1024" and 50" x 1024" (the slot); largest spatial field of view in a single exposure - 50" x 1024"; highest time resolution for active region velocity studies - 4.4 s.

  11. Rare earth phosphors and phosphor screens

    DOEpatents

    Buchanan, Robert A.; Maple, T. Grant; Sklensky, Alden F.

    1981-01-01

    This invention relates to rare earth phosphor screens for converting image carrying incident radiation to image carrying visible or near-visible radiation and to the rare earth phosphor materials utilized in such screens. The invention further relates to methods for converting image carrying charged particles to image carrying radiation principally in the blue and near-ultraviolet region of the spectrum and to stabilized rare earth phosphors characterized by having a continuous surface layer of the phosphors of the invention. More particularly, the phosphors of the invention are oxychlorides and oxybromides of yttrium, lanthanum and gadolinium activated with trivalent cerium and the conversion screens are of the type illustratively including x-ray conversion screens, image amplifier tube screens, neutron imaging screens, cathode ray tube screens, high energy gamma ray screens, scintillation detector screens and screens for real-time translation of image carrying high energy radiation to image carrying visible or near-visible radiation.

  12. Biophotonic markers of malignancy: Discriminating cancers using wavelength-specific biophotons.

    PubMed

    Murugan, Nirosha J; Rouleau, Nicolas; Karbowski, Lukasz M; Persinger, Michael A

    2018-03-01

    Early detection is a critically important factor when successfully diagnosing and treating cancer. Whereas contemporary molecular techniques are capable of identifying biomarkers associated with cancer, surgical interventions are required to biopsy tissue. The common imaging alternative, positron-emission tomography (PET), involves the use of nuclear material which poses some risks. Novel, non-invasive techniques to assess the degree to which tissues express malignant properties are now needed. Recent developments in biophoton research have made it possible to discriminate cancerous cells from normal cells both in vitro and in vivo. The current study expands upon a growing body of literature where we classified and characterized malignant and non-malignant cell types according to their biophotonic activity. Using wavelength-exclusion filters, we demonstrate that ratios between infrared and ultraviolet photon emissions differentiate cancer and non-cancer cell types. Further, we identified photon sources associated with three filters (420-nm, 620-nm., and 950-nm) which classified cancer and non-cancer cell types. The temporal increases in biophoton emission within these wavelength bandwidths is shown to be coupled with intrisitic biomolecular events using Cosic's resonant recognition model. Together, the findings suggest that the use of wavelength-exclusion filters in biophotonic measurement can be employed to detect cancer in vitro.

  13. A simple sub-nanosecond ultraviolet light pulse generator with high repetition rate and peak power.

    PubMed

    Binh, P H; Trong, V D; Renucci, P; Marie, X

    2013-08-01

    We present a simple ultraviolet sub-nanosecond pulse generator using commercial ultraviolet light-emitting diodes with peak emission wavelengths of 290 nm, 318 nm, 338 nm, and 405 nm. The generator is based on step recovery diode, short-circuited transmission line, and current-shaping circuit. The narrowest pulses achieved have 630 ps full width at half maximum at repetition rate of 80 MHz. Optical pulse power in the range of several hundreds of microwatts depends on the applied bias voltage. The bias voltage dependences of the output optical pulse width and peak power are analysed and discussed. Compared to commercial UV sub-nanosecond generators, the proposed generator can produce much higher pulse repetition rate and peak power.

  14. Diffractive shear interferometry for extreme ultraviolet high-resolution lensless imaging

    NASA Astrophysics Data System (ADS)

    Jansen, G. S. M.; de Beurs, A.; Liu, X.; Eikema, K. S. E.; Witte, S.

    2018-05-01

    We demonstrate a novel imaging approach and associated reconstruction algorithm for far-field coherent diffractive imaging, based on the measurement of a pair of laterally sheared diffraction patterns. The differential phase profile retrieved from such a measurement leads to improved reconstruction accuracy, increased robustness against noise, and faster convergence compared to traditional coherent diffractive imaging methods. We measure laterally sheared diffraction patterns using Fourier-transform spectroscopy with two phase-locked pulse pairs from a high harmonic source. Using this approach, we demonstrate spectrally resolved imaging at extreme ultraviolet wavelengths between 28 and 35 nm.

  15. High power pumped mid-IR wavelength systems using nonlinear frequency mixing (NFM) devices

    NASA Technical Reports Server (NTRS)

    Sanders, Steven (Inventor); Lang, Robert J. (Inventor); Waarts, Robert G. (Inventor)

    1999-01-01

    Laser diode pumped mid-IR wavelength systems include at least one high power, near-IR wavelength, injection and/or sources wherein one or both of such sources may be tunable providing a pump wave output beam to a quasi-phase matched (QPM) nonlinear frequency mixing (NFM) device. The NFM device may be a difference frequency mixing (DFM) device or an optical parametric oscillation (OPO) device. Wavelength tuning of at least one of the sources advantageously provides the ability for optimizing pump or injection wavelengths to match the QPM properties of the NFM device enabling a broad range of mid-IR wavelength selectivity. Also, pump powers are gain enhanced by the addition of a rare earth amplifier or oscillator, or a Raman/Brillouin amplifier or oscillator between the high power source and the NFM device. Further, polarization conversion using Raman or Brillouin wavelength shifting is provided to optimize frequency conversion efficiency in the NFM device.

  16. Everything you ever wanted to know about the ultraviolet spectra of star-forming galaxies but were afraid to ask

    NASA Technical Reports Server (NTRS)

    Kinney, A. L.; Bohlin, R.; Calzetti, D.; Panagia, N.; Wyse, R.

    1993-01-01

    We present ultraviolet spectra of 143 star-forming galaxies of different morphological types and activity classes including S0, Sa, Sb, Sc, Sd, irregular, starburst, blue compact, blue compact dwarf, Liner, and Seyfert 2 galaxies. These IUE spectra cover the wavelength range from 1200 to 3200 A and are taken in a large aperture (10 x 20 inch). The ultraviolet spectral energy distributions are shown for a subset of the galaxies, ordered by spectral index, and separated by type for normal galaxies, Liners, starburst galaxies, blue compact (BCG) and blue compact dwarf (BCDG) galaxies, and Seyfert 2 galaxies. The ultraviolet spectra of Liners are, for the most part, indistinguishable from the spectra of normal galaxies. Starburst galaxies have a large range of ultraviolet slope, from blue to red. The star-forming galaxies which are the bluest in the optical (BCG and BCDG), also have the 'bluest' average ultraviolet slope of beta = -1.75 +/- 0.63. Seyfert 2 galaxies are the only galaxies in the sample that consistently have detectable UV emission lines.

  17. A rocket measurement of the extreme ultraviolet dayglow

    NASA Technical Reports Server (NTRS)

    Christensen, A. B.

    1976-01-01

    Extreme ultraviolet spectra of the mid-latitude dayglow in the wavelength range of 550 to 1250A have been obtained with a rocket borne grating spectrometer at a resolution of 20A. Spectra were obtained in the altitude range of 140 to 280 km. The spectra are dominated by emissions from atomic multiplets and no molecular bands have been identified with certainty. The strongest emissions other than H Lyman-alpha are OI (989) and OII (834). Other prominent emissions include He I(584), N II(916) and N II(1085). An unexpected feature near 612A has an intensity comparable to He I(584).

  18. The intriguing near-ultraviolet photochemistry of H 2Te

    NASA Astrophysics Data System (ADS)

    Underwood, J.; Chastaing, D.; Lee, S.; Boothe, P.; Flood, T. C.; Wittig, C.

    2002-08-01

    The ultraviolet absorption spectrum of H 2Te has a long wavelength tail that extends to 400 nm. Photodissociation at 355 nm yields TeH( 2Π 1/2) selectively relative to the 2Π 3/2 ground state; the transition moments for these channels lie in, and perpendicular to, the molecular plane, respectively. Vibrational structure in the region 380-400 nm is consistent with a shallow well in the adiabat leading to 2Π 1/2, akin to the one in HI leading to I( 2P 1/2). These effects have no counterparts with the light Group 6A dihydrides.

  19. Water Treatment Using Advanced Ultraviolet Light Sources Final Report CRADA No. TC02089.0

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoppes, W.; Oster, S.

    This was a collaborative effort between Lawrence Livermore National Security, LLC as manager and operator of Lawrence Livermore National Laboratory (LLNL) and Teknichal Services, LLC (TkS), to develop water treatment systems using advanced ultraviolet light sources. The Russian institutes involved with this project were The High Current Electronics Institute (HCEI) and Russian Institute of Technical Physics-Institute of Experimental Physics (VNIIEF). HCEI and VNIIEF developed and demonstrated the potential commercial viability of short-wavelength ultraviolet excimer lamps under a Thrust 1 Initiatives for Proliferation Prevention (IPP) Program. The goals of this collaboration were to demonstrate both the commercial viability of excilampbased watermore » disinfection and achieve further substantial operational improvement in the lamps themselves; particularly in the area of energy efficiency.« less

  20. Ultraviolet spectroscopic breath analysis using hollow-optical fiber as gas cell

    NASA Astrophysics Data System (ADS)

    Iwata, T.; Katagiri, T.; Matsuura, Y.

    2017-02-01

    For breath analysis on ultraviolet absorption spectroscopy, an analysis system using a hollow optical fiber as gas cell is developed. The hollow optical fiber functions as a long path and extremely small volume gas cell. Firstly, the measurement sensitivity of the system is evaluated by using NO gas as a gas sample. The result shows that NO gas with 50 ppb concentration is measured by using a system with a laser-driven, high intensity light source and a 3-meter long, aluminum-coated hollow optical fiber. Then an absorption spectrum of breath sample is measured in the wavelength region of around 200-300 nm and from the spectrum, it is found that the main absorbing components in breath were H2O, isoprene, and O3 converted from O2 by radiation of ultraviolet light. Then the concentration of isoprene in breath is estimated by using multiple linear regression analysis.

  1. Ultraviolet reflectance properties of asteroids

    NASA Astrophysics Data System (ADS)

    Butterworth, P. S.; Meadows, A. J.

    1985-05-01

    An analysis of the UV spectra of 28 asteroids obtained with the Internal Ultraviolet Explorer (IUE) satellite is presented. The spectra lie within the range 2100-3200 A. The results are examined in terms of both asteroid classification and of current ideas concerning the surface mineralogy of asteroids. For all the asteroids examined, UV reflectivity declines approximately linearly toward shorter wavelengths. In general, the same taxonomic groups are seen in the UV as in the visible and IR, although there is some evidence for asteroids with anomalous UV properties and for UV subclasses within the S class. No mineral absorption features are reported of strength similar to the strongest features in the visible and IR regions, but a number of shallow absorptions do occur and may provide valuable information on the surface composition of many asteroids.

  2. A dense plasma ultraviolet source

    NASA Technical Reports Server (NTRS)

    Lee, J. H.; Mcfarland, D. R.

    1978-01-01

    The intense ultraviolet emission from the NASA Hypocycloidal-Pinch (HCP) plasma is investigated. The HCP consists of three disk electrodes whose cross section has a configuration similar to the cross section of a Mather-type plasma focus. Plasma foci were produced in deuterium, helium, xenon, and krypton gases in order to compare their emission characteristics. Time-integrated spectra in the wavelength range from 200 nm to 350 nm and temporal variations of the uv emission were obtained with a uv spectrometer and a photomultiplier system. Modifications to enhance uv emission in the iodine-laser pump band (250 to 290 nm) and preliminary results produced by these modifications are presented. Finally, the advantages of the HCP as a uv over use of conventional xenon lamps with respect to power output limit, spectral range, and lifetime are discussed.

  3. ZnO-based ultraviolet photodetectors.

    PubMed

    Liu, Kewei; Sakurai, Makoto; Aono, Masakazu

    2010-01-01

    Ultraviolet (UV) photodetection has drawn a great deal of attention in recent years due to a wide range of civil and military applications. Because of its wide band gap, low cost, strong radiation hardness and high chemical stability, ZnO are regarded as one of the most promising candidates for UV photodetectors. Additionally, doping in ZnO with Mg elements can adjust the bandgap largely and make it feasible to prepare UV photodetectors with different cut-off wavelengths. ZnO-based photoconductors, Schottky photodiodes, metal-semiconductor-metal photodiodes and p-n junction photodetectors have been developed. In this work, it mainly focuses on the ZnO and ZnMgO films photodetectors. We analyze the performance of ZnO-based photodetectors, discussing recent achievements, and comparing the characteristics of the various photodetector structures developed to date.

  4. Development of far- and deep-ultraviolet surface plasmon resonance (SPR) sensor using aluminum thin film

    NASA Astrophysics Data System (ADS)

    Tanabe, Ichiro; Tanaka, Yoshito Y.; Ryoki, Takayuki; Watari, Koji; Goto, Takeyoshi; Kikawada, Masakazu; Inami, Wataru; Kawata, Yoshimasa; Ozaki, Yukihiro

    2016-09-01

    We investigated the surface plasmon resonance (SPR) of aluminum (Al) thin films with varying refractive index of the environment near the films in the far‒ultraviolet (FUV, <= 200 nm) and deep‒ultraviolet (DUV, <= 300 nm) regions. By using our original FUV‒DUV spectrometer which adopts an attenuated total reflectance (ATR) system, the measurable wavelength range was down to the 180 nm, and the environment near the Al surface could be controlled. In addition, this spectrometer was equipped with a variable incident angle apparatus, which enabled us to measure the FUV‒DUV reflectance spectra (170-450 nm) with various incident angles ranging from 45° to 85°. Based on the obtained spectra, the dispersion relation of Al‒SPR in the FUV and DUV regions was obtained. In the presence of various liquids (HFIP, water, alcohols etc.) on the Al film, the angle and wavelength of the SPR became larger and longer, respectively, compared with those in the air (i.e., with no materials on the film). These shifts correspond well with the results of simulations performed according to the Fresnel equations, and can be used in the application of SPR sensors. FUV‒DUV‒SPR sensors (in particular, FUV‒SPR sensors) with tunable incident light wavelength have three experimental advantages compared with conventional visible‒SPR sensors, as discussed based on the Fresnel equations, i.e., higher sensitivity, more narrowly limited surface measurement, and better material selectivity.

  5. Fine structural dependence of ultraviolet reflections in the King Penguin beak horn.

    PubMed

    Dresp, Birgitta; Langley, Keith

    2006-03-01

    The visual perception of many birds extends into the near-ultraviolet (UV) spectrum and ultraviolet is used by some to communicate. The beak horn of the King Penguin (Aptenodytes patagonicus) intensely reflects in the ultraviolet and this appears to be implicated in partner choice. In a preliminary study, we recently demonstrated that this ultraviolet reflectance has a structural basis, resulting from crystal-like photonic structures, capable of reflecting in the near-UV. The present study attempted to define the origin of the photonic elements that produce the UV reflectance and to better understand how the UV signal is optimized by their fine structure. Using light and electron microscopic analysis combined with new spectrophotometric data, we describe here in detail the fine structure of the entire King Penguin beak horn in addition to that of its photonic crystals. The data obtained reveal a one-dimensional structural periodicity within this tissue and demonstrate a direct relationship between its fine structure and its function. In addition, they suggest how the photonic structures are produced and how they are stabilized. The measured lattice dimensions of the photonic crystals, together with morphological data on its composition, permit predictions of the wavelength of reflected light. These correlate well with experimentally observed values. The way the UV signal is optimized by the fine structure of the beak tissue is discussed with regard to its putative biological role.

  6. Performance comparison of single and dual-excitation-wavelength resonance-Raman explosives detectors

    NASA Astrophysics Data System (ADS)

    Yellampalle, Balakishore; Martin, Robert; Witt, Kenneth; McCormick, William; Wu, Hai-Shan; Sluch, Mikhail; Ice, Robert; Lemoff, Brian

    2017-05-01

    Deep-ultraviolet Raman spectroscopy is a very useful approach for standoff detection of explosive traces. Using two simultaneous excitation wavelengths improves the specificity and sensitivity to standoff explosive detection. The High Technology Foundation developed a highly compact prototype of resonance Raman explosives detector. In this work, we discuss the relative performance of a dual-excitation sensor compared to a single-excitation sensor. We present trade space analysis comparing three representative Raman systems with similar size, weight, and power. The analysis takes into account, cost, spectral resolution, detection/identification time and the overall system benefit.

  7. Alignment of a multilayer-coated imaging system using extreme ultraviolet Foucault and Ronchi interferometric testing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ray-Chaudhuri, A.K.; Ng, W.; Cerrina, F.

    1995-11-01

    Multilayer-coated imaging systems for extreme ultraviolet (EUV) lithography at 13 nm represent a significant challenge for alignment and characterization. The standard practice of utilizing visible light interferometry fundamentally provides an incomplete picture since this technique fails to account for phase effects induced by the multilayer coating. Thus the development of optical techniques at the functional EUV wavelength is required. We present the development of two EUV optical tests based on Foucault and Ronchi techniques. These relatively simple techniques are extremely sensitive due to the factor of 50 reduction in wavelength. Both techniques were utilized to align a Mo--Si multilayer-coated Schwarzschildmore » camera. By varying the illumination wavelength, phase shift effects due to the interplay of multilayer coating and incident angle were uniquely detected. {copyright} {ital 1995} {ital American} {ital Vacuum} {ital Society}« less

  8. Test of SensL SiPM coated with NOL-1 wavelength shifter in liquid xenon

    NASA Astrophysics Data System (ADS)

    Akimov, D. Yu.; Belov, V. A.; Borshchev, O. V.; Burenkov, A. A.; Grishkin, Yu. L.; Karelin, A. K.; Kuchenkov, A. V.; Martemiyanov, A. N.; Ponomarenko, S. A.; Simakov, G. E.; Stekhanov, V. N.; Surin, N. M.; Timoshin, V. S.; Zeldovich, O. Ya.

    2017-05-01

    A SensL MicroFC-SMT-60035 6×6 mm2 silicon photo-multiplier coated with a NOL-1 wavelength shifter have been tested in the liquid xenon to detect the 175-nm scintillation light. For comparison, a Hamamatsu vacuum ultraviolet sensitive MPPC VUV3 3×3 mm2 was tested under the same conditions. The photodetection efficiency of 13.1 ± 2.5% and 6.0 ± 1.0%, correspondingly, is obtained.

  9. Use of 8-methoxypsoralen and long-wavelength ultraviolet radiation for decontamination of platelet concentrates

    NASA Astrophysics Data System (ADS)

    Corash, Laurence; Lin, Lily; Wiesehahn, Gary; Cimino, George

    1992-06-01

    Transmission of viral diseases through blood products remains a problem in transfusion medicine. A number of methods have been developed to inactivate viral pathogens in plasma and plasma fractions, including: dry heating, wet heating, solvent-detergent treatment, and immunoaffinity purification. While some of these methods successfully inactivate pathogenic viruses, inactivation may be incomplete or result in damage to labile plasma proteins and cells. We have developed a photochemical decontamination system (PCD) for platelet concentrates (PC) utilizing treatment with long wavelength ultraviolet radiation (UVA, 320 - 400 nm) and 8-methoxypsoralen (8-MOP). This system is capable of inactivating 25 - 30 logs/hr of bacteria E. coli or S. aureus, 6 logs/hr of bacteriophage fd, 0.9 log/hr of bacteriophage R17 and 1.1 logs/hr of feline leukemia virus (FeLV) in PC. Immediately following 6 hrs of PCD treatment, platelet integrity and function of PCD treated and control PC were equivalent. After overnight storage PCD treated and control PC platelet properties were equal, but there was a slight reduction in TXB-2 production of PCD treated PC compared to controls. Following PCD treatment, PC were stored for 48 to 96 hrs. Platelet counts, morphology scores, extracellular LDH levels, aggregation response, dense body (db) content, and alpha granule ((alpha) g) content of PCD treated and control PC were comparable. We assessed the ability of the PCD technique to inactivate intracellular and extracellular virus, quantified the degree of DNA adduct formation in contaminating lymphocytes, and measured the inhibition of polymerase chain reaction (PCR) mediated amplification of intracellular DNA. High titers of cell-free murine cytomegalovirus added to human platelet concentrates (final concentration 106) were inactivated by PCD within 30 min. Cat renal fibroblasts infected at high levels with feline rhinotracheitis virus (FeRTV) were seeded into PC followed by PCD treatment with

  10. [Near ultraviolet absorption spectral properties of chromophoric dissolved organic matter in the north area of Yellow Sea].

    PubMed

    Wang, Lin; Zhao, Dong-Zhi; Yang, Jian-Hong; Chen, Yan-Long

    2010-12-01

    Chromophoric dissolved organic matter (CDOM) near ultraviolet absorption spectra contains CDOM molecular structure, composition and other important physical and chemical information. Based on the measured data of CDOM absorption coefficient in March 2009 in the north area of Yellow Sea, the present paper analyzed near ultraviolet absorption spectral properties of CDOM. The results showed that due to the impact of near-shore terrigenous input, the composition of CDOM is quite different in the north area of Yellow Sea, and this area is a typical case II water; fitted slope with specific range of spectral band and absorption coefficient at specific band can indicate the relative size of CDOM molecular weight, correlation between spectral slope of the Sg,275-300), Sg,300-350, Sg,350-400 and Sg,250-275 and the relative size of CDOM molecular weight indicative parameter M increases in turn and the highest is up to 0.95. Correlation between a(g)(lambda) and M value increases gradually with the increase in wavelength, and the highest is up to 0.92 at 400 nm; being correlated or not between spectral slope and absorption coefficient is decided by the fitting-band wavelength range for the spectra slope and the wavelength for absorption coefficient. Correlation between Sg,275-300 and a(g)(400) is the largest, up to 0.87.

  11. Long-term calibration monitoring of Spectralon diffusers BRDF in the air-ultraviolet.

    PubMed

    Georgiev, Georgi T; Butler, James J

    2007-11-10

    Long-term calibration monitoring of the bidirectional reflectance distribution function (BRDF) of Spectralon diffusers in the air-ultraviolet is presented. Four Spectralon diffusers were monitored in this study. Three of the diffusers, designated as H1, H2, and H3, were used in the prelaunch radiance calibration of the Solar Backscatter Ultraviolet/2 (SBUV/2) satellite instruments on National Oceanic and Atmospheric Administration (NOAA) 14 and 16. A fourth diffuser, designated as the 400 diffuser, was used in the prelaunch calibration of the Ozone Mapping and Profiler Suite (OMPS) instrument scheduled for initial flight in 2009 on the National Polar Orbiting Environmental Satellite System Preparatory Project. The BRDF data of this study were obtained between 1994 and 2005 using the scatterometer located in the National Aeronautics and Space Administration Goddard Space Flight Center Diffuser Calibration Laboratory. The diffusers were measured at 13 wavelengths between 230 and 425 nm at the incident and scatter angles used in the prelaunch calibrations of SBUV/2 and OMPS. Spectral features in the BRDF of Spectralon are also discussed. The comparison shows how the air-ultraviolet BRDF of these Spectralon samples changed over time under clean room deployment conditions.

  12. Spectral Properties of Gas-phase Condensed Fullerene-like Carbon Nanoparticles from Far-ultraviolet to Infrared Wavelengths

    NASA Astrophysics Data System (ADS)

    Jäger, C.; Mutschke, H.; Henning, Th.; Huisken, F.

    2008-12-01

    Carbon solids are ubiquitous material in interstellar space. However, the formation pathway of carbonaceous matter in astrophysical environments, as well as in terrestrial gas-phase condensation reactions, is not yet understood. Laser ablation of graphite in different quenching gas atmospheres, such as pure He, He/H2, and He/H2O at varying pressures, is used to synthesize very small, fullerene-like carbon nanoparticles. The particles are characterized by very small diameters between 1 and 4 nm and a disturbed onion-like structure. The soot particles extracted from the condensation zone obviously represent a very early stage of particle condensation. The spectral properties have been measured from the far-ultraviolet (FUV; λ = 120 nm) to the mid-infrared (MIR; λ = 15 μm). The seedlike soot particles show strong absorption bands in the 3.4 μm range. The profile and the intensity pattern of the 3.4 μm band of the diffuse interstellar medium can be well reproduced by the measured 3.4 μm profile of the condensed particles; however, all the carbon which is left to form solids is needed to fit the intensity of the interstellar bands. In contrast to the assumption that onion-like soot particles could be the carriers of the interstellar ultraviolet (UV) bump, our very small onion-like carbon nanoparticles do not show distinct UV bands due to (π-π*) transitions.

  13. Research in extreme ultraviolet and far ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Labov, S. E.

    1985-01-01

    Instruments designed to explore different aspects of far and extreme ultraviolet cosmic radiation were studied. The far ultraviolet imager (FUVI) was flown on the Aries sounding rocket. Its unique large format 75mm detector mapped out the far ultraviolet background radiation with a resolution of only a few arc minutes. Analysis of this data indicates to what extent the FUVI background is extra galactic in origin. A power spectrum of the spatial fluctuations will have direct consequences for galactic evolution.

  14. The Wavelength Dependence of the Lunar Phase Curve as Seen by the LRO LAMP

    NASA Astrophysics Data System (ADS)

    Liu, Y.; Retherford, K. D.; Greathouse, T. K.; Hendrix, A. R.; Mandt, K.; Gladstone, R.; Cahill, J. T.; Egan, A.; Kaufmann, D. E.; Grava, C.; Pryor, W. R.

    2016-12-01

    The Lunar Reconnaissance Orbiter (LRO) Lyman Alpha Mapping Project (LAMP) provides global coverage of both nightside and dayside of the Moon in the far ultraviolet (FUV) wavelengths. The nightside observations use roughly uniform diffuse illumination sources from interplanetary medium Lyman-α sky glow and UV-bright stars so that traditional photometric corrections do not apply. In contrast, the dayside observations use sunlight as its illumination source where bidirectional reflectance is measured. The bidirectional reflectance is dependent on the incident, emission, and phase angles as well as the soil properties. Thus the comparisons of dayside mapping and nightside mapping techniques offer a method for cross-comparing the photometric correction factors because the observations are made under different lighting and viewing conditions. Specifically, the nightside data well constrain the single-scattering coefficient. We'll discuss the wavelength dependence of the lunar phase curve as seen by the LAMP instrument in dayside data. Our preliminary results indicate that the reflectance in the FUV wavelengths decreases with the increasing phase angles from 0° to 90°, similar to the phase curve in the UV-visible wavelengths as studied by Hapke et al. (2012) using LRO wide angle camera (WAC) data, among other visible-wavelength lunar studies. Particularly, we'll report how coherent backscattering and shadow hiding contribute to the opposition surge, given the fact that the albedo at FUV wavelengths is extremely low and thus multiple scattering is significantly less important. Finally, we'll report the derived Hapke parameters at FUV wavelengths for our study areas.

  15. Effectiveness of eye drops protective against ultraviolet radiation.

    PubMed

    Daxer, A; Blumthaler, M; Schreder, J; Ettl, A

    1998-01-01

    To test the effectiveness of commercially available ultraviolet (UV)-protective eye drops (8-hydroxy-1-methylchinolinium methylsulphate) which are recommended for protection against both solar and artificial UV radiation. The spectral transmission in the wavelength range from 250 to 500 nm was investigated in 1-nm steps using a high-resolution double monochromator with holographic gratings of 2,400 lines/mm and a 1,000-watt halogen lamp as light source. The transmission spectrum was measured for different values of the layer thickness. The transmission of a liquid layer of about 10 microns, which corresponds to the thickness of the human tear film, shows a cut-off at 290 nm with a transmission of about 25-50% at shorter wavelengths. For wavelengths longer than 290 nm the transmission is higher than 90%. The threshold time ratio for keratitis formation with and without eye drops is above 0.93 considering solar radiation on the earth's surface and above 0.65 considering radiation from arc-welding, respectively. The transmission spectrum of the eye drops under realistic conditions does not show a protective effect against solar UV radiation. However, there exists reduction of UVC radiation in the spectral range typical of artificial UV sources such as arc-welding. We cannot recommend the application of these eye drops as an UV-protective aid against eye damage by solar UV radiation.

  16. Tunable absorption resonances in the ultraviolet for InP nanowire arrays.

    PubMed

    Aghaeipour, Mahtab; Anttu, Nicklas; Nylund, Gustav; Samuelson, Lars; Lehmann, Sebastian; Pistol, Mats-Erik

    2014-11-17

    The ability to tune the photon absorptance spectrum is an attracting way of tailoring the response of devices like photodetectors and solar cells. Here, we measure the reflectance spectra of InP substrates patterned with arrays of vertically standing InP nanowires. Using the reflectance spectra, we calculate and analyze the corresponding absorptance spectra of the nanowires. We show that we can tune absorption resonances for the nanowire arrays into the ultraviolet by decreasing the diameter of the nanowires. When we compare our measurements with electromagnetic modeling, we generally find good agreement. Interestingly, the remaining differences between modeled and measured spectra are attributed to a crystal-phase dependence in the refractive index of InP. Specifically, we find indication of significant differences in the refractive index between the modeled zinc-blende InP nanowires and the measured wurtzite InP nanowires in the ultraviolet. We believe that such crystal-phase dependent differences in the refractive index affect the possibility to excite optical resonances in the large wavelength range of 345 < λ < 390 nm. To support this claim, we investigated how resonances in nanostructures can be shifted in wavelength by geometrical tuning. We find that dispersion in the refractive index can dominate over geometrical tuning and stop the possibility for such shifting. Our results open the door for using crystal-phase engineering to optimize the absorption in InP nanowire-based solar cells and photodetectors.

  17. Strong-field ionization of H{sub 2} from ultraviolet to near-infrared wavelengths: Photoelectron energy and angular identifications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wilbois, Timo; Helm, Hanspeter

    2011-11-15

    Strong-field ionization of molecular hydrogen is studied at wavelengths ranging from 300 to 800 nm using pulses of 100-fs duration. We find that over this wide wavelength range, from nominally 4-photon to 11-photon ionization, resonance features dominate the ionization probability at intensities below 10{sup 14} W/cm{sup 2}. Photoelectron momentum maps recorded by an imaging spectrometer are analyzed to identify the wavelength-dependent ionization pathways in single ionization of molecular hydrogen. A number of models, some empirical, which are appropriate for a quantitative interpretation of the spectra and the ionization yield are introduced. A near-absolute comparison of measured ionization yields at 398more » nm is made with the predictions based on a numerical solution [Y. V. Vanne and A. Saenz, Phys. Rev. A 79, 023421 (2009)] of the time-dependent Schroedinger equation for two correlated electrons.« less

  18. Vacuum-ultraviolet lasers and spectroscopy

    NASA Astrophysics Data System (ADS)

    Hollenstein, U.

    2012-01-01

    Single-photon ionisation of most atoms and molecules requires short-wavelength radiation, typically in the vacuum-ultraviolet (VUV, λ < 200 nm) or extreme ultraviolet (XUV, λ < 105 nm) region of the electromagnetic spectrum. The first VUV and XUV radiation sources used to study molecular photoabsorption and photoionisation spectra were light sources emitting a broad continuous spectrum, such as high pressure lamps or synchrotrons. Monochromatic VUV and XUV radiation was obtained using diffraction gratings in evacuated monochromators, which resulted in a resolving power ν/Δv of at best 106 (i. e. 0.1 cm-1 at 100 000 cm-1), but more typically in the range 104-105 . The invention of the laser and the development of nonlinear optical frequency-upconversion techniques enabled the development of table-top narrow-bandwidth, coherent VUV and XUV laser sources with which VUV photoabsorption, photoionisation and photoelectron spectra of molecules can be recorded at much higher resolution, the best sources having bandwidths better than 50 MHz. Such laser sources are ideally suited to study the structure and dynamics of electronically excited states of atoms and molecules and molecular photoionisation using photoabsorption, photoionisation and photoelectron spectroscopy. This chapter presents the general principles that are exploited to generate tunable narrow-band laser radiation below 200 nm and describes spectroscopic methods such as photoabsorption spectroscopy, photoionisation spectroscopy and threshold photoelectron spectroscopy that relay on the broad tunability and narrow-bandwidth of VUV radiation sources.

  19. Thermophysical properties of liquid rare earth metals

    NASA Astrophysics Data System (ADS)

    Thakor, P. B.; Sonvane, Y. A.; Patel, H. P.; Jani, A. R.

    2013-06-01

    The thermodynamical properties like long wavelength limit S(0), iso-thermal compressibility (χT), thermal expansion coefficient (αV), thermal pressure coefficient (γV), specific heat at constant volume (CV) and specific heat at constant pressure (CP) are calculated for liquid rare earth metals. Our newly constructed parameter free model potential is used to describe the electron ion interaction due to Sarkar et al (S) local field correction function. Lastly, we conclude that our newly constructed model potential is capable to explain the thermophysical properties of liquid rare earth metals.

  20. Optimizing laser produced plasmas for efficient extreme ultraviolet and soft X-ray light sources

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2014-08-01

    Photon sources produced by laser beams with moderate laser intensities, up to 1014 W/cm2, are being developed for many industrial applications. The performance requirements for high volume manufacture devices necessitate extensive experimental research supported by theoretical plasma analysis and modeling predictions. We simulated laser produced plasma sources currently being developed for several applications such as extreme ultraviolet lithography using 13.5% ± 1% nm bandwidth, possibly beyond extreme ultraviolet lithography using 6.× nm wavelengths, and water-window microscopy utilizing 2.48 nm (La-α) and 2.88 nm (He-α) emission. We comprehensively modeled plasma evolution from solid/liquid tin, gadolinium, and nitrogen targets as three promising materials for the above described sources, respectively. Results of our analysis for plasma characteristics during the entire course of plasma evolution showed the dependence of source conversion efficiency (CE), i.e., laser energy to photons at the desired wavelength, on plasma electron density gradient. Our results showed that utilizing laser intensities which produce hotter plasma than the optimum emission temperatures allows increasing CE for all considered sources that, however, restricted by the reabsorption processes around the main emission region and this restriction is especially actual for the 6.× nm sources.

  1. High-resolution pulse-counting array detectors for imaging and spectroscopy at ultraviolet wavelengths

    NASA Technical Reports Server (NTRS)

    Timothy, J. Gethyn; Bybee, Richard L.

    1986-01-01

    The performance characteristics of multianode microchannel array (MAMA) detector systems which have formats as large as 256 x 1024 pixels and which have application to imaging and spectroscopy at UV wavelengths are evaluated. Sealed and open-structure MAMA detector tubes with opaque CsI photocathodes can determine the arrival time of the detected photon to an accuracy of 100 ns or better. Very large format MAMA detectors with CsI and Cs2Te photocathodes and active areas of 52 x 52 mm (2048 x 2048 pixels) will be used as the UV solar blind detectors for the NASA STIS.

  2. Ultraviolet-visible optical isolators based on CeF{sub 3} Faraday rotator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Víllora, Encarnación G., E-mail: VILLORA.Garcia@nims.go.jp; Shimamura, Kiyoshi; Plaza, Gustavo R.

    2015-06-21

    The first ultraviolet (UV) and visible optical isolators based on CeF{sub 3} are demonstrated. CeF{sub 3} possesses unique properties as Faraday rotator for the UV-visible wavelength region: a wide transparency range (wavelength of >300 nm) and an outstanding Verdet constant. In contrast, currently used terbium-gallium garnets and magneto-optical glasses possess a low transparency in the visible and a small Verdet constant in the UV, respectively. The optical isolator prototypes consist of a CeF{sub 3} rod, a single ring magnet, and a couple of beam splitters. The ring magnets have been designed to guarantee a homogeneous magnetic field; for it, numerical simulationsmore » have been carried out. The two prototypes are very compact and operate in the UV at 355 and in the visible at 405 nm, respectively. The performance of these devices indicates the high potential of CeF{sub 3} as a new UV-visible Faraday rotator, specially for shorter wavelengths where at present there are no optical isolators available.« less

  3. Ba2Mg(BO3)2:Bi3+ - A new phosphor with ultraviolet light emission

    NASA Astrophysics Data System (ADS)

    Lakshminarasimhan, N.; Jayakiruba, S.; Prabhavathi, K.

    2017-10-01

    Ultraviolet light emission was observed in a new Ba2Mg(BO3)2:Bi3+ phosphor. Bi3+ substitution for Ba2+ in the lattice was supplemented with K+ to maintain the charge neutrality. The samples of the formula Ba2-2xBixKxMg(BO3)2 [x = 0, 0.001, 0.01, 0.02, and 0.05] synthesized by solid state reaction were characterized using powder X-ray diffraction for their phase formation. Raman and diffuse reflectance UV-Vis spectroscopic techniques were used to obtain information on the vibrational modes and optical properties, respectively. The room temperature photoluminescence measurements revealed an ultraviolet emission at 370 nm when excited using 304 nm wavelength and the Stokes shift is 5868 cm-1.

  4. Absolute calibration of a hydrogen discharge lamp in the vacuum ultraviolet

    NASA Technical Reports Server (NTRS)

    Nealy, J. E.

    1975-01-01

    A low-pressure hydrogen discharge lamp was calibrated for radiant intensity in the vacuum ultraviolet spectral region on an absolute basis and was employed as a laboratory standard source in spectrograph calibrations. This calibration was accomplished through the use of a standard photodiode detector obtained from the National Bureau of Standards together with onsite measurements of spectral properties of optical components used. The stability of the light source for use in the calibration of vacuum ultraviolet spectrographs and optical systems was investigated and found to be amenable to laboratory applications. The lamp was studied for a range of operating parameters; the results indicate that with appropriate peripheral instrumentation, the light source can be used as a secondary laboratory standard source when operated under preset controlled conditions. Absolute intensity measurements were recorded for the wavelengths 127.7, 158.0, 177.5, and 195.0 nm for a time period of over 1 month, and the measurements were found to be repeatable to within 11 percent.

  5. Solar maximum mission/ultraviolet spectrometer and polarimeter studies

    NASA Technical Reports Server (NTRS)

    Henze, William, Jr.

    1993-01-01

    This final report for NASA Contract No. NAS8-35921 describes various studies performed for the Ultraviolet Spectrometer and Polarimeter (UVSP) experiment, one of several instruments on the Solar Maximum Mission (SMM) satellite which was launched on 14 February 1980. The UVSP consisted primarily of a Gregorian telescope and an Ebert-Fastie spectrometer with a polarimeter that could be inserted into the light path. The spacecraft and most of the instruments, including the UVSP, operated successfully until 23 November 1980, when part of the SMM attitude control system (fine pointing control) failed. The UVSP was then unable to observe the Sun until 18 April 1984, when the SMM was visited by the space shuttle and the attitude control module was replaced by astronauts. The SMM mission ended when the spacecraft reentered the atmosphere of the Earth and was thereby destroyed on 2 December 1989. The topics covered in this report include the following: (1) ultraviolet stellar polarimetry (probably the first such attempted measurement); no polarization as detected and the upper limits, based on the sensitivity as determined by the observed count rate, are rather high; (2) an investigation into the possible position of the UVSP wavelength drive after it became stuck on 26 April 1985; (3) fast timing tests for sit-and-state observations involving one or two detectors; (4) development of computer subroutines to allow the calculation of the component of the SMM spacecraft orbital velocity along the line of sight to the Sun at any desired time during the 1984/1985 period when the UVSP wavelength drive was operating properly; (5) listing of published research papers; (6) description of the UVSP catalog of observations; and (7) description of UVSP calibration report and data users guide.

  6. Protein fiber linear dichroism for structure determination and kinetics in a low-volume, low-wavelength couette flow cell.

    PubMed

    Dafforn, Timothy R; Rajendra, Jacindra; Halsall, David J; Serpell, Louise C; Rodger, Alison

    2004-01-01

    High-resolution structure determination of soluble globular proteins relies heavily on x-ray crystallography techniques. Such an approach is often ineffective for investigations into the structure of fibrous proteins as these proteins generally do not crystallize. Thus investigations into fibrous protein structure have relied on less direct methods such as x-ray fiber diffraction and circular dichroism. Ultraviolet linear dichroism has the potential to provide additional information on the structure of such biomolecular systems. However, existing systems are not optimized for the requirements of fibrous proteins. We have designed and built a low-volume (200 microL), low-wavelength (down to 180 nm), low-pathlength (100 microm), high-alignment flow-alignment system (couette) to perform ultraviolet linear dichroism studies on the fibers formed by a range of biomolecules. The apparatus has been tested using a number of proteins for which longer wavelength linear dichroism spectra had already been measured. The new couette cell has also been used to obtain data on two medically important protein fibers, the all-beta-sheet amyloid fibers of the Alzheimer's derived protein Abeta and the long-chain assemblies of alpha1-antitrypsin polymers.

  7. A model for the origin of photosynthesis--III. The ultraviolet photochemistry of uroporphyrinogen

    NASA Technical Reports Server (NTRS)

    Mercer-Smith, J. A.; Raudino, A.; Mauzerall, D. C.

    1985-01-01

    The photochemical ramifications of the high ultraviolet flux on the primordial earth prior to the formation of the ozone layer have been considered in a study of the ultraviolet photochemistry of uroporphyrinogen (urohexahydroporphyrin), a colorless compound which absorbs strongly at wavelengths less than 220 nanometers. Urohexahydroporphyrin was investigated since it is the first macrocycle formed on the biosynthetic pathway of chlorophyll and can be used to test the hypothesis that the biosynthetic pathway to chlorophyll recapitulates the evolutionary history of photosynthesis. When urohexahydroporphyrin is illuminated in aqueous anaerobic solution, hydrogen gas is produced. More hydrogen gas is produced in the presence of a colloidal platinum catalyst. The products of the photooxidation of urohexahydroporphyrin are urotetrahydroporphyrin (uroporphomethene) and uroporphyrin. This research shows how the oxidation of uroporphyrinogen to uroporphyrin, the first biogenetic porphyrin, could have occurred anaerobically and abiotically on the primordial earth.

  8. Development and testing of the ultraviolet spectrometer for the Mariner Mars 1971 spacecraft

    NASA Technical Reports Server (NTRS)

    Farrar, J. W.

    1972-01-01

    The Mariner Mars 1971 ultraviolet spectrometer is an Ebert-Fastie type of the same basic design as the Mariner Mars 1969 instrument. Light enters the instrument and is split into component wavelengths by a scanning reflection diffraction grating. Two monochrometer exit slits allow the use of two independent photomultiplier tube sensors. Channel 1 has a spectral range of 1100 to 1692 A with a fixed gain, while Channel 2 has a spectral range of 1450 to 3528 A with an automatic step gain control, providing a dynamic range over the expected atmosphere and surface brightness of Mars. The scientific objectives, basic operation, design, testing, and calibration for the Mariner Mars 1971 ultraviolet spectrometer are described. The design discussion includes those modifications that were necessary to extend the lifetime of the instrument in order to accomplish the Mariner Mars 1971 mission objectives.

  9. Reflection polarizers for the vacuum ultraviolet using Al + MgF2 mirrors and an MgF2 plate

    NASA Technical Reports Server (NTRS)

    Hass, G.; Hunter, W. R.

    1978-01-01

    Consideration is given to the design and operation of a three-mirror reflecting polarizer where one of the reflecting surfaces is an MgF2 plate, the other surfaces are Al + MgF2 coatings, and one reflection occurs at or near the true Brewster angle. It is found that the polarizer is most efficient in the 1200-2000 A wavelength region, and that by optimum selection of the angle of incidence on the MgF2 plate, polarization values of 100 and over are yielded from 900 to 3000 A. The polarizer may be used at wavelengths as short as 500 A, although it is observed that at such wavelengths the polarization value decreases to about 10. It is noted that all reflecting polarizers operating in the vacuum ultraviolet wavelength may manifest changing characteristics as their mirrors become contaminated, and that polarization must therefore be occasionally remeasured.

  10. Hopkins Ultraviolet Telescope observations of H2 toward the planetary nebula NGC 1535

    NASA Technical Reports Server (NTRS)

    Bowers, Charles W.; Blair, William P.; Long, Knox S.; Davidsen, Arthur F.

    1995-01-01

    We have observed the far-ultraviolet spectrum (912-1860 A) of the bright high-excitation planetary nebula NGC 1535 with approximately 3 A resolution using the Hopkins Ultraviolet Telescope (HUT) aboard the Astro-1 space shuttle pmission in 1990 December. We see strong continuum emission down to the Lyman limit and strong P Cygni profiles from high-excitation lines such as C IV wavelength 1549, N V wavelength 1240, O V wavelength 1371, and O VI wavelength 1035. Below 1150 A strong absorption bands of H2 are seen, which were unanticipated by us because of the low reddening and high galactic latitude of the object and the absence of detected H2 emission in the infrared. We construct model H2 spectra and convolve them to the HUT resolution for comparison with the NGC 1535 data. We find good agreement with a population distribution characterized by a single temperature (T = 300 K) or a two-temperature model (T = 144/500 K), and determine limits on the H2 column density. While both inter-stellar and circumstellar origins for the observed H2 absorption are plausible, we ascribe the material to the planetary nebula in order to estimate the conditions of excitation and place upper limits on the mass of both H2 and H1 in this system. Because the UV transitions are ground-state connected, we determine a stringent upper limit of 0.03 d(sup 2)(sub 1.6) solar mass on the mass of H2, where d(sub 1.6) is the distance relative to an assumed distance of 1.6 kpc. This value is less model-dependent than IR estimates. Along with the central star and nebular masses, these estimates allow us to limit the main-sequence mass of the progenitor star to less than 1.8 solar mass. This upper limit is consistent with a relatively low-mass extended thick disk or Population II progenitor, as expected for an object approximately 1 kpc off the galactic plane.

  11. Intensity and Energy Level Analysis of the Vacuum Ultraviolet Spectrum of Four Times Ionize Nickel (Ni V)

    NASA Astrophysics Data System (ADS)

    Ward, Jacob Wolfgang; Nave, Gillian

    2016-01-01

    Recent measurements of four times ionized iron and nickel (Fe V & Ni V) wavelengths in the vacuum ultraviolet (VUV) have been taken using the National Institute for Standards and Technology (NIST) Normal Incidence Vacuum Spectrograph (NIVS) with a sliding spark light source with invar electrodes. The wavelengths observed in those measurements make use of high resolution photographic plates with the majority of observed lines having uncertainties of approximately 3mÅ. In addition to observations made with photographic plates, the same wavelength region was observed with phosphor image plates, which have been demonstrated to be accurate as a method of intensity calibration when used with a deuterium light source. This work will evaluate the use of phosphor image plates and deuterium lamps as an intensity calibration method for the Ni V spectrum in the 1200-1600Å region of the VUV. Additionally, by pairing the observed wavelengths of Ni V with accurate line intensities, it is possible to create an energy level optimization for Ni V providing high accuracy Ritz wavelengths. This process has previously been applied to Fe V and produced Ritz wavelengths that agreed with the above experimental observations.

  12. The Extreme-ultraviolet Emission from Sun-grazing Comets

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William D.

    2012-01-01

    The Atmospheric Imaging Assembly (AIA) on the Solar Dynamics Observatory has observed two Sun-grazing comets as they passed through the solar atmosphere. Both passages resulted in a measurable enhancement of extreme-ultraviolet (EUV) radiance in several of the AIA bandpasses.We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Molecules in the comet rapidly sublimate as it approaches the Sun. They are then photodissociated by the solar radiation field to create atomic species. Subsequent ionization of these atoms produces a higher abundance of ions than normally present in the corona and results in EUV emission in the wavelength ranges of the AIA telescope passbands.

  13. The 1995 North American Interagency Intercomparison of Ultraviolet Monitoring Spectroradiometers

    PubMed Central

    Early, Edward; Thompson, Ambler; Johnson, Carol; DeLuisi, John; Disterhoft, Patrick; Wardle, David; Wu, Edmund; Mou, Wanfeng; Sun, Yongchen; Lucas, Timothy; Mestechkina, Tanya; Harrison, Lee; Berndt, Jerry; Hayes, Douglas S.

    1998-01-01

    Concern over stratospheric ozone depletion has prompted several government agencies in North America to establish networks of spectroradiometers for monitoring solar ultraviolet irradiance at the surface of the Earth. To assess the ability of spectroradiometers to accurately measure solar ultraviolet irradiance, and to compare the results between instruments of different monitoring networks, the second North American Intercomparison of Ultraviolet Monitoring Spectroradiometers was held June 12 to 23, 1995 at Table Mountain outside Boulder, Colorado, USA. This Intercomparison was coordinated by the National Institute of Standards and Technology (NIST) and the National Oceanic and Atmospheric Administration (NOAA). Participating agencies were the Environmental Protection Agency; the National Science Foundation; the Smithsonian Environmental Research Center; the Department of Agriculture; and the Atmospheric Environment Service, Canada. Instruments were characterized for wavelength uncertainty, bandwidth, stray-light rejection, and spectral irradiance responsivity, the latter with a NIST standard lamp operating in a specially designed field calibration unit. The spectral irradiance responsivity, determined once indoors and twice outdoors, demonstrated that while the responsivities changed upon moving the instruments, they were relatively stable when the instruments remained outdoors. Synchronized spectral scans of the solar irradiance were performed over several days. Using the spectral irradiance responsivities determined with the NIST standard lamp and three different convolution functions to account for the different bandwidths of the instruments, the measured solar irradiances generally agreed to within 3 %. PMID:28009371

  14. The 1994 North American Interagency Intercomparison of Ultraviolet Monitoring Spectroradiometers

    PubMed Central

    Thompson, Ambler; Early, Edward A.; DeLuisi, John; Disterhoft, Patrick; Wardle, David; Kerr, James; Rives, John; Sun, Yongchen; Lucas, Timothy; Mestechkina, Tanya; Neale, Patrick

    1997-01-01

    Concern over stratospheric ozone depletion has prompted several government agencies in North America to establish networks of spectroradiometers for monitoring solar ultraviolet irradiance at the surface of the Earth. To assess the ability of spectroradiometers to accurately measure solar ultraviolet irradiance, and to compare the results between instruments of different monitoring networks, the first North American Intercomparison of Ultraviolet Monitoring Spectroradiometers was held September 19–29, 1994 at Table Mountain outside Boulder, Colorado, USA. This Intercomparison was coordinated by the National Institute of Standards and Technology and the National Oceanic and Atmospheric Administration (NOAA). Participating agencies were the Environmental Protection Agency, National Science Foundation, Smithsonian Environmental Research Center, and Atmospheric Environment Service, Canada. Instruments were characterized for wavelength accuracy, bandwidth, stray-light rejection, and spectral irradiance responsivity, the latter with a NIST standard lamp calibrated to operate in the horizontal position. The spectral irradiance responsivity was determined once indoors and twice outdoors, and demonstrated that, while the responsivities changed upon moving the instruments, they were relatively stable when the instruments remained outdoors. Synchronized spectral scans of the solar irradiance were performed over several days. Using the spectral irradiance responsivities determined with the NIST standard lamp, and a simple convolution technique to account for the different bandwidths of the instruments, the measured solar irradiances agreed within 5 %. PMID:27805148

  15. Compact multi-bounce projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2002-01-01

    An optical system compatible with short wavelength (extreme ultraviolet) radiation comprising four optical elements providing five reflective surfaces for projecting a mask image onto a substrate. The five optical surfaces are characterized in order from object to image as concave, convex, concave, convex and concave mirrors. The second and fourth reflective surfaces are part of the same optical element. The optical system is particularly suited for ring field step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width, which effectively minimizes dynamic distortion.

  16. Far-ultraviolet refractive index of optical materials for solar blind channel (SBC) filters for the HST advanced camera for surveys (ACS)

    NASA Astrophysics Data System (ADS)

    Leviton, Douglas B.; Madison, Timothy J.; Petrone, Peter

    1998-10-01

    Refractive index measurements using the minimum deviation method have been carried out for prisms of a variety of far ultraviolet optical materials used in the manufacture of Solar Blind Channel (SBC) filters for the HST Advanced Camera for Surveys (ACS). Some of the materials measured are gaining popularity in a variety of high technology applications including high power excimer lasers and advanced microlithography optics operating in a wavelength region where high quality knowledge of optical material properties is sparse yet critical. Our measurements are of unusually high accuracy and precision for this wavelength region owing to advanced instrumentation in the large vacuum chamber of the Diffraction Grating Evaluation Facility (DGEF) at Goddard Space Flight Center (GSFC) used to implement a minimum deviation method refractometer. Index values for CaF2, BaF2, LiF, and far ultraviolet grades of synthetic sapphire and synthetic fused silica are reported and compared with values from the literature.

  17. Broadband stimulated Raman spectroscopy in the deep ultraviolet region

    NASA Astrophysics Data System (ADS)

    Kuramochi, Hikaru; Fujisawa, Tomotsumi; Takeuchi, Satoshi; Tahara, Tahei

    2017-09-01

    We report broadband stimulated Raman measurements in the deep ultraviolet (DUV) region, which enables selective probing of the aromatic amino acid residues inside proteins through the resonance enhancement. We combine the narrowband DUV Raman pump pulse (<10 cm-1) at wavelengths as short as 240 nm and the broadband DUV probe pulse (>1000 cm-1) to realize stimulated Raman measurements covering a >1500 cm-1 spectral window. The stimulated Raman measurements for neat solvents, tryptophan, tyrosine, and glucose oxidase are performed using 240- and 290-nm Raman pump, highlighting the high potential of the DUV stimulated Raman probe for femtosecond time-resolved study of proteins.

  18. Surface evaluation of the grazing incidence mirrors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Green, James; Finley, David S.; Bowyer, Stuart; Malina, Roger F.

    1987-01-01

    The EUV scattering from the Wolter-Schwarzschild type I short wavelength scanner mirror aboard the Extreme Ultraviolet Explorer is measured, and the results are used to evaluate the surface microroughness of the mirror. It is found that the most likely values for the mirror surface are sigma = 20 A, and rho = 40 microns. These results are consistent with previous estimates, but with a higher degree of certainty. The full-scale simulation presented here allows over 99 percent of the light distribution to be reasonably modeled.

  19. Optical effects of exposing intact human lenses to ultraviolet radiation and visible light.

    PubMed

    Kessel, Line; Eskildsen, Lars; Lundeman, Jesper Holm; Jensen, Ole Bjarlin; Larsen, Michael

    2011-12-30

    The human lens is continuously exposed to high levels of light. Ultraviolet radiation is believed to play a causative role in the development of cataract. In vivo, however, the lens is mainly exposed to visible light and the ageing lens absorbs a great part of the short wavelength region of incoming visible light. The aim of the present study was to examine the optical effects on human lenses of short wavelength visible light and ultraviolet radiation. Naturally aged human donor lenses were irradiated with UVA (355 nm), violet (400 and 405 nm) and green (532 nm) lasers. The effect of irradiation was evaluated qualitatively by photography and quantitatively by measuring the direct transmission before and after irradiation. Furthermore, the effect of pulsed and continuous laser systems was compared as was the effect of short, intermediate and prolonged exposures. Irradiation with high intensity lasers caused scattering lesions in the human lenses. These effects were more likely to be seen when using pulsed lasers because of the high pulse intensity. Prolonged irradiation with UVA led to photodarkening whereas no detrimental effects were observed after irradiation with visible light. Irradiation with visible light does not seem to be harmful to the human lens except if the lens is exposed to laser irradiances that are high enough to warrant thermal protein denaturation that is more readily seen using pulsed laser systems.

  20. Metal-Insulator-Metal-Based Plasmonic Metamaterial Absorbers at Visible and Infrared Wavelengths: A Review.

    PubMed

    Ogawa, Shinpei; Kimata, Masafumi

    2018-03-20

    Electromagnetic wave absorbers have been investigated for many years with the aim of achieving high absorbance and tunability of both the absorption wavelength and the operation mode by geometrical control, small and thin absorber volume, and simple fabrication. There is particular interest in metal-insulator-metal-based plasmonic metamaterial absorbers (MIM-PMAs) due to their complete fulfillment of these demands. MIM-PMAs consist of top periodic micropatches, a middle dielectric layer, and a bottom reflector layer to generate strong localized surface plasmon resonance at absorption wavelengths. In particular, in the visible and infrared (IR) wavelength regions, a wide range of applications is expected, such as solar cells, refractive index sensors, optical camouflage, cloaking, optical switches, color pixels, thermal IR sensors, IR microscopy and gas sensing. The promising properties of MIM-PMAs are attributed to the simple plasmonic resonance localized at the top micropatch resonators formed by the MIMs. Here, various types of MIM-PMAs are reviewed in terms of their historical background, basic physics, operation mode design, and future challenges to clarify their underlying basic design principles and introduce various applications. The principles presented in this review paper can be applied to other wavelength regions such as the ultraviolet, terahertz, and microwave regions.

  1. Metal-Insulator-Metal-Based Plasmonic Metamaterial Absorbers at Visible and Infrared Wavelengths: A Review

    PubMed Central

    Ogawa, Shinpei; Kimata, Masafumi

    2018-01-01

    Electromagnetic wave absorbers have been investigated for many years with the aim of achieving high absorbance and tunability of both the absorption wavelength and the operation mode by geometrical control, small and thin absorber volume, and simple fabrication. There is particular interest in metal-insulator-metal-based plasmonic metamaterial absorbers (MIM-PMAs) due to their complete fulfillment of these demands. MIM-PMAs consist of top periodic micropatches, a middle dielectric layer, and a bottom reflector layer to generate strong localized surface plasmon resonance at absorption wavelengths. In particular, in the visible and infrared (IR) wavelength regions, a wide range of applications is expected, such as solar cells, refractive index sensors, optical camouflage, cloaking, optical switches, color pixels, thermal IR sensors, IR microscopy and gas sensing. The promising properties of MIM-PMAs are attributed to the simple plasmonic resonance localized at the top micropatch resonators formed by the MIMs. Here, various types of MIM-PMAs are reviewed in terms of their historical background, basic physics, operation mode design, and future challenges to clarify their underlying basic design principles and introduce various applications. The principles presented in this review paper can be applied to other wavelength regions such as the ultraviolet, terahertz, and microwave regions. PMID:29558454

  2. A study of meteor spectroscopy and physics from earth-orbit: A preliminary survey into ultraviolet meteor spectra

    NASA Technical Reports Server (NTRS)

    Meisel, D. D.

    1976-01-01

    Preliminary data required to extrapolate available meteor physics information (obtained in the photographic, visual and near ultraviolet spectral regions) into the middle and far ultraviolet are presented. Wavelength tables, telluric attenuation factors, meteor rates, and telluric airglow data are summarized in the context of near-earth observation vehicle parameters using moderate to low spectral resolution instrumentation. Considerable attenuation is given to the problem of meteor excitation temperatures since these are required to predict the strength of UV features. Relative line intensities are computed for an assumed chondritic composition. Features of greatest predicted intensities, the major problems in meteor physics, detectability of UV meteor events, complications of spacecraft motion, and UV instrumentation options are summarized.

  3. Up-down asymmetry measurement of tungsten distribution in large helical device using two extreme ultraviolet (EUV) spectrometers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Y., E-mail: liu.yang@nifs.ac.jp; Zhang, H. M.; Morita, S.

    Two space-resolved extreme ultraviolet spectrometers working in wavelength ranges of 10-130 Å and 30-500 Å have been utilized to observe the full vertical profile of tungsten line emissions by simultaneously measuring upper- and lower-half plasmas of LHD, respectively. The radial profile of local emissivity is reconstructed from the measured vertical profile in the overlapped wavelength range of 30-130 Å and the up-down asymmetry is examined against the local emissivity profiles of WXXVIII in the unresolved transition array spectrum. The result shows a nearly symmetric profile, suggesting a good availability in the present diagnostic method for the impurity asymmetry study.

  4. An investigation into the inhibitory effect of ultraviolet radiation on Trichophyton rubrum.

    PubMed

    Cronin, Leah J; Mildren, Richard P; Moffitt, Michelle; Lauto, Antonio; Morton, C Oliver; Stack, Colin M

    2014-01-01

    Fungal infection of nails, onychomycosis, is predominantly caused by Trichophyton rubrum. This infection is an important public health concern due to its persistent nature and high recurrence rates. Alternative treatments are urgently required. One such alternative is phototherapy involving the action of photothermal or photochemical processes. The aim of this novel study was to assess which wavelengths within the ultraviolet (UV) spectrum were inhibitory and equally important nail transmissible. Initial irradiations of T. rubrum spore suspensions were carried out using a tunable wavelength lamp system (fluence ≤3.1 J/cm(2)) at wavelengths between 280 and 400 nm (UVC to UVA) to evaluate which wavelengths prevented fungal growth. Light-emitting diodes (LEDs) of defined wavelengths were subsequently chosen with a view to evaluate and potentially implement this technology as a low-cost "in-home" treatment. Our experiments demonstrated that exposure at 280 nm using an LED with a fluence as low as 0.5 J/cm(2) was inhibitory, i.e., no growth following a 2-week incubation (p < 0.05; one-way ANOVA), while exposure to longer wavelengths was not. A key requirement for the use of phototherapy in the treatment of onychomycosis is that it must be nail transmissible. Our results indicate that the treatment with UVC is not feasible given that there is no overlap between the antifungal activity observed at 280 nm and transmission through the nail plate. However, a potential indirect application of this technology could be the decontamination of reservoirs of infection such as the shoes of infected individuals, thus preventing reinfection.

  5. Characterization of a smartphone camera's response to ultraviolet A radiation.

    PubMed

    Igoe, Damien; Parisi, Alfio; Carter, Brad

    2013-01-01

    As part of a wider study into the use of smartphones as solar ultraviolet radiation monitors, this article characterizes the ultraviolet A (UVA; 320-400 nm) response of a consumer complementary metal oxide semiconductor (CMOS)-based smartphone image sensor in a controlled laboratory environment. The CMOS image sensor in the camera possesses inherent sensitivity to UVA, and despite the attenuation due to the lens and neutral density and wavelength-specific bandpass filters, the measured relative UVA irradiances relative to the incident irradiances range from 0.0065% at 380 nm to 0.0051% at 340 nm. In addition, the sensor demonstrates a predictable response to low-intensity discrete UVA stimuli that can be modelled using the ratio of recorded digital values to the incident UVA irradiance for a given automatic exposure time, and resulting in measurement errors that are typically less than 5%. Our results support the idea that smartphones can be used for scientific monitoring of UVA radiation. © 2012 Wiley Periodicals, Inc. Photochemistry and Photobiology © 2012 The American Society of Photobiology.

  6. Free-electron laser wavelength-selective materials alteration and photoexcitation spectroscopy

    NASA Astrophysics Data System (ADS)

    Tolk, N. H.; Albridge, R. G.; Barnes, A. V.; Barnes, B. M.; Davidson, J. L.; Gordon, V. D.; Margaritondo, G.; McKinley, J. T.; Mensing, G. A.; Sturmann, J.

    1996-10-01

    The free-electron laser (FEL) has become an important tool for producing high-intensity photon beams, especially in the infrared. Synchrotron radiation's primary spectral domains are in the ultraviolet and X-ray region. FEL's are therefore excellent complimentary facilities to synchrotron radiation sources. While FEL's have seen only limited use in experimentation, recently developed programs at Vanderbilt University in Nashville, TN, are swiftly rectifying this situation. This review paper examines practical experience obtained through pioneering programs using the Vanderbilt FEL, which currently hosts one of the largest FEL materials research programs. Results will be discussed in three areas: two-photon absorption in germanium, FEL-assisted internal photoemission measurements of interface energy barriers (FELIPE), and wavelength-specific laser diamond ablation.

  7. Validation of Special Sensor Ultraviolet Limb Imager (SSULI) Ionospheric Tomography using ALTAIR Incoherent Scatter Radar Measurements

    NASA Astrophysics Data System (ADS)

    Dymond, K.; Nicholas, A. C.; Budzien, S. A.; Stephan, A. W.; Coker, C.; Hei, M. A.; Groves, K. M.

    2015-12-01

    The Special Sensor Ultraviolet Limb Imager (SSULI) instruments are ultraviolet limb scanning sensors flying on the Defense Meteorological Satellite Program (DMSP) satellites. The SSULIs observe the 80-170 nanometer wavelength range covering emissions at 91 and 136 nm, which are produced by radiative recombination of the ionosphere. We invert these emissions tomographically using newly developed algorithms that include optical depth effects due to pure absorption and resonant scattering. We present the details of our approach including how the optimal altitude and along-track sampling were determined and the newly developed approach we are using for regularizing the SSULI tomographic inversions. Finally, we conclude with validations of the SSULI inversions against ALTAIR incoherent scatter radar measurements and demonstrate excellent agreement between the measurements.

  8. A volume-limited survey of High Galactic latitude planetary nebulae with the Extrme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Fruscione, Antonella; Drake, Jeremy J.; Mcdonald, Kelley; Malina, Roger F.

    1995-01-01

    We present the results of a complete survey, at extreme-ultraviolet (EUV) wavelengths (58-234 A), of the high Galactic latitude (absolute value of b greater than or = to 20 deg) planetary nebulae (PNs) with at least one determination of the distance within 1 kpc of the Sun. The sample comprises 27 objects observed during the Extreme Ultraviolet Explorer (EUVE) all-sky survey and represents the majority of PN likely to be accessible at EUV wavelengths. Six PNs (NGC 246, NGC 1360, K1-16, LoTr 5, NGC 4361, and NGC 3587) were detected in the shortest EUV band (58-174 A). A seventh PN (NGC 6853), not included in the sample, was also detected during the survey. The emission is consistent in all cases with that of a point source and therefore most probably originates from the PN central star. Accurate EUV count rates or upper limits in the two shorter EUVE bands (centered at approximately 100 and 200 A) are given for all the sources in the sample. NGC 4361 and NGC 3587 are reported here for the first time as sources of EUV radiation. As might be expected, attenuation by the interstellar medium dominates the PN distribution in the EUV sky.

  9. A cure for the blues: opsin duplication and subfunctionalization for short-wavelength sensitivity in jewel beetles (Coleoptera: Buprestidae).

    PubMed

    Lord, Nathan P; Plimpton, Rebecca L; Sharkey, Camilla R; Suvorov, Anton; Lelito, Jonathan P; Willardson, Barry M; Bybee, Seth M

    2016-05-18

    Arthropods have received much attention as a model for studying opsin evolution in invertebrates. Yet, relatively few studies have investigated the diversity of opsin proteins that underlie spectral sensitivity of the visual pigments within the diverse beetles (Insecta: Coleoptera). Previous work has demonstrated that beetles appear to lack the short-wavelength-sensitive (SWS) opsin class that typically confers sensitivity to the "blue" region of the light spectrum. However, this is contrary to established physiological data in a number of Coleoptera. To explore potential adaptations at the molecular level that may compensate for the loss of the SWS opsin, we carried out an exploration of the opsin proteins within a group of beetles (Buprestidae) where short-wave sensitivity has been demonstrated. RNA-seq data were generated to identify opsin proteins from nine taxa comprising six buprestid species (including three male/female pairs) across four subfamilies. Structural analyses of recovered opsins were conducted and compared to opsin sequences in other insects across the main opsin classes-ultraviolet, short-wavelength, and long-wavelength. All nine buprestids were found to express two opsin copies in each of the ultraviolet and long-wavelength classes, contrary to the single copies recovered in all other molecular studies of adult beetle opsin expression. No SWS opsin class was recovered. Furthermore, the male Agrilus planipennis (emerald ash borer-EAB) expressed a third LWS opsin at low levels that is presumed to be a larval copy. Subsequent homology and structural analyses identified multiple amino acid substitutions in the UVS and LWS copies that could confer short-wavelength sensitivity. This work is the first to compare expressed opsin genes against known electrophysiological data that demonstrate multiple peak sensitivities in Coleoptera. We report the first instance of opsin duplication in adult beetles, which occurs in both the UVS and LWS opsin classes

  10. Deep ultraviolet scanning near-field optical microscopy for the structural analysis of organic and biological materials

    NASA Astrophysics Data System (ADS)

    Aoki, Hiroyuki; Hamamatsu, Toyohiro; Ito, Shinzaburo

    2004-01-01

    Scanning near-field optical microscopy (SNOM) using a deep ultraviolet (DUV) light source was developed for in situ imaging of a variety of chemical species without staining. Numerous kinds of chemical species have a carbon-carbon double bond or aromatic group in their chemical structure, which can be excited at the wavelength below 300 nm. In this study, the wavelength range available for SNOM imaging was extended to the DUV region. DUV-SNOM allowed the direct imaging of polymer thin films with high detection sensitivity and spatial resolution of several tens of nanometers. In addition to the polymer materials, we demonstrated the near-field imaging of a cell without using a fluorescence label.

  11. Rare Earth Optical Temperature Sensor

    NASA Technical Reports Server (NTRS)

    Chubb, Donald L.; Wolford, David S.

    2000-01-01

    A new optical temperature sensor suitable for high temperatures (greater than 1700 K) and harsh environments is introduced. The key component of the sensor is the rare earth material contained at the end of a sensor that is in contact with the sample being measured. The measured narrow wavelength band emission from the rare earth is used to deduce the sample temperature. A simplified relation between the temperature and measured radiation was verified experimentally. The upper temperature limit of the sensor is determined by material limits to be approximately 2000 C. The lower limit, determined by the minimum detectable radiation, is found to be approximately 700 K. At high temperatures 1 K resolution is predicted. Also, millisecond response times are calculated.

  12. Measurement of temperature and density fluctuations in turbulence using an ultraviolet laser

    NASA Technical Reports Server (NTRS)

    Massey, G. A.

    1984-01-01

    Noninvasive measurement of density and temperature fluctuations in turbulent air flow was examined. The approach used fluorescence of oxygen molecules which are selectively excited by a tunable vacuum ultraviolet laser beam. The strength of the fluorescence signal and its dependence on laser wavelength vary with the density and temperature of the air in the laser beam. Because fluorescence can be detected at 90 degrees from the beam propagation direction, spatial resolution in three dimensions, rather than path-integrated measurements can be achieved. With spatial resolutions of the order of a millimeter and at supersonic air velocities it is necessary to perform each measurement in a time of the order of a microsecond; this is possible by by using laser pulses of ten nanosecond duration. In this method atmospheric O2 is excited by the emission of a tunable ArF excimer laser, and the fluorescence, which spans the 210 to 420 range, is detected by an ultraviolet phototube.

  13. The far ultraviolet spectrum of Pluto and the discovery of its ionosphere

    NASA Astrophysics Data System (ADS)

    Steffl, A.; Stern, A.; Gladstone, R.; Parker, J. W.; Greathouse, T. K.; Retherford, K. D.; Young, L. A.; Schindhelm, E.; Kammer, J.; Strobel, D. F.; Summers, M. E.; Versteeg, M.; Olkin, C.; Weaver, H. A., Jr.; Hinson, D. P.; Linscott, I.

    2016-12-01

    During the New Horizons spacecraft's encounter with Pluto in July 2015, the Alice far ultraviolet spectrograph made numerous observations of Pluto and its atmosphere. We present here the far ultraviolet spectrum of Pluto. We observe faint emission (<0.01 Rayleighs/Ångstrom) from singly ionized nitrogen at 108.6 nm-the first detection of an ionosphere at Pluto. This N+ line is produced primarily by dissociative photoionization of molecular N2 by solar EUV photons (energy > 34.7 eV; wavelength < 36nm). Notably absent from Pluto's spectrum are emission lines from argon at 104.8 and 106.7 nm. We place upper limits on the amount of argon in Pluto's atmosphere above the tau=1 level (observed to be at 750km tangent altitude) that are significantly lower than previous models. We also identify and derive column densities for various hydrocarbon species such as C2H4 through their absorption of sunlight reflected from Pluto's surface.

  14. Enhanced Aluminum Reflecting and Solar-Blind Filter Coatings for the Far-Ultraviolet

    NASA Technical Reports Server (NTRS)

    Del Hoyo, Javier; Quijada, Manuel

    2017-01-01

    The advancement of far-ultraviolet (FUV) coatings is essential to meet the specified throughput requirements of the Large UV/Optical/IR (LUVOIR) Surveyor Observatory which will cover wavelengths down to the 100 nm range. The biggest constraint in the optical thin film coating design is attenuation in the Lyman-Alpha Ultraviolet range of 100-130 nm in which conventionally deposited thin film materials used in this spectral region (e.g. aluminum [Al] protected with Magnesium fluoride [MgF2]) often have high absorption and scatter properties degrading the throughput in an optical system. We investigate the use of optimally deposited aluminum and aluminum tri-fluoride (AlF3) materials for reflecting and solar blind band-pass filter coatings for use in the FUV. Optical characterization of the deposited designs has been performed using UV spectrometry. The optical thin film design and optimal deposition conditions to produce superior reflectance and transmittance using Al and AlF3 are presented.

  15. Enhanced aluminum reflecting and solar-blind filter coatings for the far-ultraviolet

    NASA Astrophysics Data System (ADS)

    Del Hoyo, Javier; Quijada, Manuel

    2017-09-01

    The advancement of far-ultraviolet (FUV) coatings is essential to meet the specified throughput requirements of the Large UV/Optical/IR (LUVOIR) Surveyor Observatory which will cover wavelengths down to the 100 nm range. The biggest constraint in the optical thin film coating design is attenuation in the Lyman-Alpha Ultraviolet range of 100-130 nm in which conventionally deposited thin film materials used in this spectral region (e.g., aluminum [Al] protected with Magnesium fluoride [MgF2]) often have high absorption and scatter properties degrading the throughput in an optical system. We investigate the use of optimally deposited aluminum and aluminum tri-fluoride (AlF3) materials for reflecting and solar blind band-pass filter coatings for use in the FUV. Optical characterization of the deposited designs has been performed using UV spectrometry. The optical thin film design and optimal deposition conditions to produce superior reflectance and transmittance using Al and AlF3 are presented.

  16. Psoralen-ultraviolet A treatment with Psoralen-ultraviolet B therapy in the treatment of psoriasis.

    PubMed

    Ahmed Asim, Sadaf; Ahmed, Sitwat; Us-Sehar, Najam

    2013-05-01

    To compare the conventional psoralen-ultraviolet A treatment with psoralen-ultraviolet B therapy in the treatment of psoriasis. We studied 50 patients of plaque type psoriasis who were selected to receive either conventional psoralen-ultraviolet A or psoralen-ultraviolet B treatment. There was no significant difference between the two treatment groups in the number of patients whose skin cleared of psoriasis or the number of exposures required for clearance. Profile of side effects and disease status was also similar after three months of follow up. Psoralen-ultraviolet B treatment is as effective as conventional psoralen-ultraviolet A in the treatment of psoriasis. Further long term studies are needed to assess the safety of psoralen-ultraviolet B.

  17. Space Weathering Effects at UV Wavelengths: Asteroids and the Moon

    NASA Astrophysics Data System (ADS)

    Hendrix, Amanda; Vilas, F.

    2006-09-01

    Space weathering, the bombardment of airless bodies by micrometeoroids and irradiation by solar wind particles, affects spectra of solar system bodies at visible/near IR (VNIR) wavelengths by darkening and reddening their surface materials, as well as degrading absorption features. We present new results detailing space weathering effects at ultraviolet wavelengths. We focus on new spectral modeling results, and also present spacecraft data of asteroids and the Moon, along with new UV measurements of asteroid families from HST, to demonstrate the effects of varying degrees of weathering and the outcome of weathering on surfaces of different compositions. Weathered surfaces are relatively bright and spectrally blue in the UV; these UV effects can be more obvious than the VNIR effects. The cause of these weathering effects is likely vapor deposition of submicroscopic iron (SMFe), through solar wind irradiation and micrometeoroid bombardment of the bodies' surfaces. In silicate minerals, the NUV region is dominated by a decrease in reflectance with wavelength - the "UV absorption edge.” In contrast to silicates, iron is opaque and relatively bright in the UV, so the addition of SMFe to a silicate grains has the effect of making the UV region brighter; this is in opposition to the situation at longer wavelengths, where the addition of SMFe decreases the albedo. Our spectral modeling results show that the addition of SMFe decreases the steepness of the UV dropoff, in effect making the UV spectrum bluer. This can explain the difference in UV spectral behavior seen between S-class asteroids and less-weathered ordinary chondrite meteorites, and between lunar rocks and more weathered lunar soils. This work is funded in part by Hubble Space Telescope Grant #10557.

  18. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  19. Spectral classification with the International Ultraviolet Explorer: An atlas of B-type spectra

    NASA Technical Reports Server (NTRS)

    Rountree, Janet; Sonneborn, George

    1993-01-01

    New criteria for the spectral classification of B stars in the ultraviolet show that photospheric absorption lines in the 1200-1900A wavelength region can be used to classify the spectra of B-type dwarfs, subgiants, and giants on a 2-D system consistent with the optical MK system. This atlas illustrates a large number of such spectra at the scale used for classification. These spectra provide a dense matrix of standard stars, and also show the effects of rapid stellar rotation and stellar winds on the spectra and their classification. The observational material consists of high-dispersion spectra from the International Ultraviolet Explorer archives, resampled to a resolution of 0.25 A, uniformly normalized, and plotted at 10 A/cm. The atlas should be useful for the classification of other IUE high-dispersion spectra, especially for stars that have not been observed in the optical.

  20. A DETAILED FAR-ULTRAVIOLET SPECTRAL ATLAS OF MAIN-SEQUENCE B STARS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, Myron A.

    2010-02-01

    We have constructed a detailed spectral atlas covering the wavelength region 930-1225 A for 10 sharp-lined B0-B9 stars near the main sequence. Most of the spectra we assembled are from the archives of the Far Ultraviolet Spectroscopic Explorer satellite, but for nine stars, wavelength coverage above 1188 A was taken from high-resolution International Ultraviolet Explorer or echelle Hubble Space Telescope/Space Telescope Imaging Spectrograph spectra. To represent the tenth star at type B0.2 V, we used the Copernicus atlas of {tau} Sco. We made extensive line identifications in the region 949-1225 A of all atomic features having published oscillator strengths atmore » types B0, B2, and B8. These are provided as a supplementary data product-hence the term detailed atlas. Our list of found features totals 2288, 1612, and 2469 lines, respectively. We were able to identify 92%, 98%, and 98% of these features with known atomic transitions with varying degrees of certainty in these spectra. The remaining lines do not have published oscillator strengths. Photospheric lines account for 94%, 87%, and 91%, respectively, of all our identifications, with the remainder being due to interstellar (usually molecular H{sub 2}) lines. We also discuss the numbers of lines with respect to the distributions of various ions for these three most studied spectral subtypes. A table is also given of 162 least blended lines that can be used as possible diagnostics of physical conditions in B star atmospheres.« less

  1. Photon-counting image sensors for the ultraviolet

    NASA Technical Reports Server (NTRS)

    Jenkins, E. B.

    1985-01-01

    An investigation on specific performance details of photon counting, ultraviolet image sensors having 2-dimensional formats is reviewed. In one study, controlled experiments were performed which compare the quantum efficiencies, in pulse counting mode, of CsI photocathodes deposited on: (1) the front surface of a microchannel plate (MCP), (2) a solid surface in front of an MCP, and (3) an intensified CCD image sensor (ICCD) where a CCD is directly bombarded by accelerated photoelectrons. Tests indicated that the detection efficiency of the CsI-coated MCP at 1026 A is lower by a factor of 2.5 than that of the MCP with a separate, opaque CsI photocathode, and the detection efficiency ratio increases substantially at longer wavelengths (ratio is 5 at 1216 A and 20 at 1608 A).

  2. High-sensitivity explosives detection using dual-excitation-wavelength resonance-Raman detector

    NASA Astrophysics Data System (ADS)

    Yellampalle, Balakishore; McCormick, William B.; Wu, Hai-Shan; Sluch, Mikhail; Martin, Robert; Ice, Robert V.; Lemoff, Brian

    2014-05-01

    A key challenge for standoff explosive sensors is to distinguish explosives, with high confidence, from a myriad of unknown background materials that may have interfering spectral peaks. To meet this challenge a sensor needs to exhibit high specificity and high sensitivity in detection at low signal-to-noise ratio levels. We had proposed a Dual-Excitation- Wavelength Resonance-Raman Detector (DEWRRED) to address this need. In our previous work, we discussed various components designed at WVHTCF for a DEWRRED sensor. In this work, we show a completely assembled laboratory prototype of a DEWRRED sensor and utilize it to detect explosives from two standoff distances. The sensor system includes two novel, compact CW deep-Ultraviolet (DUV) lasers, a compact dual-band high throughput DUV spectrometer, and a highly-sensitive detection algorithm. We choose DUV excitation because Raman intensities from explosive traces are enhanced and fluorescence and solar background are not present. The DEWRRED technique exploits the excitation wavelength dependence of Raman signal strength, arising from complex interplay of resonant enhancement, self-absorption and laser penetration depth. We show measurements from >10 explosives/pre-cursor materials at different standoff distances. The sensor showed high sensitivity in explosive detection even when the signalto- noise ratio was close to one (~1.6). We measured receiver-operating-characteristics, which show a clear benefit in using the dual-excitation-wavelength technique as compared to a single-excitation-wavelength technique. Our measurements also show improved specificity using the amplitude variation information in the dual-excitation spectra.

  3. Injection locking of a high power ultraviolet laser diode for laser cooling of ytterbium atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hosoya, Toshiyuki; Miranda, Martin; Inoue, Ryotaro

    2015-07-15

    We developed a high-power laser system at a wavelength of 399 nm for laser cooling of ytterbium atoms with ultraviolet laser diodes. The system is composed of an external cavity laser diode providing frequency stabilized output at a power of 40 mW and another laser diode for amplifying the laser power up to 220 mW by injection locking. The systematic method for optimization of our injection locking can also be applied to high power light sources at any other wavelengths. Our system does not depend on complex nonlinear frequency-doubling and can be made compact, which will be useful for providing light sources formore » laser cooling experiments including transportable optical lattice clocks.« less

  4. The Effects of Different Wavelength UV Photofunctionalization on Micro-Arc Oxidized Titanium

    PubMed Central

    Zhou, Lei; Guo, Zehong; Rong, Mingdeng; Liu, Xiangning; Lai, Chunhua; Ding, Xianglong

    2013-01-01

    Many challenges exist in improving early osseointegration, one of the most critical factors in the long-term clinical success of dental implants. Recently, ultraviolet (UV) light-mediated photofunctionalization of titanium as a new potential surface treatment has aroused great interest. This study examines the bioactivity of titanium surfaces treated with UV light of different wavelengths and the underlying associated mechanism. Micro-arc oxidation (MAO) titanium samples were pretreated with UVA light (peak wavelength of 360 nm) or UVC light (peak wavelength of 250 nm) for up to 24 h. UVC treatment promoted the attachment, spread, proliferation and differentiation of MG-63 osteoblast-like cells on the titanium surface, as well as the capacity for apatite formation in simulated body fluid (SBF). These biological influences were not observed after UVA treatment, apart from a weaker effect on apatite formation. The enhanced bioactivity was substantially correlated with the amount of Ti-OH groups, which play an important role in improving the hydrophilicity, along with the removal of hydrocarbons on the titanium surface. Our results showed that both UVA and UVC irradiation altered the chemical properties of the titanium surface without sacrificing its excellent physical characteristics, suggesting that this technology has extensive potential applications and merits further investigation. PMID:23861853

  5. High-resolution setup for measuring wavelength sensitivity of photoyellowing of translucent materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vaskuri, Anna, E-mail: anna.vaskuri@aalto.fi; Kärhä, Petri; Heikkilä, Anu

    2015-10-15

    Polystyrene and many other materials turn yellow when exposed to ultraviolet (UV) radiation. All photodegradation mechanisms including photoyellowing are functions of the exposure wavelength, which can be described with an action spectrum. In this work, a new high-resolution transmittance measurement setup based on lasers has been developed for measuring color changes, such as the photoyellowing of translucent materials aged with a spectrograph. The measurement setup includes 14 power-stabilized laser lines between 325 nm and 933 nm wavelengths, of which one at a time is directed on to the aged sample. The power transmitted through the sample is measured with amore » silicon detector utilizing an integrating sphere. The sample is mounted on a high-resolution XY translation stage. Measurement at various locations aged with different wavelengths of exposure radiation gives the transmittance data required for acquiring the action spectrum. The combination of a UV spectrograph and the new high-resolution transmittance measurement setup enables a novel method for studying the UV-induced ageing of translucent materials with a spectral resolution of 3–8 nm, limited by the adjustable spectral bandwidth range of the spectrograph. These achievements form a significant improvement over earlier methods.« less

  6. Influence of the absorption behavior of sunscreens in the short-wavelength UV range (UVB) and the long-wavelength UV range (UVA) on the relation of the UVB absorption to sun protection factor

    NASA Astrophysics Data System (ADS)

    Weigmann, Hans-Juergen; Schanzer, Sabine; Antoniou, Christina; Sterry, Wolfram; Lademann, Juergen

    2010-09-01

    The absorption of filter substances in sunscreens, reducing the incident ultraviolet (UV) radiation, is the basis for the protecting ability of such formulations. The erythema-correlated sun protection factor (SPF), depending mainly on the intensity of the UVB radiation, is the common value to quantify the efficacy of the formulations avoiding sunburn. An ex vivo method combining tape stripping and optical spectroscopy is applied to measure the absorption of sunscreens in the entire UV spectral range. The obtained relations between the short-wavelength UV (UVB) absorption and the SPF confirm a clear influence of the long-wavelength UV (UVA) absorption on the SPF values. The data reflect the historical development of the relation of the concentration of UVB and UVA filters in sunscreens and points to the influence of additional ingredients, e.g., antioxidants and cell-protecting agents on the efficacy of the products.

  7. An Ultraviolet/Optical Atlas of Bright Galaxies

    NASA Astrophysics Data System (ADS)

    Marcum, Pamela M.; O'Connell, Robert W.; Fanelli, Michael N.; Cornett, Robert H.; Waller, William H.; Bohlin, Ralph C.; Neff, Susan G.; Roberts, Morton S.; Smith, Andrew M.; Cheng, K.-P.; Collins, Nicholas R.; Hennessy, Gregory S.; Hill, Jesse K.; Hill, Robert S.; Hintzen, Paul; Landsman, Wayne B.; Ohl, Raymond G.; Parise, Ronald A.; Smith, Eric P.; Freedman, Wendy L.; Kuchinski, Leslie E.; Madore, Barry; Angione, Ronald; Palma, Christopher; Talbert, Freddie; Stecher, Theodore P.

    2001-02-01

    We present wide-field imagery and photometry of 43 selected nearby galaxies of all morphological types at ultraviolet and optical wavelengths. The ultraviolet (UV) images, in two broad bands at 1500 and 2500 Å, were obtained using the Ultraviolet Imaging Telescope (UIT) during the Astro-1 Spacelab mission. The UV images have ~3" resolution, and the comparison sets of ground-based CCD images (in one or more of B, V, R, and Hα) have pixel scales and fields of view closely matching the UV frames. The atlas consists of multiband images and plots of UV/optical surface brightness and color profiles. Other associated parameters, such as integrated photometry and half-light radii, are tabulated. In an appendix, we discuss the sensitivity of different wavebands to a galaxy's star formation history in the form of ``history weighting functions'' and emphasize the importance of UV observations as probes of evolution during the past 10-1000 Myr. We find that UV galaxy morphologies are usually significantly different from visible band morphologies as a consequence of spatially inhomogeneous stellar populations. Differences are quite pronounced for systems in the middle range of Hubble types, Sa through Sc, but less so for ellipticals or late-type disks. Normal ellipticals and large spiral bulges are fainter and more compact in the UV. However, they typically exhibit smooth UV profiles with far-UV/optical color gradients which are larger than any at optical/IR wavelengths. The far-UV light in these cases is probably produced by extreme horizontal branch stars and their descendants in the dominant, low-mass, metal-rich population. The cool stars in the large bulges of Sa and Sb spirals fade in the UV while hot OB stars in their disks brighten, such that their Hubble classifications become significantly later. In the far-UV, early-type spirals often appear as peculiar, ringlike systems. In some spiral disks, UV-bright structures closely outline the spiral pattern; in others, the

  8. CUTIE: Cubesat Ultraviolet Transient Imaging Experiment

    NASA Astrophysics Data System (ADS)

    Cenko, Stephen B.; Bellm, Eric Christopher; Gal-Yam, Avishay; Gezari, Suvi; Gorjian, Varoujan; Jewell, April; Kruk, Jeffrey W.; Kulkarni, Shrinivas R.; Mushotzky, Richard; Nikzad, Shouleh; Piro, Anthony; Waxman, Eli; Ofek, Eran Oded

    2017-01-01

    We describe a mission concept for the Cubesat Ultraviolet Transient Imaging Experiment (CUTIE). CUTIE will image an area on the sky of ~ 1700 square degrees every ~ 95 min at near-UV wavelengths (260-320 nm) to a depth of 19.0 mag (AB). These capabilities represent orders of magnitude improvement over past UV imagers, allowing CUTIE to conduct the first true synoptic survey of the transient and variable sky in the UV bandpass. CUTIE will uniquely address key Decadal Survey science questions such as how massive stars end their lives, how super-massive black holes accrete material and influence their surroundings, and how suitable habitable-zone planets around low-mass stars are for hosting life. By partnering with upcoming ground-based time-domain surveys, CUTIE will further leverage its low-Earth orbit to provide a multi-wavelength view of the dynamic universe that can only be achieved from space. The remarkable sensitivity for such a small payload is achieved via the use of large format delta-doped CCDs; space qualifying this technology will serve as a key milestone towards the development of future large missions (Explorers and Surveyors). Finally, our innovative design in a 6U cubesat form factor will enable significant cost savings, accelerating the timeline from conception to on-sky operation (5 years; well matched for graduate student participation).

  9. Normal-incidence EXtreme-Ultraviolet imaging Spectrometer - NEXUS

    NASA Astrophysics Data System (ADS)

    Dere, K. P.

    2003-05-01

    NEXUS is the result of a breakthrough optical design that incorporates new technologies to achieve high optical throughput at high spatial (1 arcsec) and spectral (1-2 km s-1) resolution over a wide field of view in an optimal extreme-ultraviolet spectral band. This achievement was made possible primarily by two technical developments. First, a coating of boron-carbide deposited onto a layer of iridium provided a greatly enhanced reflectivity at EUV wavelengths that would enable NEXUS to observe the Sun over a wide temperature range at high cadence. The reflectivity of these coatings have been measured and demonstrated in the laboratory. The second key development was the use of a variable-line-spaced toroidal grating spectrometer. The spectrometer design allowed the Sun to be imaged at high spatial and spectral resolution along a 1 solar radius-long slit and over a wavelength range from 450 to 800 Å, nearly an entire spectral order. Because the spectrograph provided a magnification of about a factor of 6, only 2 optical elements are required to achieved the desired imaging performance. Throughput was enhanced by the use of only 2 reflections. The could all be accomodated within a total instrument length of 1.5m. We would like to acknowledge support from ONR

  10. Psoralen-ultraviolet A treatment with Psoralen-ultraviolet B therapy in the treatment of psoriasis

    PubMed Central

    Ahmed Asim, Sadaf; Ahmed, Sitwat; us-Sehar, Najam

    2013-01-01

    Objective: To compare the conventional psoralen-ultraviolet A treatment with psoralen-ultraviolet B therapy in the treatment of psoriasis. Methodology: We studied 50 patients of plaque type psoriasis who were selected to receive either conventional psoralen-ultraviolet A or psoralen-ultraviolet B treatment. Results: There was no significant difference between the two treatment groups in the number of patients whose skin cleared of psoriasis or the number of exposures required for clearance. Profile of side effects and disease status was also similar after three months of follow up. Conclusion: Psoralen-ultraviolet B treatment is as effective as conventional psoralen-ultraviolet A in the treatment of psoriasis. Further long term studies are needed to assess the safety of psoralen-ultraviolet B. PMID:24353623

  11. Ultraviolet laser spectroscopy of neutral mercury in a one-dimensional optical lattice

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mejri, S.; McFerran, J. J.; Yi, L.

    2011-09-15

    We present details on the ultraviolet lattice spectroscopy of the (6s{sup 2}) {sup 1}S{sub 0}{r_reversible} (6s6p) {sup 3}P{sub 0} transition in neutral mercury, specifically {sup 199}Hg. Mercury atoms are loaded into a one-dimensional vertically aligned optical lattice from a magneto-optical trap with an rms temperature of {approx}60 {mu}K. We describe aspects of the magneto-optical trapping, the lattice cavity design, and the techniques employed to trap and detect mercury in an optical lattice. The clock-line frequency dependence on lattice depth is measured at a range of lattice wavelengths. We confirm the magic wavelength to be 362.51(0.16) nm. Further observations to thosemore » reported by Yi et al.[Phys. Rev. Lett. 106, 073005 (2011)] are presented regarding the laser excitation of a Wannier-Stark ladder of states.« less

  12. StarCAT: A Catalog of Space Telescope Imaging Spectrograph Ultraviolet Echelle Spectra of Stars

    NASA Astrophysics Data System (ADS)

    Ayres, Thomas R.

    2010-03-01

    StarCAT is a catalog of high resolution ultraviolet spectra of objects classified as "stars," recorded by Space Telescope Imaging Spectrograph (STIS) during its initial seven years of operations (1997-2004). StarCAT is based on 3184 echelle observations of 545 distinct targets, with a total exposure duration of 5.2 Ms. For many of the objects, broad ultraviolet coverage has been achieved by splicing echellegrams taken in two or more FUV (1150-1700 Å) and/or NUV (1600-3100 Å) settings. In cases of multiple pointings on conspicuously variable sources, spectra were separated into independent epochs. Otherwise, different epochs were combined to enhance the signal-to-noise ratio (S/N). A post-facto correction to the calstis pipeline data sets compensated for subtle wavelength distortions identified in a previous study of the STIS calibration lamps. An internal "fluxing" procedure yielded coherent spectral energy distributions (SEDs) for objects with broadly overlapping wavelength coverage. The best StarCAT material achieves 300 m s-1 internal velocity precision; absolute accuracy at the 1 km s-1 level; photometric accuracy of order 4%; and relative flux precision several times better (limited mainly by knowledge of SEDs of UV standard stars). While StarCAT represents a milestone in the large-scale post-processing of STIS echellegrams, a number of potential improvements in the underlying "final" pipeline are identified.

  13. Juno Ultraviolet Spectrograph (Juno-UVS) Observations of Jupiter during Approach

    NASA Astrophysics Data System (ADS)

    Gladstone, Randy; Versteeg, Maarten; Greathouse, Thomas K.; Hue, Vincent; Davis, Michael; Gerard, Jean-Claude; Grodent, Denis; Bonfond, Bertrand

    2016-10-01

    We present the initial results from Juno Ultraviolet Spectrograph (Juno-UVS) observations of Jupiter obtained during approach in June 2016. Juno-UVS is an imaging spectrograph with a bandpass of 70<λ<205 nm. This wavelength range includes all important ultraviolet (UV) emissions from the H2 bands and the H Lyman series which are produced in Jupiter's auroras, and also the absorption signatures of aurorally-produced hydrocarbons. The Juno-UVS instrument telescope has a 4 x 4 cm2 input aperture and uses an off-axis parabolic primary mirror. A flat scan mirror situated near the entrance of the telescope is used to observe at up to ±30° perpendicular to the Juno spin plane. The light is focused onto the spectrograph entrance slit, which has a "dog-bone" shape 7.2° long, in three sections of 0.2°, 0.025°, and 0.2° width (as projected onto the sky). Light entering the slit is dispersed by a toroidal grating which focuses UV light onto a curved microchannel plate (MCP) cross delay line (XDL) detector with a solar blind UV-sensitive CsI photocathode. Tantalum surrounds the spectrograph assembly to shield the detector and its electronics from high-energy electrons. All other electronics are located in Juno's spacecraft vault, including redundant low-voltage and high-voltage power supplies, command and data handling electronics, heater/actuator electronics, scan mirror electronics, and event processing electronics. The purpose of Juno-UVS is to remotely sense Jupiter's auroral morphology and brightness to provide context for in situ measurements by Juno's particle instruments. Prior to Jupiter Orbit Insertion (JOI) on July 5, Juno approach observations provide a rare opportunity to correlate local solar wind conditions with Jovian auroral emissions. Some of Jupiter's auroral emissions (e.g., polar emissions) may be controlled or at least affected by the solar wind. Here we compare synoptic Juno-UVS observations of Jupiter's auroral emissions (~40 minutes per hour

  14. Construction and characterization of ultraviolet acousto-optic based femtosecond pulse shapers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mcgrane, Shawn D; Moore, David S; Greenfield, Margo T

    2008-01-01

    We present all the information necessary for construction and characterization of acousto optic pulse shapers, with a focus on ultraviolet wavelengths, Various radio-frequency drive configurations are presented to allow optimization via knowledgeable trade-off of design features. Detailed performance characteristics of a 267 nm acousto-optic modulator (AOM) based pulse shaper are presented, Practical considerations for AOM based pulse shaping of ultra-broad bandwidth (sub-10 fs) amplified femtosecond pulse shaping are described, with particular attention paid to the effects of the RF frequency bandwidth and optical frequency bandwidth on the spatial dispersion of the output laser pulses.

  15. Ultraviolet disinfection of water for small water supplies

    NASA Astrophysics Data System (ADS)

    Carlson, D. A.; Seabloom, R. W.; Dewalle, F. B.; Wetzler, T. F.; Engeset, J.

    1985-07-01

    In the study ultraviolet radiation was considered as an alternative means of disinfection of small drinking water supplies. A major impetus for the study was the large increase in waterborne disease episodes in the United States whose etiologic agent, Giardia lamblia, was found to be highly resistant to conventional chlorination. While the germicidal effect of sunlight has long been known, it has been found that artificial UV radiation with a wavelength of 253.7 nm, can be produced by low pressure mercury vapor lamps. The inactivation of microorganisms by UV radiation is based upon photochemical reactions in DNA which result in errors in the coding system. Inactivation of microorganisms due to exposure to UV is proportional to the intensity multiplied by the time of exposure.

  16. Bactericidal effect of the photocatalystic reaction of titanium dioxide using visible wavelengths on Streptococcus mutans biofilm.

    PubMed

    Kim, Chan-Hee; Lee, Eun-Song; Kang, Si-Mook; de Josselin de Jong, Elbert; Kim, Baek-Il

    2017-06-01

    The aim of this study was to determine the effect of titanium dioxide (TiO 2 ) photocatalysis induced by the application of clinically acceptable visible light at 405nm on the growth of Streptococcus mutans biofilms. S. mutans biofilms were grown on a hydroxyapatite (HA) disk and deposited in a rutile-type TiO 2 solution at a concentration of 0.1mg/mL. TiO 2 photocatalysis was measured for exposure to visible light (405nm) and ultraviolet (UV) light (254nm) produced by light-emitting diodes for 10, 20, 30, and 40min. After two treatments, the number of colonies formed in the final S. mutans biofilm on the HA disk were measured to confirm their viability, and the morphological changes of S. mutans were evaluated using scanning electronic microscopy. The bactericidal effects of 254- and 405-nm light resulted in > 5-log and 4-log reductions, respectively (p<0.05), after 20min of treatment and a>7-log reduction after 40min of treatment in both treatment groups relative to the control group. It was confirmed that the antibacterial effect could be shown by causing the photocatalytic reaction of TiO 2 in S. mutans biofilm even at the wavelength of visible light (405nm) as at the wavelength of ultraviolet light (254nm). Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Ultraviolet Spectroscopy of the Surfaces of the Inner Icy Saturnian Satellites

    NASA Astrophysics Data System (ADS)

    Hendrix, A. R.; Hansen, C. J.

    2008-12-01

    The Cassini mission has provided a unique opportunity to make high-resolution, multi-spectral measurements of Saturn's icy moons, to investigate their surface compositions, processes and evolution. Here we present results from the Ultraviolet Imaing Spectrograph (UVIS). This instrument allows for the first measurements of the icy satellites in the extreme ultraviolet (EUV) to far-ultraviolet (FUV) wavelength range. The icy satellites of the Saturn system exhibit a remarkable amount of variability: Dark, battered Phoebe orbiting at a distant 200 RS, black-and-white Iapetus, the wispy streaks of Dione, cratered Rhea and Mimas, bright Tethys and geologically active Enceladus. Phoebe, Iapetus and Hyperion all orbit largely outside Saturn's magnetosphere, while the inner icy satellites Mimas, Enceladus, Dione Tethys and Rhea all orbit within the magnetosphere. Furthermore, the inner icy satellites all orbit within the E-ring - so the extent of exogenic effects on these icy satellites is wide-ranging. We present an overview of UVIS results from Tethys, Dione, Mimas, Enceladus and Rhea, focusing on surface investigations. We expect that the UV signatures of these icy satellites are strongly influenced not only by their water ice composition, but by external effects and magnetospheric environments. We study the FUV reflectance spectra to learn about the surface composition, map out water ice grain size variations, investigate effects of coating by E-ring grains, examine disk-resolved and hemispheric compositional and brightness variations, and investigate the presence of radiation products. This is new work: FUV spectra of surfaces have not been well-studied in the past. Spectra of the inner icy moons have been used to better develop spectral models, to further understand existing lab data of water ice and to help with understanding instrument performance. Analysis is challenged by a lack of laboratory data in this wavelength region, but intriguing results are being found

  18. Ultraviolet Spectra of Two Magnetic White Dwarfs and Ultraviolet Spectra of Subluminous Objects Found in the Kiso Schmidt Survey and Ultraviolet Absorptions in the Spectra of DA White Dwarfds

    NASA Technical Reports Server (NTRS)

    Wegner, Gary A.

    1988-01-01

    Research under NASA Grant NAG5-287 has carried out a number of projects in conjunction with the International Ultraviolet Explorer (IUE) satellite. These include: (1) studies of the UV spectra of DA white dwarfs which show quasi-molecular bands of H2 and H2(+); (2) the peculiar star HR6560; (3) the UV spectra of two magnetic white dwarfs that also show the quasi-molecular features; (4) investigations of the UV spectra of subluminous stars, primarily identified from visual wavelength spectroscopy in the Kiso survey of UV excess stars, some of which show interesting metal lines in their UV spectra; and (5) completion of studies of UV spectra of DB stars. The main result of this research has been to further knowledge of the structure and compositions of subluminous stars which helps cast light on their formation and evolution.

  19. The 1996 North American Interagency Intercomparison of Ultraviolet Monitoring Spectroradiometers

    PubMed Central

    Early, Edward; Thompson, Ambler; Johnson, Carol; DeLuisi, John; Disterhoft, Patrick; Wardle, David; Wu, Edmund; Mou, Wanfeng; Ehramjian, James; Tusson, John; Mestechkina, Tanya; Beaubian, Mark; Gibson, James; Hayes, Douglass

    1998-01-01

    Concern over stratospheric ozone depletion has prompted several government agencies in North America to establish networks of spectroradiometers for monitoring solar ultraviolet irradiance at the surface of the Earth. To assess the ability of spectroradiometers to accurately measure solar ultraviolet irradiance, and to compare the results between instruments of different monitoring networks, the third North American Interagency Intercomparison of Ultraviolet Monitoring Spectroradiometers was held June 17–25, 1996 at Table Mountain outside Boulder, Colorado, USA. This Intercomparison was coordinated by the National Institute of Standards and Technology (NIST) and the National Oceanic and Atmospheric Administration (NOAA). Participating agencies were the Environmental Protection Agency; the National Science Foundation; the Smithsonian Environmental Research Center; the Department of Agriculture; and the Atmospheric Environment Service, Canada. The spectral irradiances of participants’ calibrated standard lamps were measured at NIST prior to the Intercomparison. The spectral irradiance scales used by the participants agreed with the NIST scale within the combined uncertainties, and for all lamps the spectral irradiance in the horizontal position was lower than that in the vertical position. Instruments were characterized for wavelength uncertainty, bandwidth, stray-light rejection, and spectral irradiance responsivity, the latter with NIST standard lamps operating in specially designed field calibration units. The spectral irradiance responsivity demonstrated instabilities for some instruments. Synchronized spectral scans of the solar irradiance were performed over several days. Using the spectral irradiance responsivities determined with the NIST standard lamps, the measured solar irradiances had some unexplained systematic differences between instruments. PMID:28009358

  20. The Magellan Evolution of Galaxies Spectroscopic and Ultraviolet Reference Atlas (MegaSaura). I. The Sample and the Spectra

    NASA Astrophysics Data System (ADS)

    Rigby, J. R.; Bayliss, M. B.; Sharon, K.; Gladders, M. D.; Chisholm, J.; Dahle, H.; Johnson, T.; Paterno-Mahler, R.; Wuyts, E.; Kelson, D. D.

    2018-03-01

    We introduce Project MEGaSaURA: the Magellan Evolution of Galaxies Spectroscopic and Ultraviolet Reference Atlas. MEGaSaURA comprises medium-resolution, rest-frame ultraviolet spectroscopy of N = 15 bright gravitationally lensed galaxies at redshifts of 1.68 < z < 3.6, obtained with the MagE spectrograph on the Magellan telescopes. The spectra cover the observed-frame wavelength range 3200 < λ o < 8280 Å the average spectral resolving power is R = 3300. The median spectrum has a signal-to-noise ratio (S/N) = 21 per resolution element at 5000 Å. As such, the MEGaSaURA spectra have superior S/N and wavelength coverage compared to what COS/HST provides for starburst galaxies in the local universe. This paper describes the sample, the observations, and the data reduction. We compare the measured redshifts for the stars, the ionized gas as traced by nebular lines, and the neutral gas as traced by absorption lines; we find the expected bulk outflow of the neutral gas, and no systemic offset between the redshifts measured from nebular lines and the redshifts measured from the stellar continuum. We provide the MEGaSaURA spectra to the astronomical community through a data release.

  1. Observations and Operational Products from the Special Sensor Ultraviolet Limb Imager (SSULI)

    NASA Astrophysics Data System (ADS)

    Dandenault, Patrick; Nicholas, Andrew C.; Coker, Clayton; Budzien, Scott A.; Chua, Damien H.; Finne, Ted T.; Metzler, Christopher A.; Dymond, Kenneth F.

    The Naval Research Laboratory (NRL) has developed five ultraviolet remote sensing instru-ments for the Air Force Defense Meteorological Satellite Program (DMSP). These instruments known as SSULI (Special Sensor Ultraviolet Limb Imager) are on the DMSP block of 5D3 satellites, which first launched in 2003. The DMSP satellites are launched in a near-polar, sun-synchronous orbit at an altitude of approximately 830 km. SSULI measures vertical profiles of the natural airglow radiation from atoms, molecules and ions in the upper atmosphere and ionosphere by viewing the earth's limb at a tangent altitude of approximately 50 km to 750 km. Limb observations are made from the extreme ultraviolet (EUV) to the far ultraviolet (FUV) over the wavelength range of 80 nm to 170 nm, with 1.8 nm resolution. An extensive operational data processing system, the SSULI Ground Data Analysis Software (GDAS), has been developed to generate environmental data products from SSULI spectral data in near-real time for use at the Air Force Weather Agency (AFWA). The operational software uses advanced science algorithms developed at NRL and was designed to calibrate data from USAF Raw Sensor Data Records (RSDR) and generate Environmental Data Records (EDRs). Data products from SSULI observations include vertical profiles of electron (Ne) densities, N2, O2, O, O+, Temperature and also vertical Total Electron Content (TEC). On October 18, 2009, the third SSULI sensor launched from Vandenberg Air Force Base, aboard the DMSP F18 spacecraft. An overview of the SSULI operational program and the status of the F18 sensor will be discussed.

  2. Ultraviolet Observations of Three Dwarf Cepheids

    NASA Astrophysics Data System (ADS)

    Sturch, Conrad R.

    Ultraviolet observations of three dwarf Cepheids (VZ Cnc, SX Phe, and AI Vel) have been obtained with the ANS. Analysis of these observations (Sturch and WU 1982) reveals that the flux distributions observed for each of these objects exhibit UV deficiencies which increase monotonically with decreasing wavelengths. The largest UV deficiencies are noted for SX Phe which has been identified with group of dwarf Cepheids with low metallicity and low luminosity, two attributes that are expected to have opposite effects on the UV flux distribution. It is proposed to obtain low dispersion IUE spectra of the three stars throughout each of their light cycles. Such observations will identify spectral features responsible for the flux deficiencies and will provide data necessary for a detailed comparison with model atmospheres. Knowledge of atmospheric parameters will lead to a better understanding of the evolutionary status of dwarf Cepheids.

  3. The role of a generalized ultraviolet cue for blackbird food selection.

    PubMed

    Werner, Scott J; Tupper, Shelagh K; Carlson, James C; Pettit, Susan E; Ellis, Jeremy W; Linz, George M

    2012-07-16

    Birds utilize ultraviolet (UV) wavelengths for plumage signaling and sexual selection. Ultraviolet cues may also be used for the process of avian food selection. The aim of our study was to investigate whether a UV cue and a postingestive repellent can be used to condition food avoidance in red-winged blackbirds (Agelaius phoeniceus). We found that birds conditioned with an UV-absorbent, postingestive repellent subsequently avoided UV-absorbent food. Thus, the UV-absorbent cue (coupled with 0-20% of the conditioned repellent concentration) was used to maintain avoidance for up to 18 days post-conditioning. Similarly, birds conditioned with the UV-absorbent, postingestive repellent subsequently avoided UV-reflective food. Thus, conditioned avoidance of an UV-absorbent cue can be generalized to an unconditioned, UV-reflective cue for nutrient selection and toxin avoidance. These findings support the hypothesized function of UV vision for avian food selection, the implications of which remain to be explored for the sensory and behavioral ecology within agronomic and natural environments. Published by Elsevier Inc.

  4. Polarization of edge emission from III-nitride light emitting diodes of emission wavelength from 395 to 455 nm

    NASA Astrophysics Data System (ADS)

    Jia, Chuanyu; Yu, Tongjun; Mu, Sen; Pan, Yaobo; Yang, Zhijian; Chen, Zhizhong; Qin, Zhixin; Zhang, Guoyi

    2007-05-01

    Polarization-resolved edge-emitting electroluminescence of InGaN /GaN multiple quantum well (MQW) light emitting diodes (LEDs) from 395to455nm was measured. Polarization ratio decreased from 3.2 of near-ultraviolet LEDs (395nm) to 1.9 of blue LEDs (455nm). Based on TE mode dominant emissions in InGaN /GaN MQWs, compressive strain in well region favors TE mode, indium induced quantum-dot-like behavior leads to an increased TM component. As wavelength increased, indium enhanced quantum-dot-like behavior became obvious and E ‖C electroluminescence signal increased thus lower polarization ratio. Electroluminescence spectrum shifts confirmed that quantum dotlike behaviors rather than strain might be dominant in modifying luminescence mode of InGaN /GaN MQWs from near ultraviolet to blue.

  5. Space observations of the variability of solar irradiance in the near and far ultraviolet

    NASA Technical Reports Server (NTRS)

    Heath, D. F.

    1972-01-01

    Satellite observations of the ultraviolet solar irradiance in selected wavelength bands between 1200 and 3000 a were made continuously by photometers consisting of broad-band sensors operated on Numbus 3 and 4 which were launched in April 1969 and 1970. In addition, spectrophotometer measurements of the solar irradiance were made with a dispersive instrument at 12 selected wavelengths from 2550 to 3400 a with a 10 a bandpass on Nimbus 4. Variations of the solar irradiance associated with the solar rotational period were observed since the launch of Nimbus 3. These variations are apparently associated with two source regions separated by about 180 deg in solar longitude. The change in irradiance with solar rotation was found to increase with decreasing wavelengths. Different types of the observed variations in uv solar irradiance can be classified in accordance with characteristics times, e.g. in the order of increasing periods as follows: (1)flare associated enhancements (2) 27-day variations due to solar rotation; (3) a possible biennial effect; and (4) long term variations associated with the 11-year solar cycle.

  6. Easier detection of invertebrate "identification-key characters" with light of different wavelengths

    PubMed Central

    2011-01-01

    The marine α-taxonomist often encounters two problems. Firstly, the "environmental dirt" that is frequently present on the specimens and secondly the difficulty in distinguishing key-features due to the uniform colours which fixed animals often adopt. Here we show that illuminating animals with deep-blue or ultraviolet light instead of the normal white-light abrogates both difficulties; dirt disappears and important details become clearly visible. This light regime has also two other advantages. It allows easy detection of very small, normally invisible, animals (0.1 μm range). And as these light wavelengths can induce fluorescence, new identification markers may be discovered by this approach. PMID:22040277

  7. The Emission, Lifetimes, and Formation Threshold of the Vegard-Kaplan Transition of Solid Nitrogen Exposed to Far-ultraviolet Radiation

    NASA Astrophysics Data System (ADS)

    Lu, Hsiao-Chi; Lo, Jen-Iu; Peng, Yu-Chain; Chou, Sheng-Lung; Lin, Meng-Yeh; Cheng, Bing-Ming

    2016-11-01

    Irradiation of solid nitrogen at 4 K with far-ultraviolet light from a synchrotron caused excitation to the upper state of the Vegard-Kaplan (VK) system; the emission in that system was simultaneously recorded in wavelength region 200-440 nm. The lifetimes of emission lines for VK (0, 1) to (0, 12) transitions were measured in the range of 2.12 ˜ 2.65 s. The threshold wavelength to observe the VK emission was 175.0 ± 3.5 nm, corresponding to energy 7.08 ± 0.14 eV. This investigation of the generation of icy VK nitrogen enhances our understanding of its photochemistry in space.

  8. Wavelength-selective ultraviolet (Mg,Zn)O photodiodes: Tuning of parallel composition gradients with oxygen pressure

    NASA Astrophysics Data System (ADS)

    Zhang, Zhipeng; von Wenckstern, Holger; Lenzner, Jörg; Grundmann, Marius

    2016-06-01

    We report on ultraviolet photodiodes with integrated optical filter based on the wurtzite (Mg,Zn)O thin films. Tuning of the bandgap of filter and active layers was realized by employing a continuous composition spread approach relying on the ablation of a single segmented target in pulsed-laser deposition. Filter and active layers of the device were deposited on opposite sides of a sapphire substrate with nearly parallel compositional gradients. Ensure that for each sample position the bandgap of the filter layer blocking the high energy radiation is higher than that of the active layer. Different oxygen pressures during the two depositions runs. The absorption edge is tuned over 360 meV and the spectral bandwidth of photodiodes is typically 100 meV and as low as 50 meV.

  9. Multi-wavelength Characterization of Brown and Black Carbon from Filter Samples

    NASA Astrophysics Data System (ADS)

    Johnson, M. M.; Yatavelli, R. L. N.; Chen, L. W. A. A.; Gyawali, M. S.; Arnott, W. P.; Wang, X.; Chakrabarty, R. K.; Moosmüller, H.; Watson, J. G.; Chow, J. C.

    2014-12-01

    Particulate matter (PM) scatters and absorbs solar radiation and thereby affects visibility, the Earth's radiation balance, and properties and lifetimes of clouds. Understanding the radiative forcing (RF) of PM is essential to reducing the uncertainty in total anthropogenic and natural RF. Many instruments that measure light absorption coefficients (βabs [λ], Mm-1) of PM have used light at near-infrared (NIR; e.g., 880 nm) or red (e.g., 633 nm) wavelengths. Measuring βabs over a wider wavelength range, especially including the ultraviolet (UV) and visible, allows for contributions from black carbon (BC), brown carbon (BrC), and mineral dust (MD) to be differentiated. This will help to determine PM RF and its emission sources. In this study, source and ambient samples collected on Teflon-membrane and quartz-fiber filters are used to characterize and develop a multi-wavelength (250 - 1000 nm) filter-based measurement method of PM light absorption. A commercially available UV-visible spectrometer coupled with an integrating sphere is used for quantifying diffuse reflectance and transmittance of filter samples, from which βabs and absorption Ǻngström exponents (AAE) of the PM deposits are determined. The filter-based light absorption measurements of laboratory generated soot and biomass burning aerosol are compared to 3-wavelength photoacoustic absorption measurements to evaluate filter media and loading effects. Calibration factors are developed to account for differences between filter types (Teflon-membrane vs. quartz-fiber), and between filters and in situ photoacoustic absorption values. Application of multi-spectral absorption measurements to existing archived filters, including specific source samples (e.g. diesel and gasoline engines, biomass burning, dust), will also be discussed.

  10. LEMUR: Large European Module for Solar Ultraviolet Research

    NASA Technical Reports Server (NTRS)

    Teriaca, Luca; Vincenzo, Andretta; Auchere, Frederic; Brown, Charles M.; Buchlin, Eric; Cauzzi, Gianna; Culhane, J. Len; Curdt, Werner; Davila, Joseph M.; Del Zanna, Giulio; hide

    2012-01-01

    The solar outer atmosphere is an extremely dynamic environment characterized by the continuous interplay between the plasma and the magnetic field that generates and permeates it. Such interactions play a fundamental role in hugely diverse astrophysical systems, but occur at scales that cannot be studied outside the solar system. Understanding this complex system requires concerted, simultaneous solar observations from the visible to the vacuum ultraviolet (VUV) and soft X-rays, at high spatial resolution (between 0.1'' and 0.3''), at high temporal resolution (on the order of 10 s, i.e., the time scale of chromospheric dynamics), with a wide temperature coverage (0.01 MK to 20 MK, from the chromosphere to the flaring corona), and the capability of measuring magnetic fields through spectropolarimetry at visible and near-infrared wavelengths. Simultaneous spectroscopic measurements sampling the entire temperature range are particularly important. These requirements are fulfilled by the Japanese Solar-C mission (Plan B), composed of a spacecraft in a geosynchronous orbit with a payload providing a significant improvement of imaging and spectropolarimetric capabilities in the UV, visible, and near-infrared with respect to what is available today and foreseen in the near future. The Large European Module for solar Ultraviolet Research (LEMUR), described in this paper, is a large VUV telescope feeding a scientific payload of high-resolution imaging spectrographs and cameras. LEMUR consists of two major components: a VUV solar telescope with a 30 cm diameter mirror and a focal length of 3.6 m, and a focal-plane package composed of VUV spectrometers covering six carefully chosen wavelength ranges between 170 Angstrom and 1270 Angstrom. The LEMUR slit covers 280'' on the Sun with 0.14'' per pixel sampling. In addition, LEMUR is capable of measuring mass flows velocities (line shifts) down to 2 km s - 1 or better. LEMUR has been proposed to ESA as the European contribution

  11. Extreme ultraviolet explorer satellite observation of Jupiter's Io plasma torus

    NASA Technical Reports Server (NTRS)

    Hall, D. T; Gladstone, G. R.; Moos, H. W.; Bagenal, F.; Clarke, J. T.; Feldman, P. D.; Mcgrath, M. A.; Schneider, N. M.; Shemansky, D. E.; Strobel, D. F.

    1994-01-01

    We present the first Extreme Ultraviolet Explorer (EUVE) satellite observation of the Jupiter system, obtained during the 2 day period 1993 March 30 through April 1, which shows a rich emission-line spectrum from the Io plasma torus spanning wavelengths 370 to 735 A. The emission features correspond primarily to known multiplets of oxygen and sulfur ions, but a blended feature near 372 A is a plausible Na II transition. The summed detected energy flux of (7.2 +/- 0.2) x 10(exp -11) ergs/sq cm(s) corresponds to a radiated power of approximately equal to 4 x 10(exp 11) W in this spectral range. All ansa emissions show a distinct dawn-dusk brightness asymmetry and the measured dusk/dawn ratio of the bright S III lambda-680 feature is 2.3 +/- 0.3, significantly larger than the ratio measured by the Voyager spacecraft ultraviolet (UV) instruments. A preliminary estimate of ion partitioning indicates that the oxygen/sulfur ion ratio is approximately equal to 2, compared to the value approximately equal to 1.3 measured by Voyager, and that (Na(+))/(e) greater than 0.01.

  12. Al x Ga1‑ x N-based semipolar deep ultraviolet light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Akaike, Ryota; Ichikawa, Shuhei; Funato, Mitsuru; Kawakami, Yoichi

    2018-06-01

    Deep ultraviolet (UV) emission from Al x Ga1‑ x N-based light-emitting diodes (LEDs) fabricated on semipolar (1\\bar{1}02) (r-plane) AlN substrates is presented. The growth conditions are optimized. A high NH3 flow rate during metalorganic vapor phase epitaxy yields atomically flat Al y Ga1‑ y N (y > x) on which Al x Ga1‑ x N/Al y Ga1‑ y N multiple quantum wells with abrupt interfaces and good periodicity are fabricated. The fabricated r-Al x Ga1‑ x N-based LED emits at 270 nm, which is in the germicidal wavelength range. Additionally, the emission line width is narrow, and the peak wavelength is stable against the injection current, so the semipolar LED shows promise as a UV emitter.

  13. Wavelength-conserving grating router for intermediate wavelength density

    DOEpatents

    Deri, Robert J.; Patel, Rajesh R.; Bond, Steven W.; Bennett, Cory V.

    2007-03-20

    A wavelength router to be used for fiber optical networking router is based on a diffraction grating which utilizes only N wavelengths to interconnect N inputs to N outputs. The basic approach is to augment the grating with additional couplers or wavelength selective elements so than N-1 of the 2N-1 outputs are combined with other N outputs (leaving only N outputs). One embodiment uses directional couplers as combiners. Another embodiment uses wavelength-selective couplers. Another embodiment uses a pair of diffraction gratings to maintain parallel propagation of all optical beams. Also, beam combining can be implemented either by using retroflection back through the grating pair or by using couplers.

  14. Ultraviolet photochemistry of cyanoacetylene: Application to Titan. [Abstract only

    NASA Technical Reports Server (NTRS)

    Clarke, D. W.; Ferris, J. P.

    1994-01-01

    Cyanoacetylene is believed to have had a central role in the formation of the pyrimidines essential for RNA synthesis leading to the origin of life on Earth. Cyanoacetylene has also been detected on Titan, Saturn's largest moon, and the only moon in the solar system that possesses a dense atmosphere. It is generally accepted that photochemistry plays a major role in the formation of the complex organic molecules and aerosols found in Titan's atmosphere. Because of its long wavelength absorption and low dissociation threshold it is expected that cyanoacetylene is an important part of these photochemical processes. Since cyanoacetylene would also have been subject to ultraviolet light in the atmosphere of early Earth, an investigation of cyanoacetylene photochemistry on Titan might lead to a better understanding of both the photochemical reactions occurring on primitive earth and the processes of chemical evolution as they occur in planetary atmospheres. The effects of irradiation wavelength, mixing with Titan's atmospheric gases, reducing the temperature and lowering cyanoacetylene partial pressures on product formation and polymer composition have been determined with the ultimate goal of understanding the chemical transformations taking place in Titan's atmosphere.

  15. FAR-ULTRAVIOLET SPECTRAL IMAGES OF THE VELA SUPERNOVA REMNANT: SUPPLEMENTS AND COMPARISONS WITH OTHER WAVELENGTH IMAGES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Il-Joong; Seon, Kwang-Il; Han, Wonyong

    We present the improved far-ultraviolet (FUV) emission-line images of the entire Vela supernova remnant (SNR) using newly processed Spectroscopy of Plasma Evolution from Astrophysical Radiation/Far-Ultraviolet Imaging Spectrograph (SPEAR/FIMS) data. The incomplete C III {lambda}977 and O VI {lambda}{lambda}1032, 1038 images presented in the previous study are updated to cover the whole region. The C IV {lambda}{lambda}1548, 1551 image with a higher resolution and new images at Si IV {lambda}{lambda}1394, 1403, O IV] {lambda}1404, He II {lambda}1640.5, and O III] {lambda}{lambda}1661, 1666 are also shown. Comparison of emission-line ratios for two enhanced FUV regions reveals that the FUV emissions of themore » east-enhanced FUV region may be affected by nonradiative shocks of another very young SNR, the Vela Jr. SNR (RX J0852.0-4622, G266.6-1.2). This result is the first FUV detection that is likely associated with the Vela Jr. SNR, supporting previous arguments that the Vela Jr. SNR is close to us. The comparison of the improved FUV images with soft X-ray images shows that an FUV filamentary feature forms the boundary of the northeast-southwest asymmetrical sections of the X-ray shell. The southwest FUV features are characterized as the region where the Vela SNR is interacting with slightly denser ambient medium within the dim X-ray southwest section. From a comparison with the H{alpha} image, we identify a ring-like H{alpha} feature overlapped with an extended hot X-ray feature of similar size and two local peaks of C IV emission. Their morphologies are expected when the H{alpha} ring is in direct contact with the near or far side of the Vela SNR.« less

  16. [Simultaneous determination of 9 ultraviolet stabilizers in food plastic packaging materials by solid phase extraction-high performance liquid chromatography].

    PubMed

    Zhang, Juzhou; Li, Jing; Shao, Dongliang; Yao, Bangben; Jiang, Junshu

    2012-02-01

    An effective high performance liquid chromatographic (HPLC) method has been developed for the simultaneous determination of 9 ultraviolet stabilizers in food plastic packaging materials. The food packaging samples were firstly extracted by methanol-ethyl acetate, and then purified by a C18 solid-phase extraction (SPE) column. The target compounds were separated on a ZORBAX SB-C18 column (250 mm x 4.6 mm, 5 microm) in gradient elution mode using methanol and water as mobile phases. The detection wavelength was at 310 nm. The linear plots of the nine ultraviolet stabilizers were obtained between 0.2 and 10 mg/L, with the correlation coefficients of above 0. 999 for the nine ultraviolet stabilizers. The limits of detection for this method were in the range from 0.05 to 0.1 mg/L. The recoveries spiked in commercial food plastic packaging materials were in the range of 70.2% - 89.0% with the relative standard deviations of 0.4% - 4.5%. The results indicated that the method is simple, accurate, and suitable for the simultaneous determination of the nine ultraviolet stabilizers in food plastic packaging materials.

  17. The budget of biologically active ultraviolet radiation in the earth-atmosphere system

    NASA Technical Reports Server (NTRS)

    Frederick, John E.; Lubin, Dan

    1988-01-01

    This study applies the concept of a budget to describe the interaction of solar ultraviolet (UV) radiation with the earth-atmosphere system. The wavelength ranges of interest are the biologically relevant UV-B between 280 and 320 nm and the UV-A from 32000 to 400 nm. The Nimbus 7 solar backscattered ultraviolet (SBUV) instrument provides measurements of total column ozone and information concerning cloud cover which, in combination with a simple model of radiation transfer, define the fractions of incident solar irradiance absorbed in the atmosphere, reflected to space, and absorbed at the ground. Results for the month of July quantify the contribution of fractional cloud cover and cloud optical thickness to the radiation budget's three components. Scattering within a thick cloud layer makes the downward radiation field at the cloud base more isotropic than is the case for clear skies. For small solar zenith angles, typical of summer midday conditions, the effective pathlength of this diffuse irradiance through tropospheric ozone is greater than that under clear-sky conditions. The result is an enhanced absorption of UV-B radiation in the troposphere during cloud-covered conditions. Major changes in global cloud cover or cloud optical thicknesses could alter the ultraviolet radiation received by the biosphere by an amount comparable to that predicted for long-term trends in ozone.

  18. High-resolution crystal spectrometer for the 10-60 A extreme ultraviolet region

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beiersdorfer, P.; Brown, G.V.; Goddard, R.

    2004-10-01

    A vacuum crystal spectrometer with nominal resolving power approaching 1000 is described for measuring emission lines with wavelength in the extreme ultraviolet region up to 60 A. The instrument utilizes a flat octadecyl hydrogen maleate crystal and a thin-window 1D position-sensitive gas proportional detector. This detector employs a 1-{mu}m-thick 100x8 mm{sup 2} aluminized polyimide window and operates at one atmosphere pressure. The spectrometer has been implemented on the Livermore electron beam ion traps. The performance of the instrument is illustrated in measurements of the newly discovered magnetic field-sensitive line in Ar{sup 8+}.

  19. Control of the polarization of a vacuum-ultraviolet, high-gain, free-electron laser

    DOE PAGES

    Allaria, Enrico; Diviacco, Bruno; Callegari, Carlo; ...

    2014-12-02

    The two single-pass, externally seeded free-electron lasers (FELs) of the FERMI user facility are designed around Apple-II-type undulators that can operate at arbitrary polarization in the vacuum ultraviolet-to-soft x-ray spectral range. Furthermore, within each FEL tuning range, any output wavelength and polarization can be set in less than a minute of routine operations. We report the first demonstration of the full output polarization capabilities of FERMI FEL-1 in a campaign of experiments where the wavelength and nominal polarization are set to a series of representative values, and the polarization of the emitted intense pulses is thoroughly characterized by three independentmore » instruments and methods, expressly developed for the task. The measured radiation polarization is consistently >90% and is not significantly spoiled by the transport optics; differing, relative transport losses for horizontal and vertical polarization become more prominent at longer wavelengths and lead to a non-negligible ellipticity for an originally circularly polarized state. The results from the different polarimeter setups validate each other, allow a cross-calibration of the instruments, and constitute a benchmark for user experiments.« less

  20. Wavelength shifting of intra-cavity photons: Adiabatic wavelength tuning in rapidly wavelength-swept lasers

    PubMed Central

    Jirauschek, Christian; Huber, Robert

    2015-01-01

    We analyze the physics behind the newest generation of rapidly wavelength tunable sources for optical coherence tomography (OCT), retaining a single longitudinal cavity mode during operation without repeated build up of lasing. In this context, we theoretically investigate the currently existing concepts of rapidly wavelength-swept lasers based on tuning of the cavity length or refractive index, leading to an altered optical path length inside the resonator. Specifically, we consider vertical-cavity surface-emitting lasers (VCSELs) with microelectromechanical system (MEMS) mirrors as well as Fourier domain mode-locked (FDML) and Vernier-tuned distributed Bragg reflector (VT-DBR) lasers. Based on heuristic arguments and exact analytical solutions of Maxwell’s equations for a fundamental laser resonator model, we show that adiabatic wavelength tuning is achieved, i.e., hopping between cavity modes associated with a repeated build up of lasing is avoided, and the photon number is conserved. As a consequence, no fundamental limit exists for the wavelength tuning speed, in principle enabling wide-range wavelength sweeps at arbitrary tuning speeds with narrow instantaneous linewidth. PMID:26203373

  1. Ultraviolet variability and mass expulsion from R Aquarii

    NASA Technical Reports Server (NTRS)

    Kafatos, M.; Michalitsianos, A. G.; Hollis, J. M.

    1986-01-01

    Ultraviolet spectra in the 1200-3200 A range indicate that the extended nebular features which resemble a jet in the peculiar variable R Aquarii (M7e + pec) increased in excitation in 1985. The emission properties of the compact H II region that surrounds the unresolved binary, and those of the extended nebular jet, have been analyzed from low-resolution IUE spectra of these regions. In particular, the UV line intensities observed in the jet appear variable on a time scale of about 1.5 yr. A new accretion disk model is proposed that explains the kinematic and ionization properties of discrete components which comprise the jet emission nebulosity, the appearance of the jet in the 1980s, and morphology that uniquely characterizes the R Aquarii system at radio, optical, UV, and X-ray wavelengths.

  2. A Snapshot of the Continuous Emission of the Active Galactic Nucleus in NGC 3783 from Gamma-Ray to Radio Wavelengths

    NASA Technical Reports Server (NTRS)

    Alloin, D.; Santos-Lleo, M.; Peterson, B. M.; Wamsteker, W.; Altieri, B.; Brinkmann, W.; Clavel, J.; Crenshaw, D. M.; George, I. M.; Glass, I. S.; hide

    1995-01-01

    To better understand the physical processes that produce the continuous emission in active galactic nuclei (AGN), a snapshot of the overall continuous energy distribution of NGC 3783, from gamma ray to radio wavelengths, has been obtained within the framework of the World Astronomy Days. The data collected in this campaign are from GRO, ROSAT, Voyager 2, IUE, HST, CTIO, SAAO, and the VLA. Great care has been taken in disentangling the genuine AGN continusous emission from other contributions; depending on the waveband, the latter might be (1) unrelated contaminating sources in cases where the instrument field of view is large (2) components within which the AGN is embedded, such as the stellar bulge population which accounts for a significant fraction of the optical continuum, and free-bound and FE2 blends wich contribute to the ultraviolet flux. After correction for these other contributins, the continuous emission of the isolated AGN appears to be rather flat (i.e., approximately equal energy per unit logarithmic frequency) from soft gamma ray to infrared wavelengths. At high energies (0.1 MeV to 0.1 keV), the AGN continuum can be fitted by a power law F nu approaches Nu(exp -a) with a spectral index of alpha approximately 1. At longer wavelengths, two excesses above this power law ('bumps') appear: in the ultraviolet, the classical big blue bump, which can be interpreted as thermal emission from the accretion disc surrounding a massive black hole, and in the infrared, a second bump which can be ascribed to thermal emission from dust in the vicinity of the AGN, heated by ultraviolet radiation from the central source. By fitting accretion-disk models to the observed AGN spectral energy distribution, we find values for the accretion disk innermost temperature, accretion rate, and black hole mass, with some differences that depend on whether or not we extrapolate the high energy power law up to infrared wavelengths. A fit to the IR bump above the extended alpha

  3. Interface engineering of high-Mg-content MgZnO/BeO/Si for p-n heterojunction solar-blind ultraviolet photodetectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liang, H. L.; Mei, Z. X.; Zhang, Q. H.

    2011-05-30

    High-quality wurtzite MgZnO film was deposited on Si(111) substrate via a delicate interface engineering using BeO, by which solar-blind ultraviolet photodetectors were fabricated on the n-MgZnO(0001)/p-Si(111) heterojunction. A thin Be layer was deposited on clean Si surface with subsequent in situ oxidation processes, which provides an excellent template for high-Mg-content MgZnO growth. The interface controlling significantly improves the device performance, as the photodetector demonstrates a sharp cutoff wavelength at 280 nm, consistent with the optical band gap of the epilayer. Our experimental results promise potential applications of this technique in integration of solar-blind ultraviolet optoelectronic device with Si microelectronic technologies.

  4. Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography

    DOEpatents

    Montcalm, Claude; Stearns, Daniel G.; Vernon, Stephen P.

    1999-01-01

    A passivating overcoat bilayer is used for multilayer reflective coatings for extreme ultraviolet (EUV) or soft x-ray applications to prevent oxidation and corrosion of the multilayer coating, thereby improving the EUV optical performance. The overcoat bilayer comprises a layer of silicon or beryllium underneath at least one top layer of an elemental or a compound material that resists oxidation and corrosion. Materials for the top layer include carbon, palladium, carbides, borides, nitrides, and oxides. The thicknesses of the two layers that make up the overcoat bilayer are optimized to produce the highest reflectance at the wavelength range of operation. Protective overcoat systems comprising three or more layers are also possible.

  5. Ultraviolet refractometry using field-based light scattering spectroscopy

    PubMed Central

    Fu, Dan; Choi, Wonshik; Sung, Yongjin; Oh, Seungeun; Yaqoob, Zahid; Park, YongKeun; Dasari, Ramachandra R.; Feld, Michael S.

    2010-01-01

    Accurate refractive index measurement in the deep ultraviolet (UV) range is important for the separate quantification of biomolecules such as proteins and DNA in biology. This task is demanding and has not been fully exploited so far. Here we report a new method of measuring refractive index using field-based light scattering spectroscopy, which is applicable to any wavelength range and suitable for both solutions and homogenous objects with well-defined shape such as microspheres. The angular scattering distribution of single microspheres immersed in homogeneous media is measured over the wavelength range 260 to 315 nm using quantitative phase microscopy. By least square fitting the observed scattering distribution with Mie scattering theory, the refractive index of either the sphere or the immersion medium can be determined provided that one is known a priori. Using this method, we have measured the refractive index dispersion of SiO2 spheres and bovine serum albumin (BSA) solutions in the deep UV region. Specific refractive index increments of BSA are also extracted. Typical accuracy of the present refractive index technique is ≤0.003. The precision of refractive index measurements is ≤0.002 and that of specific refractive index increment determination is ≤0.01 mL/g. PMID:20372622

  6. The Far-Ultraviolet Spectra of "Cool" PG1159 Stars

    NASA Technical Reports Server (NTRS)

    Werner, K.; Rauch, T.; Kruk, J. W.

    2015-01-01

    We present a comprehensive study of Far Ultraviolet Spectroscopic Explorer (FUSE) spectra (912-1190 A) of two members of the PG1159 spectral class, which consists of hydrogen-deficient (pre-) white dwarfs with effective temperatures in the range T(sub eff) = 75000-200000 K. As two representatives of the cooler objects, we have selected PG1707+427 (T(sub eff) = 85000 K) and PG1424+535 (T(sub eff) = 110000 K), complementing a previous study of the hotter prototype PG1159-035 (T(sub eff) = 140000 K). The helium-dominated atmospheres are strongly enriched in carbon and oxygen, therefore, their spectra are dominated by lines from C III-IV and O III-VI, many of which were never observed before in hot stars. In addition, lines of many other metals (N, F, Ne, Si, P, S, Ar, Fe) are detectable, demonstrating that observations in this spectral region are most rewarding when compared to the near-ultraviolet and optical wavelength bands. We perform abundance analyses of these species and derive upper limits for several undetected light and heavy metals including iron-group and trans-iron elements. The results are compared to predictions of stellar evolution models for neutron-capture nucleosynthesis and good agreement is found.

  7. New Observations of Molecular Nitrogen by the Imaging Ultraviolet Spectrograph on MAVEN

    NASA Astrophysics Data System (ADS)

    Stevens, Michael H.; Evans, J. S.; Schneider, Nicholas M.; Stewart, A. I. F.; Deighan, Justin; Jain, Sonal K.; Crismani, Matteo M. J.; Stiepen, Arnaud; Chaffin, Michael S.; McClintock, William E.; Holsclaw, Greg M.; Lefevre, Franck; Montmessin, Franck; Lo, Daniel Y.; Clarke, John T.; Bougher, Stephen W.; Jakosky, Bruce M.

    2015-11-01

    The Martian ultraviolet dayglow provides information on the basic state of the Martian upper atmosphere. The Imaging Ultraviolet Spectrograph (IUVS) on NASA’s Mars Atmosphere and Volatile Evolution (MAVEN) mission has observed Mars at mid and far-UV wavelengths since its arrival in September 2014. In this work, we describe a linear regression method used to extract components of UV spectra from IUVS limb observations and focus in particular on molecular nitrogen (N2) photoelectron excited emissions. We identify N2 Lyman-Birge-Hopfield (LBH) emissions for the first time at Mars and we also confirm the tentative identification of N2 Vegard-Kaplan (VK) emissions. We compare observed VK and LBH limb radiance profiles to model results between 90 and 210 km. Finally, we compare retrieved N2 density profiles to general circulation (GCM) model results. Contrary to earlier analyses using other satellite data that indicated N2 densities were a factor of three less than predictions, we find that N2 abundances exceed GCM results by about a factor of two at 130 km but are in agreement at 150 km.

  8. Measurement system to determine the total and angle-resolved light scattering of optical components in the deep-ultraviolet and vacuum-ultraviolet spectral regions

    NASA Astrophysics Data System (ADS)

    Schröder, Sven; Gliech, Stefan; Duparré, Angela

    2005-10-01

    An instrumentation for total and angle-resolved scattering (ARS) at 193 and 157 nm has been developed at the Fraunhofer Institute in Jena to meet the severe requirements for scattering analysis of deep- and vacuum-ultraviolet optical components. Extremely low backscattering levels of 10^-6 for the total scattering measurements and more than 9 orders of magnitude dynamic range for ARS have been accomplished. Examples of application extend from the control of at-wavelength scattering losses of superpolished substrates with rms roughness as small as 0.1 nm to the detection of volume material scattering and the study into the scattering of multilayer coatings. In addition, software programs were developed to model the roughness-induced light scattering of substrates and thin-film coatings.

  9. Research in extreme ultraviolet and far ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Bowyer, C. S.

    1985-01-01

    The Far Ultraviolet imager (FUVI) was flown on the Aries class sounding rocket 24.015, producing outstanding results. The diffuse extreme ultraviolet (EUV) background spectrometer which is under construction is described. It will be launched on the Black Brant sounding rocket flight number 27.086. Ongoing design studies of a high resolution spectrometer are discussed. This instrument incorporates a one meter normal incidence mirror and will be suitable for an advanced Spartan mission.

  10. Compact silicon photonic wavelength-tunable laser diode with ultra-wide wavelength tuning range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kita, Tomohiro, E-mail: tkita@ecei.tohoku.ac.jp; Tang, Rui; Yamada, Hirohito

    2015-03-16

    We present a wavelength-tunable laser diode with a 99-nm-wide wavelength tuning range. It has a compact wavelength-tunable filter with high wavelength selectivity fabricated using silicon photonics technology. The silicon photonic wavelength-tunable filter with wide wavelength tuning range was realized using two ring resonators and an asymmetric Mach-Zehnder interferometer. The wavelength-tunable laser diode fabricated by butt-joining a silicon photonic filter and semiconductor optical amplifier shows stable single-mode operation over a wide wavelength range.

  11. NEW Fe IX LINE IDENTIFICATIONS USING SOLAR AND HELIOSPHERIC OBSERVATORY/SOLAR ULTRAVIOLET MEASUREMENT OF EMITTED RADIATION AND HINODE/EIS JOINT OBSERVATIONS OF THE QUIET SUN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Landi, E.; Young, P. R.

    2009-12-20

    In this work, we study joint observations of Hinode/EUV Imaging Spectrometer (EIS) and Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation of Fe IX lines emitted by the same level of the high energy configuration 3s {sup 2}3p {sup 5}4p. The intensity ratios of these lines are dependent on atomic physics parameters only and not on the physical parameters of the emitting plasma, so that they are excellent tools to verify the relative intensity calibration of high-resolution spectrometers that work in the 170-200 A and 700-850 A wavelength ranges. We carry out extensive atomic physics calculations to improve themore » accuracy of the predicted intensity ratio, and compare the results with simultaneous EIS-SUMER observations of an off-disk quiet Sun region. We were able to identify two ultraviolet lines in the SUMER spectrum that are emitted by the same level that emits one bright line in the EIS wavelength range. Comparison between predicted and measured intensity ratios, wavelengths and energy separation of Fe IX levels confirms the identifications we make. Blending and calibration uncertainties are discussed. The results of this work are important for cross-calibrating EIS and SUMER, as well as future instrumentation.« less

  12. Ultraviolet-B radiation enhancement in dielectric barrier discharge based xenon chloride exciplex source by air

    NASA Astrophysics Data System (ADS)

    Gulati, P.; Prakash, R.; Pal, U. N.; Kumar, M.; Vyas, V.

    2014-07-01

    A single barrier dielectric barrier discharge tube of quartz with multi-strip Titanium-Gold (Ti-Au) coatings have been developed and utilized for ultraviolet-B (UV-B) radiation production peaking at wavelength 308 nm. The observed radiation at this wavelength has been examined for the mixtures of the Xenon together with chlorine and air admixtures. The gas mixture composition, chlorine gas content, total gas pressure, and air pressure dependency of the UV intensity, has been analyzed. It is found that the larger concentration of Cl2 deteriorates the performance of the developed source and around 2% Cl2 in this source produced optimum results. Furthermore, an addition of air in the xenon and chlorine working gas environment leads to achieve same intensity of UV-B light but at lower working gas pressure where significant amount of gas is air.

  13. Wavelength independent interferometer

    NASA Technical Reports Server (NTRS)

    Hochberg, Eric B. (Inventor); Page, Norman A. (Inventor)

    1991-01-01

    A polychromatic interferometer utilizing a plurality of parabolic reflective surfaces to properly preserve the fidelity of light wavefronts irrespective of their wavelengths as they pass through the instrument is disclosed. A preferred embodiment of the invention utilizes an optical train which comprises three off-axis parabolas arranged in conjunction with a beam-splitter and a reference mirror to form a Twyman-Green interferometer. An illumination subsystem is provided and comprises a pair of lasers at different preselected wavelengths in the visible spectrum. The output light of the two lasers is coaxially combined by means of a plurality of reflectors and a grating beam combiner to form a single light source at the focal point of the first parabolic reflection surface which acts as a beam collimator for the rest of the optical train. By using visible light having two distinct wavelengths, the present invention provides a long equivalent wavelength interferogram which operates at visible light wherein the effective wavelength is equal to the product of the wavelengths of the two laser sources divided by their difference in wavelength. As a result, the invention provides the advantages of what amounts to long wavelength interferometry but without incurring the disadvantage of the negligible reflection coefficient of the human eye to long wavelength frequencies which would otherwise defeat any attempt to form an interferogram at that low frequency using only one light source.

  14. Utilizing the Southwest Ultraviolet Imaging System (SwUIS) on the International Space Station

    NASA Astrophysics Data System (ADS)

    Schindhelm, Eric; Stern, S. Alan; Ennico-Smith, Kimberly

    2013-09-01

    We present the Southwest Ultraviolet Imaging System (SwUIS), a compact, low-cost instrument designed for remote sensing observations from a manned platform in space. It has two chief configurations; a high spatial resolution mode with a 7-inch Maksutov-Cassegrain telescope, and a large field-of-view camera mode using a lens assembly. It can operate with either an intensified CCD or an electron multiplying CCD camera. Interchangeable filters and lenses enable broadband and narrowband imaging at UV/visible/near-infrared wavelengths, over a range of spatial resolution. SwUIS has flown previously on Space Shuttle flights STS-85 and STS-93, where it recorded multiple UV images of planets, comets, and vulcanoids. We describe the instrument and its capabilities in detail. The SWUIS's broad wavelength coverage and versatile range of hardware configurations make it an attractive option for use as a facility instrument for Earth science and astronomical imaging investigations aboard the International Space Station.

  15. Computational On-Chip Imaging of Nanoparticles and Biomolecules using Ultraviolet Light.

    PubMed

    Daloglu, Mustafa Ugur; Ray, Aniruddha; Gorocs, Zoltan; Xiong, Matthew; Malik, Ravinder; Bitan, Gal; McLeod, Euan; Ozcan, Aydogan

    2017-03-09

    Significant progress in characterization of nanoparticles and biomolecules was enabled by the development of advanced imaging equipment with extreme spatial-resolution and sensitivity. To perform some of these analyses outside of well-resourced laboratories, it is necessary to create robust and cost-effective alternatives to existing high-end laboratory-bound imaging and sensing equipment. Towards this aim, we have designed a holographic on-chip microscope operating at an ultraviolet illumination wavelength (UV) of 266 nm. The increased forward scattering from nanoscale objects at this short wavelength has enabled us to detect individual sub-30 nm nanoparticles over a large field-of-view of >16 mm 2 using an on-chip imaging platform, where the sample is placed at ≤0.5 mm away from the active area of an opto-electronic sensor-array, without any lenses in between. The strong absorption of this UV wavelength by biomolecules including nucleic acids and proteins has further enabled high-contrast imaging of nanoscopic aggregates of biomolecules, e.g., of enzyme Cu/Zn-superoxide dismutase, abnormal aggregation of which is linked to amyotrophic lateral sclerosis (ALS) - a fatal neurodegenerative disease. This UV-based wide-field computational imaging platform could be valuable for numerous applications in biomedical sciences and environmental monitoring, including disease diagnostics, viral load measurements as well as air- and water-quality assessment.

  16. Computational On-Chip Imaging of Nanoparticles and Biomolecules using Ultraviolet Light

    NASA Astrophysics Data System (ADS)

    Daloglu, Mustafa Ugur; Ray, Aniruddha; Gorocs, Zoltan; Xiong, Matthew; Malik, Ravinder; Bitan, Gal; McLeod, Euan; Ozcan, Aydogan

    2017-03-01

    Significant progress in characterization of nanoparticles and biomolecules was enabled by the development of advanced imaging equipment with extreme spatial-resolution and sensitivity. To perform some of these analyses outside of well-resourced laboratories, it is necessary to create robust and cost-effective alternatives to existing high-end laboratory-bound imaging and sensing equipment. Towards this aim, we have designed a holographic on-chip microscope operating at an ultraviolet illumination wavelength (UV) of 266 nm. The increased forward scattering from nanoscale objects at this short wavelength has enabled us to detect individual sub-30 nm nanoparticles over a large field-of-view of >16 mm2 using an on-chip imaging platform, where the sample is placed at ≤0.5 mm away from the active area of an opto-electronic sensor-array, without any lenses in between. The strong absorption of this UV wavelength by biomolecules including nucleic acids and proteins has further enabled high-contrast imaging of nanoscopic aggregates of biomolecules, e.g., of enzyme Cu/Zn-superoxide dismutase, abnormal aggregation of which is linked to amyotrophic lateral sclerosis (ALS) - a fatal neurodegenerative disease. This UV-based wide-field computational imaging platform could be valuable for numerous applications in biomedical sciences and environmental monitoring, including disease diagnostics, viral load measurements as well as air- and water-quality assessment.

  17. Measurement of Cerenkov radiation induced by the gamma-rays of Co-60 therapy units using wavelength shifting fiber.

    PubMed

    Jang, Kyoung Won; Shin, Sang Hun; Kim, Seon Geun; Kim, Jae Seok; Yoo, Wook Jae; Ji, Young Hoon; Lee, Bongsoo

    2014-04-21

    In this study, a wavelength shifting fiber that shifts ultra-violet and blue light to green light was employed as a sensor probe of a fiber-optic Cerenkov radiation sensor. In order to characterize Cerenkov radiation generated in the developed wavelength shifting fiber and a plastic optical fiber, spectra and intensities of Cerenkov radiation were measured with a spectrometer. The spectral peaks of light outputs from the wavelength shifting fiber and the plastic optical fiber were measured at wavelengths of 500 and 510 nm, respectively, and the intensity of transmitted light output of the wavelength shifting fiber was 22.2 times higher than that of the plastic optical fiber. Also, electron fluxes and total energy depositions of gamma-ray beams generated from a Co-60 therapy unit were calculated according to water depths using the Monte Carlo N-particle transport code. The relationship between the fluxes of electrons over the Cerenkov threshold energy and the energy depositions of gamma-ray beams from the Co-60 unit is a near-identity function. Finally, percentage depth doses for the gamma-ray beams were obtained using the fiber-optic Cerenkov radiation sensor, and the results were compared with those obtained by an ionization chamber. The average dose difference between the results of the fiber-optic Cerenkov radiation sensor and those of the ionization chamber was about 2.09%.

  18. Multiwavelength Thermometry at High Temperature: Why It is Advantageous to Work in the Ultraviolet

    NASA Astrophysics Data System (ADS)

    Girard, F.; Battuello, M.; Florio, M.

    2014-07-01

    In principle, multiwavelength radiation thermometry allows one to correctly measure the temperature of surfaces of unknown and varying surface emissivity. Unfortunately, none of the practical realizations proposed in the past proved to be sufficiently reliable because of a strong influence of the errors arising from incorrect modeling of the emissivity and of the limited number of operating wavelengths. The use of array detectors allows a high degree of flexibility both in terms of number and spectral position of the working wavelength bands. In the case of applications at high temperatures, i.e., near 2000 C or above, an analysis of the theoretical measuring principles of multiwavelength thermometry, suggests the opportunity of investigating the possible advantages in extending the operating wavelengths toward the ultraviolet region. To this purpose, a simulation program was developed which allows investigation of the effect of different influencing parameters. This paper presents a brief theoretical introduction and practical analysis of the method. The best choices are derived in terms of the different influencing parameters and data relative to the simulation of both real materials and fictitious emissivity curves and have been studied and analyzed with different emissivity models to check the robustness of the method.

  19. Two-phase ultraviolet spectrophotometry of the pulsating white dwarf ZZ Piscium

    NASA Technical Reports Server (NTRS)

    Bond, H. E.; Kemper, E.; Grauer, A. D.; Holm, A. V.; Panek, R. J.; Schiffer, F. H., III

    1985-01-01

    Spectra of the pulsating white dwarf ZZ Psc (= G29-38) were obtained using the International Ultraviolet Explorer. By using a multiple-exposure technique in conjunction with simultaneous ground-based exposure-metering photometry, it was possible to obtain mean on-pulse and off-pulse spectra in the 1950-1310 A wavelength range. The ratio of the time-averaged on-pulse to off-pulse spectra is best fitted by a temperature variation that is in phase with the optical light variation. This result is consistent with the hypothesis that the observed variation is due to a high-order nonradial pulsation. Conventional ultraviolet spectra of ZZ Psc showed broad absorption features at 1390 and 1600 A. These features are also found in the spectra of the cool DA-type white dwarfs G226-29 and G67-23, and appear to increase in strength with decreasing temperature. A possible explanation for the 1600 A feature is absorption by the satellite band of resonance-broadened hydrogen Ly-alpha. Such absorption would also help explain a discrepancy between the observed pulsation amplitude shortward of 1650 A and the predicted amplitudes based on model atmospheres.

  20. Overview of Key Results from SDO Extreme ultraviolet Variability Experiment (EVE)

    NASA Astrophysics Data System (ADS)

    Woods, Tom; Eparvier, Frank; Jones, Andrew; Mason, James; Didkovsky, Leonid; Chamberlin, Phil

    2016-10-01

    The SDO Extreme ultraviolet Variability Experiment (EVE) includes several channels to observe the solar extreme ultraviolet (EUV) spectral irradiance from 1 to 106 nm. These channels include the Multiple EUV Grating Spectrograph (MEGS) A, B, and P channels from the University of Colorado (CU) and the EUV SpectroPhometer (ESP) channels from the University of Southern California (USC). The solar EUV spectrum is rich in many different emission lines from the corona, transition region, and chromosphere. The EVE full-disk irradiance spectra are important for studying the solar impacts in Earth's ionosphere and thermosphere and are useful for space weather operations. In addition, the EVE observations, with its high spectral resolution of 0.1 nm and in collaboration with AIA solar EUV images, have proven valuable for studying active region evolution and explosive energy release during flares and coronal eruptions. These SDO measurements have revealed interesting results such as understanding the flare variability over all wavelengths, discovering and classifying different flare phases, using coronal dimming measurements to predict CME properties of mass and velocity, and exploring the role of nano-flares in continual heating of active regions.

  1. The early ultraviolet, optical, and radio evolution of the soft X-ray transient GRO J0422+32

    NASA Technical Reports Server (NTRS)

    Shrader, C. R.; Wagner, R. Mark; Hjellming, R. M.; Han, X. H.; Starrfield, S. G.

    1994-01-01

    We have monitored the evolution of the transient X-ray source GRO J0422+32 from approximately 2 weeks postdiscovery into its early decline phase at ultraviolet, optical, and radio wavelengths. Optical and ultraviolet spectra exhibit numerous, but relatively weak, high-excitation emission lines such as those arising from He II, N III, N V, and C IV superposed on an intrinsically blue continuum. High-resolution optical spectroscopy reveals line profiles which are double peaked, and in the case of the higher order Balmer lines, superposed on a broad absorption profile. The early outburst optical-ultraviolet continuum energy distribution is well represented by a two power-law fit with a break at approximately equal 4000 A. Radio observations with the Very Large Array (VLA) reveal a flat-spectrum source, slowly increasing in intensity at the earliest epochs observed, followed by an approximate power-law decay light curve with an index of -1. Light curves for each wavelength domain are presented and discussed. Notable are the multiple secondary outbursts seen in the optical more than 1 year postdiscovery, and spectral changes associated with secondary rises seen in the radio and UV. We find that the ultraviolet and optical characteristics of GRO J0422+32 as well as its radio evolution, are similar to other recent well-observed soft X-ray transients (also called X-ray novae) such as Cen X-4, A0620-00 (V616 Mon), and Nova Muscae 1991 (GS 1124-683), suggesting that GRO J0422+32 is also a member of that subclass of low-mass X-ray binaries. We present definitive astrometric determination of the source position, and place an upper limit of R approximately equals 20 from our analysis of the Palomar Observatory Sky Survey (POSS). Additionally, we derive distinct values for color excess from analysis of the optical (E(B-V) = 0.23) and ultraviolet (E(B-V) = 0.4) data, suggesting an intrinsic magnitude of 19-19.5 for the progenitor if it is mid-K dwarf. This leads to a likely range

  2. Resonances in the Photoionization Cross Sections of Atomic Nitrogen Shape the Far-ultraviolet Spectrum of the Bright Star in 47 Tucanae

    NASA Astrophysics Data System (ADS)

    Dixon, William V.; Chayer, Pierre

    2013-08-01

    The far-ultraviolet spectrum of the Bright Star (B8 III) in 47 Tuc (NGC 104) shows a remarkable pattern: it is well fit by local thermodynamic equilibrium models at wavelengths longer than Lyβ, but at shorter wavelengths it is fainter than the models by a factor of two. A spectrum of this star obtained with the Far Ultraviolet Spectroscopic Explorer shows broad absorption troughs with sharp edges at 995 and 1010 Å and a deep absorption feature at 1072 Å none of which are predicted by the models. We find that these features are caused by resonances in the photoionization cross sections of the first and second excited states of atomic nitrogen (2s 2 2p 3 2 D 0 and 2 P 0). Using cross sections from the Opacity Project, we can reproduce these features, but only if we use the cross sections at their full resolution, rather than the resonance-averaged cross sections usually employed to model stellar atmospheres. These resonances are strongest in stellar atmospheres with enhanced nitrogen and depleted carbon abundances, a pattern typical of post-asymptotic giant branch stars.

  3. Radiometric calibration of the vacuum-ultraviolet spectrograph SUMER on the SOHO spacecraft with the B detector.

    PubMed

    Schühle, U; Curdt, W; Hollandt, J; Feldman, U; Lemaire, P; Wilhelm, K

    2000-01-20

    The Solar Ultraviolet Measurement of Emitted Radiation (SUMER) vacuum-ultraviolet spectrograph was calibrated in the laboratory before the integration of the instrument on the Solar and Heliospheric Observatory (SOHO) spacecraft in 1995. During the scientific operation of the SOHO it has been possible to track the radiometric calibration of the SUMER spectrograph since March 1996 by a strategy that employs various methods to update the calibration status and improve the coverage of the spectral calibration curve. The results for the A Detector were published previously [Appl. Opt. 36, 6416 (1997)]. During three years of operation in space, the B detector was used for two and one-half years. We describe the characteristics of the B detector and present results of the tracking and refinement of the spectral calibration curves with it. Observations of the spectra of the stars alpha and rho Leonis permit an extrapolation of the calibration curves in the range from 125 to 149.0 nm. Using a solar coronal spectrum observed above the solar disk, we can extrapolate the calibration curves by measuring emission line pairs with well-known intensity ratios. The sensitivity ratio of the two photocathode areas can be obtained by registration of many emission lines in the entire spectral range on both KBr-coated and bare parts of the detector's active surface. The results are found to be consistent with the published calibration performed in the laboratory in the wavelength range from 53 to 124 nm. We can extrapolate the calibration outside this range to 147 nm with a relative uncertainty of ?30% (1varsigma) for wavelengths longer than 125 nm and to 46.5 nm with 50% uncertainty for the short-wavelength range below 53 nm.

  4. TE-polarized design for metallic slit lenses: a way to deep-subwavelength focusing over a broad wavelength range.

    PubMed

    Zhu, Yechuan; Yuan, Weizheng; Li, Wenli; Sun, Hao; Qi, Kunlun; Yu, Yiting

    2018-01-15

    Slit arrays based on noble metals have been widely proposed as planar transverse-magnetic (TM)-lenses, illuminated by a linearly polarized light with the polarization perpendicular to slits and implementing the focusing capability beyond the diffraction limit. However, due to intrinsic plasmonic losses, these TM-lenses cannot work efficiently in the ultraviolet wavelengths. In this Letter, taking advantage of the unique transmission through metallic slits not involving plasmonic losses, a metallic slit array with transverse-electric (TE)-polarized design is proposed, showing for the first time, to the best of our knowledge, the realization of sub-diffraction-limit focusing for ultraviolet light. Additionally, in contrast to the situations of TM-lenses, a wider slit leads to a greater phase delay and much larger slits can be arranged to construct the TE-lenses, which is quite beneficial for practical fabrication. Furthermore, deep-subwavelength focusing can be achieved by utilizing the immersing technology.

  5. Next step in Studying the Ultraviolet Universe: WSO-UV

    NASA Astrophysics Data System (ADS)

    Shustov, Boris M.; Sachkov, Mikhail; Gomez De Castro, Ana

    The World Space Observatory-Ultraviolet (WSO-UV) is an international space mission born as a response to the growing up demand for UV facilities by the astronomical community. In the horizon of the next 10 years, the WSO-UV will be the only 2-meters class mission in the after-HST epoch that will guarantee access to UV wavelength domain. The project is managed by an international consortium led by the Federal Space Agency (ROSCOSMOS, Russia). Here we describe the WSO-UV project with its general objectives and main features, the details and status of instrumentation that includes WUVS (spectrographs) and the ISSIS instrument (Field Camera Unit), WSO-UV ground segment, science management plan, the WSO-UV key science issues and prospects of high resolution spectroscopic studies with WSO-UV.

  6. Ultraviolet laser transverse profile shaping for improving x-ray free electron laser performance

    DOE PAGES

    Li, S.; Alverson, S.; Bohler, D.; ...

    2017-08-17

    The photocathode rf gun is one of the most critical components in x-ray free electron lasers. The drive laser strikes the photocathode surface, which emits electrons with properties that depend on the shape of the drive laser. Most free electron lasers use photocathodes with work function in the ultraviolet, a wavelength where direct laser manipulation becomes challenging. In this paper, we present a novel application of a digital micromirror device (DMD) for the 253 nm drive laser at the Linear Coherent Light Source. Laser profile shaping is accomplished through an iterative algorithm that takes into account shaping error and efficiency.more » Next, we use laser shaping to control the X-ray laser output via an online optimizer, which shows improvement in FEL pulse energy. Lastly, as a preparation for electron beam shaping, we use the DMD to measure the photocathode quantum efficiency across cathode surface with an averaged laser rms spot size of 59 μm. In conclusion, our experiments demonstrate promising outlook of using DMD to shape ultraviolet lasers for photocathode rf guns with various applications.« less

  7. Characterization of an ultraviolet imaging detector with high event rate ROIC (HEROIC) readout

    NASA Astrophysics Data System (ADS)

    Nell, Nicholas; France, Kevin; Harwit, Alex; Bradley, Scott; Franka, Steve; Freymiller, Ed; Ebbets, Dennis

    2016-07-01

    We present characterization results from a photon counting imaging detector consisting of one microchannel plate (MCP) and an array of two readout integrated circuits (ROIC) that record photon position. The ROICs used in the position readout are the high event rate ROIC (HEROIC) devices designed to handle event rates up to 1 MHz per pixel, recently developed by the Ball Aerospace and Technologies Corporation in collaboration with the University of Colorado. An opaque cesium iodide (CsI) photocathode sensitive in the far-ultraviolet (FUV; 122-200 nm), is deposited on the upper surface of the MCP. The detector is characterized in a chamber developed by CU Boulder that is capable of illumination with vacuum-ultraviolet (VUV) monochromatic light and measurement of absolute ux with a calibrated photodiode. Testing includes investigation of the effects of adjustment of internal settings of the HEROIC devices including charge threshold, gain, and amplifier bias. The detector response to high count rates is tested. We report initial results including background, uniformity, and quantum detection efficiency (QDE) as a function of wavelength.

  8. Long-term Ultraviolet Monitoring of a Tidal Disruption Event at only 90 Mpc

    NASA Astrophysics Data System (ADS)

    Maksym, W. Peter; Cenko, Bradley; Eracleous, Michael; Keel, William C.; Irwin, Jimmy; Sigurdsson, Steinn; Fruchter, Andrew; Gezari, Suvi; Bogdanovic, Tamara; Roth, Katherine

    2018-01-01

    At only 90 Mpc, ASASSN-14li is one of the nearest tidal disruption events (TDEs) to permit high-quality multi-wavelength monitoring, and is the first TDE with ultraviolet spectroscopic observations between Lyman alpha and Mg II λ2800Å. We present results from a continued long-term ultraviolet monitoring campaign with the Hubble Space Telescope. Prior observations had showed an array of broad emission lines common to Seyferts. Surpisingly, however, uncommon lines such as He II λ1640Å, N III] λ1750Å and N IV] λ1486Å had been enhanced, whereas others such as C III] λ1909Å and Mg II λ2800Å are notably absent. Our campaign shows contnued continuum emission accompanied by the gradual disappearance of broad line emission, which may indicate the gradual disappearance of a TDE wind as the accretion rate declines to sub-critical levels. Variability of the semi-forbidden lines supports stimulation by the TDE. A continued absence of low-ionization lines like Mg II in our monitoring may constrain the presence of ionized unbound material at large radii.

  9. Ultraviolet laser transverse profile shaping for improving x-ray free electron laser performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, S.; Alverson, S.; Bohler, D.

    The photocathode rf gun is one of the most critical components in x-ray free electron lasers. The drive laser strikes the photocathode surface, which emits electrons with properties that depend on the shape of the drive laser. Most free electron lasers use photocathodes with work function in the ultraviolet, a wavelength where direct laser manipulation becomes challenging. In this paper, we present a novel application of a digital micromirror device (DMD) for the 253 nm drive laser at the Linear Coherent Light Source. Laser profile shaping is accomplished through an iterative algorithm that takes into account shaping error and efficiency.more » Next, we use laser shaping to control the X-ray laser output via an online optimizer, which shows improvement in FEL pulse energy. Lastly, as a preparation for electron beam shaping, we use the DMD to measure the photocathode quantum efficiency across cathode surface with an averaged laser rms spot size of 59 μm. In conclusion, our experiments demonstrate promising outlook of using DMD to shape ultraviolet lasers for photocathode rf guns with various applications.« less

  10. Ultraviolet laser transverse profile shaping for improving x-ray free electron laser performance

    NASA Astrophysics Data System (ADS)

    Li, S.; Alverson, S.; Bohler, D.; Egger, A.; Fry, A.; Gilevich, S.; Huang, Z.; Miahnahri, A.; Ratner, D.; Robinson, J.; Zhou, F.

    2017-08-01

    The photocathode rf gun is one of the most critical components in x-ray free electron lasers. The drive laser strikes the photocathode surface, which emits electrons with properties that depend on the shape of the drive laser. Most free electron lasers use photocathodes with work function in the ultraviolet, a wavelength where direct laser manipulation becomes challenging. In this paper, we present a novel application of a digital micromirror device (DMD) for the 253 nm drive laser at the Linear Coherent Light Source. Laser profile shaping is accomplished through an iterative algorithm that takes into account shaping error and efficiency. Next, we use laser shaping to control the X-ray laser output via an online optimizer, which shows improvement in FEL pulse energy. Lastly, as a preparation for electron beam shaping, we use the DMD to measure the photocathode quantum efficiency across cathode surface with an averaged laser rms spot size of 59 μ m . Our experiments demonstrate promising outlook of using DMD to shape ultraviolet lasers for photocathode rf guns with various applications.

  11. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  12. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  13. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  14. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  15. 21 CFR 872.6350 - Ultraviolet detector.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Ultraviolet detector. 872.6350 Section 872.6350...) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6350 Ultraviolet detector. (a) Identification. An ultraviolet detector is a device intended to provide a source of ultraviolet light which is used...

  16. An ultraviolet investigation of the unusual eclipsing binary system FF AQR

    NASA Technical Reports Server (NTRS)

    Dorren, J. D.; Guinan, E. F.; Sion, E. M.

    1982-01-01

    A series of seven low dispersion IUE exposures in ultraviolet and wavelength regions obtained on December 6, 1981 during the eclipse of the subdwarf, during egress, and out of eclipse is analyzed. These observations and the binary phase at which they were made are shown on a schematic representation of the V-band light curve obtained in 1975. The depth in V is 0.15 mag. The circles are IUE V magnitudes from FES measures obtained during the observing run. They indicate an eclipse depth some 0.05 mag lower than expected, possibly due to difficulties with the color term in the FES calibration. The eclipse depths of Dworetsky in U, B and V were assumed in the calculations.

  17. Discovery of an Ultraviolet Counterpart to an Ultrafast X-Ray Outflow in the Quasar PG 1211+143

    NASA Astrophysics Data System (ADS)

    Kriss, Gerard A.; Lee, Julia C.; Danehkar, Ashkbiz; Nowak, Michael A.; Fang, Taotao; Hardcastle, Martin J.; Neilsen, Joseph; Young, Andrew

    2018-02-01

    We observed the quasar PG 1211+143 using the Cosmic Origins Spectrograph on the Hubble Space Telescope in 2015 April as part of a joint campaign with the Chandra X-ray Observatory and the Jansky Very Large Array. Our ultraviolet spectra cover the wavelength range 912–2100 Å. We find a broad absorption feature (∼ 1080 {km} {{{s}}}-1) at an observed wavelength of 1240 Å. Interpreting this as H I Lyα, in the rest frame of PG 1211+143 (z = 0.0809), this corresponds to an outflow velocity of ‑16,980 {km} {{{s}}}-1 (outflow redshift {z}{out}∼ -0.0551), matching the moderate ionization X-ray absorption system detected in our Chandra observation and reported previously by Pounds et al. With a minimum H I column density of {log} {N}{{H}{{I}}}> 14.5, and no absorption in other UV resonance lines, this Lyα absorber is consistent with arising in the same ultrafast outflow as the X-ray absorbing gas. The Lyα feature is weak or absent in archival ultraviolet spectra of PG 1211+143, strongly suggesting that this absorption is transient, and intrinsic to PG 1211+143. Such a simultaneous detection in two independent wavebands for the first time gives strong confirmation of the reality of an ultrafast outflow in an active galactic nucleus.

  18. Far-ultraviolet spectral images of comet Halley from sounding rockets

    NASA Technical Reports Server (NTRS)

    Mccoy, R. P.; Carruthers, G. R.; Opal, C. B.

    1986-01-01

    Far-ultraviolet images of comet Halley obtained from sounding rockets launched from White Sands Missile Range, New Mexico, on 24 February and 13 March, 1986, are presented. Direct electrographic images of the hydrogen coma of the comet were obtained at the Lyman-alpha wavelength along with objective spectra containing images of the coma at the oxygen, carbon, and sulfur resonance multiplets. Analysis of the Lyman-alpha images yields hydrogen atom production rates of 1.9 x 10 to the 30th/s and 1.4 x 120 to the 30th/s for the two observations. Images of oxygen, carbon, and sulfur emissions obtained with the objective grating spectrograph are presented for the first set of observations and preliminary production rates are derived for these elements.

  19. Characterisation of spectrophotometers used for spectral solar ultraviolet radiation measurements.

    PubMed

    Gröbner, J

    2001-01-01

    Spectrophotometers used for spectral measurements of the solar ultraviolet radiation need to be well characterised to provide accurate and reliable data. Since the characterisation and calibration are usually performed in the laboratory under conditions very different from those encountered during solar measurements, it is essential to address all issues concerned with the representativity of the laboratory characterisation with respect to the solar measurements. These include among others the instrument stability, the instrument linearity, the instrument responsivity, the wavelength accuracy, the spectral resolution, stray light rejection and the instrument dependence on ambient temperature fluctuations. These instrument parameters need to be determined often enough so that the instrument changes only marginally in the period between successive characterisations and therefore provides reliable data for the intervening period.

  20. Self-assemblies of luminescent rare earth compounds in capsules and multilayers.

    PubMed

    Zhang, Renjie; Shang, Juanjuan; Xin, Jing; Xie, Beibei; Li, Ya; Möhwald, Helmuth

    2014-05-01

    This review addresses luminescent rare earth compounds assembled in microcapsules as well as in planar films fabricated by the layer-by-layer (LbL) technique, the Langmuir-Blodgett (LB) method and in self-assembled monolayers. Chemical precipitation, electrostatic, van der Waals interactions and covalent bonds are involved in the assembly of these compounds. Self-organized ring patterns of rare earth complexes in Langmuir monolayers and on planar surfaces with stripe patterns, as well as fluorescence enhancement due to donor-acceptor pairs, microcavities, enrichment of rare earth compounds, and shell protection against water are described. Recent information on the tuning of luminescence intensity and multicolors by the excitation wavelength and the ratio of rare earth ions, respectively, are also reviewed. Potential applications of luminescent rare earth complex assemblies serving as biological probes, temperature and gas sensors are pointed out. Copyright © 2014 Elsevier B.V. All rights reserved.

  1. X-ray and extreme ultraviolet spectroscopy on DIII-D

    NASA Astrophysics Data System (ADS)

    Victor, B. S.; Allen, S. L.; Beiersdorfer, P.; Magee, E. W.

    2017-06-01

    Two spectrometers were installed to measure tungsten emission in the core of DIII-D plasmas during a metal rings experimental campaign. The spectral range of the high-resolution (1340 spectral channels), variable-ruled grating X-ray and Extreme Ultraviolet Spectrometer (XEUS) extends from 10-71 dot A. The spectral range of the second spectrometer, the Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS), measures between 31-174 dot A. Three groups of tungsten lines were identified with XEUS: W38+-W45+ from 47-63 dot A, W27+-W35+ from 45-55 dot A, and W28+-W33+ from 16-30 dot A. Emission lines from tungsten charge states W28+, W43+, W44+, and W45+ are identified and the line amplitude is presented versus time. Peak emission of W43+-W45+ occurs between core Te=2.5-3 keV, and peak emission of W28+ occurs at core Te<=1.3 keV. One group of tungsten lines, W40+-W45+, between 120-140 dot A, was identified with LoWEUS. W43+-W45+ lines measured with LoWEUS track the sawtooth cycle. Sensitivity to the sawtooth cycle and the correlation of the peak emission with core electron temperature show that these spectrometers track the on-axis tungsten emission of DIII-D plasmas.

  2. Ultraviolet-B radiation enhancement in dielectric barrier discharge based xenon chloride exciplex source by air

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gulati, P., E-mail: pgulati1512@gmail.com; Department of Physics, Banasthali University, P.O. Banasthali Vidyapith, Rajasthan 304022; Prakash, R.

    2014-07-07

    A single barrier dielectric barrier discharge tube of quartz with multi-strip Titanium-Gold (Ti-Au) coatings have been developed and utilized for ultraviolet-B (UV-B) radiation production peaking at wavelength 308 nm. The observed radiation at this wavelength has been examined for the mixtures of the Xenon together with chlorine and air admixtures. The gas mixture composition, chlorine gas content, total gas pressure, and air pressure dependency of the UV intensity, has been analyzed. It is found that the larger concentration of Cl{sub 2} deteriorates the performance of the developed source and around 2% Cl{sub 2} in this source produced optimum results. Furthermore, anmore » addition of air in the xenon and chlorine working gas environment leads to achieve same intensity of UV-B light but at lower working gas pressure where significant amount of gas is air.« less

  3. Evaluation of an automated ultraviolet-C light disinfection device and patient hand hygiene for reduction of pathogen transfer from interactive touchscreen computer kiosks.

    PubMed

    Alhmidi, Heba; Cadnum, Jennifer L; Piedrahita, Christina T; John, Amrita R; Donskey, Curtis J

    2018-04-01

    Touchscreens are a potential source of pathogen transmission. In our facility, patients and visitors rarely perform hand hygiene after using interactive touchscreen computer kiosks. An automated ultraviolet-C touchscreen disinfection device was effective in reducing bacteriophage MS2, bacteriophage ϕX174, methicillin-resistant Staphylococcus aureus, and Clostridium difficile spores inoculated onto a touchscreen. In simulations, an automated ultraviolet-C touchscreen disinfection device alone or in combination with hand hygiene reduced transfer of the viruses from contaminated touchscreens to fingertips. Published by Elsevier Inc.

  4. Protective effect of rare earth against oxidative stress under ultraviolet-B radiation.

    PubMed

    Wang, Lihong; Huang, Xiaohua; Zhou, Qing

    2009-04-01

    The effects of lanthanum (III) (La(III)) in protecting soybean leaves against oxidative stress induced by ultraviolet-B (UV-B) radiation were investigated. The increase in contents of hydrogen peroxide (H(2)O(2)) and superoxide (O2*-) due to UV-B radiation suggested oxidative stress. The increase in the content of malondialdehyde (MDA) and the decrease in the index of unsaturated fatty acid (IUFA) indicated oxidative damage on cell membrane induced by UV-B radiation. La(III) partially reversed UV-B-radiation-induced damage of plant growth. The reduction in the contents of H(2)O(2), O2*-, and MDA and increase in the content of IUFA, compared with UV-B treatment, also indicated that La(III) alleviated the oxidative damage induced by UV-B radiation. The increase in the activities of superoxide dismutase and peroxidase and the contents of ascorbate, carotenoids, and flavonoids were observed in soybean leaves with La(III) + UV-B treatment, compared with UV-B treatment. Our data suggested that La(III) could protect soybean plants from UV-B-radiation-induced oxidative stress by reacting with reactive oxygen species directly or by improving the defense system of plants.

  5. Ultraviolet Molecular Rayleigh Scattering Used to Measure Velocity in High-Speed Flow

    NASA Technical Reports Server (NTRS)

    Seasholtz, Richard G.

    1997-01-01

    Molecular Rayleigh scattering offers a means to measure gas flow parameters including density, temperature, and velocity. No seeding of the flow is necessary. The Rayleigh scattered power is proportional to the gas density, the spectral width is related to the gas temperature, and the shift in the frequency of the spectral peak is proportional to one component of the fluid velocity. Velocity measurements based on Rayleigh scattering are more suitable for high-speed flow, where the bulk fluid velocity is on the order of, or larger than, the molecular thermal velocities. Use of ultraviolet wavelengths for Rayleigh scattering diagnostics is attractive for two reasons. First, the Rayleigh scattering cross section is proportional to the inverse 4th power of the wavelength. And second, the reflectivity of metallic surfaces is generally less than it is at longer wavelengths. This is of particular interest in confined flow situations, such as in small wind tunnels and aircraft engine components, where the stray laser light scattered from the windows and internal surfaces in the test facility limits the application of Rayleigh scattering diagnostics. In this work at the NASA Lewis Research Center, molecular Rayleigh scattering of the 266-nm fourth harmonic of a pulsed, injection seeded Nd:YAG (neodymium:yttriumaluminum- garnet) laser was used to measure velocity in a supersonic free air jet with a 9.3- mm exit diameter. The frequency of the Rayleigh scattered light was analyzed with a planar mirror Fabry-Perot interferometer used in a static imaging mode, with the images recorded on a cooled, high-quantum-efficiency charge-coupled discharge (CCD) camera. In addition, some unshifted light from the same laser pulse was imaged through the interferometer to generate a reference. Data were obtained with single laser pulses at velocities up to Mach 1.3. The measured velocities were in good agreement with velocities calculated from isentropic flow relations. Our conclusion from

  6. Dynamics of defects in Ce³⁺ doped silica affecting its performance as protective filter in ultraviolet high-power lasers.

    PubMed

    Demos, Stavros G; Ehrmann, Paul R; Qiu, S Roger; Schaffers, Kathleen I; Suratwala, Tayyab I

    2014-11-17

    We investigate defects forming in Ce³⁺-doped fused silica samples following exposure to nanosecond ultraviolet laser pulses and their relaxation as a function of time and exposure to low intensity light at different wavelengths. A subset of these defects are responsible for inducing absorption in the visible and near infrared spectral range, which is of critical importance for the use of this material as ultraviolet light absorbing filter in high power laser systems. The dependence of the induced absorption as a function of laser fluence and methods to most efficiently mitigate this effect are presented. Experiments simulating the operation of the material as a UV protection filter for high power laser systems were performed in order to determine limitations and practical operational conditions.

  7. Polarization selection rules and optical transitions in terbium activated yttrium tantalate phosphor under x-ray, vacuum-ultraviolet, and ultraviolet excitations.

    PubMed

    Nazarov, Mihail; Tsukerblat, Boris; Byeon, Clare Chisu; Arellano, Ivan; Popovici, Elisabeth-Jeanne; Noh, Do Young

    2009-01-01

    The terbium-activated yttrium tantalite (YTaO(4):Tb(3+)) phosphor is of great interest due to the interesting spectroscopic properties of rare earth ions in crystals and also practical use in x-ray imaging. Using the group-theoretical approach, we analyze the selection rules for the transition between Stark components of Tb(3+) in symmetry of the actual crystal field and the polarization for the allowed transitions. The luminescence upon UV, vacuum-ultraviolet (VUV), and x-ray excitation is presented and discussed. The YTaO(4):Tb(3+) phosphors are found to be efficient VUV-excited luminescent materials that could be used not only in x-ray intensifying screens, but also in mercury-free fluorescent lamps or plasma display panels.

  8. Development of low-noise CCD drive electronics for the world space observatory ultraviolet spectrograph subsystem

    NASA Astrophysics Data System (ADS)

    Salter, Mike; Clapp, Matthew; King, James; Morse, Tom; Mihalcea, Ionut; Waltham, Nick; Hayes-Thakore, Chris

    2016-07-01

    World Space Observatory Ultraviolet (WSO-UV) is a major Russian-led international collaboration to develop a large space-borne 1.7 m Ritchey-Chrétien telescope and instrumentation to study the universe at ultraviolet wavelengths between 115 nm and 320 nm, exceeding the current capabilities of ground-based instruments. The WSO Ultraviolet Spectrograph subsystem (WUVS) is led by the Institute of Astronomy of the Russian Academy of Sciences and consists of two high resolution spectrographs covering the Far-UV range of 115-176 nm and the Near-UV range of 174-310 nm, and a long-slit spectrograph covering the wavelength range of 115-305 nm. The custom-designed CCD sensors and cryostat assemblies are being provided by e2v technologies (UK). STFC RAL Space is providing the Camera Electronics Boxes (CEBs) which house the CCD drive electronics for each of the three WUVS channels. This paper presents the results of the detailed characterisation of the WUVS CCD drive electronics. The electronics include a novel high-performance video channel design that utilises Digital Correlated Double Sampling (DCDS) to enable low-noise readout of the CCD at a range of pixel frequencies, including a baseline requirement of less than 3 electrons rms readout noise for the combined CCD and electronics system at a readout rate of 50 kpixels/s. These results illustrate the performance of this new video architecture as part of a wider electronics sub-system that is designed for use in the space environment. In addition to the DCDS video channels, the CEB provides all the bias voltages and clocking waveforms required to operate the CCD and the system is fully programmable via a primary and redundant SpaceWire interface. The development of the CEB electronics design has undergone critical design review and the results presented were obtained using the engineering-grade electronics box. A variety of parameters and tests are included ranging from general system metrics, such as the power and mass

  9. Average latitudinal variation in ultraviolet radiation at the earth's surface. [biological sensitivity and dosage

    NASA Technical Reports Server (NTRS)

    Johnson, F. S.; Mo, T.; Green, A. E. S.

    1976-01-01

    Tabulated values are presented for ultraviolet radiation at the earth's surface as a function of wavelength, latitude, and season, for clear sky and seasonally and latitudinally averaged ozone amounts. These tabulations can be combined with any biological sensitivity function in order to obtain the seasonal and latitudinal variation of the corresponding effective doses. The integrated dosages, based on the erythemal sensitivity curve and on the Robertson-Berger sunburn-meter sensitivity curve, have also been calculated, and these are found to vary with latitude and season in very nearly the same way as 307 and 314 nm radiation, respectively.

  10. Temperature-dependent Sellmeier equations for rare-earth sesquioxides.

    PubMed

    Zelmon, David E; Northridge, Jessica M; Haynes, Nicholas D; Perlov, Dan; Petermann, Klaus

    2013-06-01

    High-power lasers are making increasing demands on laser hosts especially in the area of thermal management. Traditional hosts, such as YAG, are unsuitable for many high-power applications and therefore, new hosts are being developed including rare-earth sesquioxides. We report new measurements of the refractive indices of these materials as functions of wavelength and temperature, which will aid in the design of laser cavities and other nonlinear optical elements.

  11. Bioaerosol detection and classification using dual excitation wavelength laser-induced fluorescence

    NASA Astrophysics Data System (ADS)

    Jonsson, Per; Wästerby, Pär.; Gradmark, Per-Åke; Hedborg, Julia; Larsson, Anders; Landström, Lars

    2015-05-01

    We present results obtained by a detection system designed to measure laser-induced fluorescence from individual aerosol particles using dual excitation wavelengths. The aerosol is sampled from ambient air and via a 1 mm diameter nozzle, surrounded by a sheath air flow, confined into a particle beam. A continuous wave blue laser at 404 nm is focused on the aerosol beam and two photomultiplier tubes monitor the presence of individual particles by simultaneous measuring the scattered light and any induced fluorescence. When a particle is present in the detection volume, a laser pulse is triggered from an ultraviolet laser at 263 nm and the corresponding fluorescence spectrum is acquired with a spectrometer based on a diffraction grating and a 32 channel photomultiplier tube array with single-photon sensitivity. The spectrometer measures the fluorescence spectra in the wavelength region from 250 to 800 nm. In the present report, data were measured on different monodisperse reference aerosols, simulants of biological warfare agents, and different interference aerosol particles, e.g. pollen. In the analysis of the experimental data, i.e., the time-resolved scattered and fluorescence signals from 404 nm c.w. light excitation and the fluorescence spectra obtained by a pulsed 263 nm laser source, we use multivariate data analysis methods to classify each individual aerosol particle.

  12. Comparing three UV wavelengths for pre‐exposing Gafchromic EBT2 and EBT3 films

    PubMed Central

    Gotanda, Rumi; Gotanda, Tatsuhiro; Akagawa, Takuya; Tanki, Nobuyoshi; Kuwano, Tadao; Yabunaka, Kouichi

    2015-01-01

    Gafchromic films are used for X‐ray dose measurements during diagnostic examinations and have begun to be used for three‐dimensional X‐ray dose measurements using the high‐resolution characteristics of Gafchromic films for computed tomography. However, the problem of unevenness in Gafchromic film active layers needs to be resolved. Double exposures using X‐rays are performed during therapeutic radiology, although this is difficult for a diagnostic examination because of a heel effect. Thus, it has been suggested that ultraviolet (UV) radiation be used as a substitute for X‐rays. However, the appropriate UV wavelength has not been determined. Thus, we conducted this study to decide an appropriate UV wavelength. UV peak wavelengths of 245 nm (UV‐A), 310 nm (UV‐B), and 365 nm (UV‐C) were used to irradiate EBT2 and EBT3 films. Each UV wavelength was irradiated for 5, 15, 30, and 60 min, and irradiation was then repeated every 60 min up to 360 min. Gafchromic films were scanned after every irradiation using a flatbed scanner. Images were split into RGB images, and red images were analyzed using ImageJ, version 1.44, image analysis software. A region of interest (ROI) one‐half inch in diameter was placed in the center of subtracted Gafchromic film images, and UV irradiation times were plotted against mean pixel values. There were reactions in the front and back of Gafchromic EBT3 and the back of Gafchromic EBT2 with UV‐A and UV‐B. However, UV‐C resulted in some reactions in both sides of Gafchromic EBT2 and EBT3. The UV‐A and UV‐B wavelengths should be used. PACS number(s): 87.53 Bn PMID:26699578

  13. Contribution of mycosporine-like amino acids and colored dissolved and particulate matter to sea ice optical properties and ultraviolet attenuation

    PubMed Central

    Uusikivi, Jari; Vähätalo, Anssi V.; Granskog, Mats A.; Sommaruga, Ruben

    2010-01-01

    In the Baltic Sea ice, the spectral absorption coefficients for particulate matter (PM) were about two times higher at ultraviolet wavelengths than at photosynthetically available radiation (PAR) wavelengths. PM absorption spectra included significant absorption by mycosporine-like amino acids (MAAs) between 320 and 345 nm. In the surface ice layer, the concentration of MAAs (1.37 μg L−1) was similar to that of chlorophyll a, resulting in a MAAs-to-chlorophyll a ratio as high as 0.65. Ultraviolet radiation (UVR) intensity and the ratio of UVR to PAR had a strong relationship with MAAs concentration (R2 = 0.97, n = 3) in the ice. In the surface ice layer, PM and especially MAAs dominated the absorption (absorption coefficient at 325 nm: 0.73 m−1). In the columnar ice layers, colored dissolved organic matter was the most significant absorber in the UVR (< 380 nm) (absorption coefficient at 325 nm: 1.5 m−1). Our measurements and modeling of UVR and PAR in Baltic Sea ice show that organic matter, both particulate and dissolved, influences the optical properties of sea ice and strongly modifies the UVR exposure of biological communities in and under snow-free sea ice. PMID:20585592

  14. The first linear polarization spectra of Wolf-Rayet stars in the ultraviolet - EZ Canis Majoris and Theta Muscae

    NASA Technical Reports Server (NTRS)

    Schulte-Ladbeck, R. E.; Nordsieck, K. H.; Code, A. D.; Anderson, C. M.; Babler, B. L.; Bjorkman, K. S.; Clayton, G. C.; Magalhaes, A. M.; Meade, M. R.; Shepherd, D.

    1992-01-01

    During the 1990 December Astro-1 Space Shuttle mission, spectropolarimetry was conducted in the wavelength region from 1400 to 3200 A of the Wolf-Rayet stars EZ CMa (WN5) and Theta Mus (WC6 + O9.5I) with the Wisconsin Ultraviolet Photo-Polarimeter Experiment. The UV polarization of EZ CMa displays features which correspond to emission lines. This indicates a large, about 0.8 percent, intrinsic UV-continuum polarization, and provides further evidence that the wind of EZ CMa is highly distorted. The polarization of Theta Mus does not change across emission lines, or the strong interstellar 2200 A feature. The polarization decreases smoothly to shorter wavelengths, at constant position angle. The combined UV-optical polarization spectrum of Theta Mus can be described well with interstellar polarization following a Serkowski law.

  15. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  16. On-chip ultraviolet holography for high-throughput nanoparticle and biomolecule detection

    NASA Astrophysics Data System (ADS)

    Daloglu, Mustafa Ugur; Ray, Aniruddha; Gorocs, Zoltán.; Xiong, Matthew; Malik, Ravinder; Bitan, Gal; McLeod, Euan; Ozcan, Aydogan

    2018-02-01

    Nanoparticle and biomolecule imaging has become an important need for various applications. In an effort to find a higher throughput alternative to existing devices, we have designed a lensfree on-chip holographic imaging platform operating at an ultraviolet (UV) wavelength of 266 nm. With a custom-designed free-space light delivery system to illuminate the sample that is placed very close (<0.5 mm) to an opto-electronic image sensor chip, without any imaging lenses in between, the full active area of the imager chip (>16 mm2 ) was utilized as the imaging field-of-view (FOV) capturing holographic signatures of target objects on a chip. These holograms were then digitally back propagated to extract both the amplitude and phase information of the sample. The increased forward scattering from nanoparticles due to this shorter illumination wavelength has enabled us to image individual particles that are smaller than 30 nm over an FOV of >16 mm2 . Our platform was further utilized in high-contrast imaging of nanoscopic biomolecule aggregates since 266 nm illumination light is strongly absorbed by biomolecules including proteins and nucleic acids. Aggregates of Cu/Zn-superoxide dismutase (SOD1), which has been linked to a fatal neurodegenerative disease, ALS (amyotrophic lateral sclerosis), have been imaged with significantly improved contrast compared to imaging at visible wavelengths. This unique UV imaging modality could be valuable for biomedical applications (e.g., viral load measurements) and environmental monitoring including air and water quality monitoring.

  17. The extreme ultraviolet spectrograph: A radial groove grating, sounding rocket-borne, astronomical instrument

    NASA Technical Reports Server (NTRS)

    Wilkinson, Erik; Green, James C.; Cash, Webster

    1993-01-01

    The design, calibration, and sounding rocket flight performance of a novel spectrograph suitable for moderate-resolution EUV spectroscopy are presented. The sounding rocket-borne instrument uses a radial groove grating to maintain a high system efficiency while controlling the aberrations induced when doing spectroscopy in a converging beam. The instrument has a resolution of approximately 2 A across the 200-330 A bandpass with an average effective area of 2 sq cm. The instrument, called the Extreme Ultraviolet Spectrograph, acquired the first EUV spectra in this wavelength region of the hot white dwarf G191-B2B and the late-type star Capella.

  18. Electrically driven deep ultraviolet MgZnO lasers at room temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suja, Mohammad; Bashar, Sunayna Binte; Debnath, Bishwajit

    Semiconductor lasers in the deep ultraviolet (UV) range have numerous potential applications ranging from water purification and medical diagnosis to high-density data storage and flexible displays. Nevertheless, very little success was achieved in the realization of electrically driven deep UV semiconductor lasers to date. Here, we report the fabrication and characterization of deep UV MgZnO semiconductor lasers. These lasers are operated with continuous current mode at room temperature and the shortest wavelength reaches 284 nm. The wide bandgap MgZnO thin films with various Mg mole fractions were grown on c-sapphire substrate using radio-frequency plasma assisted molecular beam epitaxy. Metal-semiconductor-metal (MSM)more » random laser devices were fabricated using lithography and metallization processes. Besides the demonstration of scalable emission wavelength, very low threshold current densities of 29-33 A/cm 2 are achieved. Furthermore, numerical modeling reveals that impact ionization process is responsible for the generation of hole carriers in the MgZnO MSM devices. The interaction of electrons and holes leads to radiative excitonic recombination and subsequent coherent random lasing.« less

  19. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    NASA Astrophysics Data System (ADS)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  20. Electrically driven deep ultraviolet MgZnO lasers at room temperature

    DOE PAGES

    Suja, Mohammad; Bashar, Sunayna Binte; Debnath, Bishwajit; ...

    2017-06-01

    Semiconductor lasers in the deep ultraviolet (UV) range have numerous potential applications ranging from water purification and medical diagnosis to high-density data storage and flexible displays. Nevertheless, very little success was achieved in the realization of electrically driven deep UV semiconductor lasers to date. Here, we report the fabrication and characterization of deep UV MgZnO semiconductor lasers. These lasers are operated with continuous current mode at room temperature and the shortest wavelength reaches 284 nm. The wide bandgap MgZnO thin films with various Mg mole fractions were grown on c-sapphire substrate using radio-frequency plasma assisted molecular beam epitaxy. Metal-semiconductor-metal (MSM)more » random laser devices were fabricated using lithography and metallization processes. Besides the demonstration of scalable emission wavelength, very low threshold current densities of 29-33 A/cm 2 are achieved. Furthermore, numerical modeling reveals that impact ionization process is responsible for the generation of hole carriers in the MgZnO MSM devices. The interaction of electrons and holes leads to radiative excitonic recombination and subsequent coherent random lasing.« less

  1. Overlying extreme-ultraviolet arcades preventing eruption of a filament observed by AIA/SDO

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Huadong; Ma, Suli; Zhang, Jun, E-mail: hdchen@upc.edu.cn

    2013-11-20

    Using the multi-wavelength data from the Atmospheric Imaging Assembly/Solar Dynamic Observatory (AIA/SDO) and the Sun Earth Connection Coronal and Heliospheric Investigation/Solar Terrestrial Relations Observatory (SECCHI/STEREO), we report a failed filament eruption in NOAA AR 11339 on 2011 November 3. The eruption was associated with an X1.9 flare, but without any coronal mass ejection (CME), coronal dimming, or extreme ultraviolet (EUV) waves. Some magnetic arcades above the filament were observed distinctly in EUV channels, especially in the AIA 94 Å and 131 Å wavebands, before and during the filament eruption process. Our results show that the overlying arcades expanded along withmore » the ascent of the filament at first until they reached a projected height of about 49 Mm above the Sun's surface, where they stopped. The following filament material was observed to be confined by the stopped EUV arcades and not to escape from the Sun. After the flare, a new filament formed at the low corona where part of the former filament remained before its eruption. These results support that the overlying arcades play an important role in preventing the filament from successfully erupting outward. We also discuss in this paper the EUV emission of the overlying arcades during the flare. It is rare for a failed filament eruption to be associated with an X1.9 class flare, but not with a CME or EUV waves. Therefore, this study also provides valuable insight into the triggering mechanism of the initiation of CMEs and EUV waves.« less

  2. Ultraviolet photorefraction at 325 nm in doped lithium niobate crystals

    NASA Astrophysics Data System (ADS)

    Xin, Feifei; Zhang, Guoquan; Bo, Fang; Sun, Haifeng; Kong, Yongfa; Xu, Jingjun; Volk, Tatyana; Rubinina, Natalia M.

    2010-02-01

    We studied the photorefractive effect of lithium niobate (LiNbO3) doped with Mg, Zn, In, Hf, or codoped with Mg and Fe at an ultraviolet (UV) wavelength down to 325 nm. It is found that the UV photorefraction of LiNbO3 doped with Mg, Zn, In, or Hf was enhanced significantly as compared to that of the nominally pure LiNbO3. Our results show that the property of resistance against photorefraction in highly Mg, Zn, In, or Hf doped LiNbO3 is true only in the visible and near-infrared wavelength range. By contrast, these crystals exhibit excellent photorefractive characteristics at UV wavelength of 325 nm, even better than those at 351 nm. For example, the photorefractive two-wave coupling gain coefficient Γ and the photorefractive recording sensitivity at 325 nm were measured to be ˜38 cm-1 and 37.7 cm/J, respectively, in a LiNbO3 crystal doped with 9 mol % Zn. The photorefractive response time of a Mg:LiNbO3 with a 9 mol % Mg was measured to be 73 ms with a total recording intensity of 614 mW/cm2 at 325 nm. In highly Mg, Zn, In, or Hf doped LiNbO3 crystals, diffusion dominates over photovoltaic effect and electrons are the dominant charge carriers in UV photorefraction at 325 nm. The results are also of interest to the study on the defect structure of LiNbO3 near to the absorption edge.

  3. Application of the Langley plot method to the calibration of the solar backscattered ultraviolet instrument on the Nimbus 7 satellite

    NASA Technical Reports Server (NTRS)

    Bhartia, P. K.; Taylor, S.; Mcpeters, R. D.; Wellemeyer, C.

    1995-01-01

    The concept of the well-known Langley plot technique, used for the calibration of ground-based instruments, has been generalized for application to satellite instruments. In polar regions, near summer solstice, the solar backscattered ultraviolet (SBUV) instrument on the Nimbus 7 satellite samples the same ozone field at widely different solar zenith angles. These measurements are compared to assess the long-term drift in the instrument calibration. Although the technique provides only a relative wavelength-to-wavelength calibration, it can be combined with existing techniques to determine the drift of the instrument at any wavelength. Using this technique, we have generated a 12-year data set of ozone vertical profiles from SBUV with an estimated accuracy of +/- 5% at 1 mbar and +/- 2% at 10 mbar (95% confidence) over 12 years. Since the method is insensitive to true changes in the atmospheric ozone profile, it can also be used to compare the calibrations of similar SBUV instruments launched without temporal overlap.

  4. In situ measurements of scattering from contaminated optics in the Vacuum Ultraviolet

    NASA Astrophysics Data System (ADS)

    Herren, Kenneth A.; Linton, Roger C.; Whitaker, Ann F.

    1990-07-01

    NASA's In Situ Contamination Effects Facility has been used to measure the time dependence of the angular reflectance from molecularly contaminated optical surfaces in the vacuum ultraviolet. The light scattering measurements are accomplished in situ on optical surfaces in real time during deposition of molecular contaminants. The measurements are taken using noncoherent VUV sources with the predominant wavelengths being the krypton resonance lines at 1236 and 1600 angstroms. Detection of the scattered light is accomplished using a set of three solar blind VUV photomultipliers. An in-plane VUV BRDF (bidirectional reflectance distribution function) experiment is described and details of the on-going program to characterize optical materials exposed to the space environment is reported.

  5. High Accuracy Ultraviolet Index of Refraction Measurements Using a Fourier Transform Spectrometer

    PubMed Central

    Gupta, Rajeev; Kaplan, Simon G.

    2003-01-01

    We have constructed a new facility at the National Institute of Standards and Technology (NIST) to measure the index of refraction of transmissive materials in the wavelength range from the visible to the vacuum ultraviolet. An etalon of the material is illuminated with synchrotron radiation, and the interference fringes in the transmittance spectrum are measured using a Fourier transform spectrometer. The refractive index of calcium fluoride, CaF2, has been measured from 600 nm to 175 nm and the resulting values agree with a traditional goniometric measurement to within 1 × 10−5. The uncertainty in the index values is currently limited by the uncertainty in the thickness measurement of the etalon. PMID:27413620

  6. Apollo 16 far-ultraviolet imagery and spectra of the Large Magellanic Cloud

    NASA Technical Reports Server (NTRS)

    Page, T.; Carruthers, G. R.

    1976-01-01

    The Large Magellanic Cloud was observed by the far ultraviolet camera spectrograph from the lunar surface during the Apollo 16 mission 22 April 1972. Images were obtained with about 3 arc min resolution, in the 1,050 to 1,600 and 1,250 to 1,600 A wavelength ranges, of nearly the entire LMC. Spectra were also obtained in the 1,050 to 1,600 and 900 to 1,600 A ranges along a strip 1/4 deg wide (determined by the instrument's grid collimator) passing across the LMC. The images and spectra have been scanned with a PDS microdensitometer, and isodensity contour plots have been prepared using the Univac 1108 computer.

  7. In situ measurements of scattering from contaminated optics in the Vacuum Ultraviolet

    NASA Technical Reports Server (NTRS)

    Herren, Kenneth A.; Linton, Roger C.; Whitaker, Ann F.

    1990-01-01

    NASA's In Situ Contamination Effects Facility has been used to measure the time dependence of the angular reflectance from molecularly contaminated optical surfaces in the vacuum ultraviolet. The light scattering measurements are accomplished in situ on optical surfaces in real time during deposition of molecular contaminants. The measurements are taken using noncoherent VUV sources with the predominant wavelengths being the krypton resonance lines at 1236 and 1600 angstroms. Detection of the scattered light is accomplished using a set of three solar blind VUV photomultipliers. An in-plane VUV BRDF (bidirectional reflectance distribution function) experiment is described and details of the on-going program to characterize optical materials exposed to the space environment is reported.

  8. Ultraviolet Light Curves of Gaia16apd in Superluminous Supernova Models

    NASA Astrophysics Data System (ADS)

    Tolstov, Alexey; Zhiglo, Andrey; Nomoto, Ken'ichi; Sorokina, Elena; Kozyreva, Alexandra; Blinnikov, Sergei

    2017-08-01

    Observations of Gaia16apd revealed extremely luminous ultraviolet emission among superluminous supernovae (SLSNe). Using radiation hydrodynamics simulations, we perform a comparison of UV light curves, color temperatures, and photospheric velocities between the most popular SLSN models: pair-instability supernova, magnetar, and interaction with circumstellar medium. We find that the interaction model is the most promising to explain the extreme UV luminosity of Gaia16apd. The differences in late-time UV emission and in color evolution found between the models can be used to link an observed SLSN event to the most appropriate model. Observations at UV wavelengths can be used to clarify the nature of SLSNe and more attention should be paid to them in future follow-up observations.

  9. Ultraviolet Light Curves of Gaia16apd in Superluminous Supernova Models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tolstov, Alexey; Zhiglo, Andrey; Nomoto, Ken’ichi

    2017-08-10

    Observations of Gaia16apd revealed extremely luminous ultraviolet emission among superluminous supernovae (SLSNe). Using radiation hydrodynamics simulations, we perform a comparison of UV light curves, color temperatures, and photospheric velocities between the most popular SLSN models: pair-instability supernova, magnetar, and interaction with circumstellar medium. We find that the interaction model is the most promising to explain the extreme UV luminosity of Gaia16apd. The differences in late-time UV emission and in color evolution found between the models can be used to link an observed SLSN event to the most appropriate model. Observations at UV wavelengths can be used to clarify the naturemore » of SLSNe and more attention should be paid to them in future follow-up observations.« less

  10. Wavelength converter placement for different RWA algorithms in wavelength-routed all-optical networks

    NASA Astrophysics Data System (ADS)

    Chu, Xiaowen; Li, Bo; Chlamtac, Imrich

    2002-07-01

    Sparse wavelength conversion and appropriate routing and wavelength assignment (RWA) algorithms are the two key factors in improving the blocking performance in wavelength-routed all-optical networks. It has been shown that the optimal placement of a limited number of wavelength converters in an arbitrary mesh network is an NP complete problem. There have been various heuristic algorithms proposed in the literature, in which most of them assume that a static routing and random wavelength assignment RWA algorithm is employed. However, the existing work shows that fixed-alternate routing and dynamic routing RWA algorithms can achieve much better blocking performance. Our study in this paper further demonstrates that the wavelength converter placement and RWA algorithms are closely related in the sense that a well designed wavelength converter placement mechanism for a particular RWA algorithm might not work well with a different RWA algorithm. Therefore, the wavelength converter placement and the RWA have to be considered jointly. The objective of this paper is to investigate the wavelength converter placement problem under fixed-alternate routing algorithm and least-loaded routing algorithm. Under the fixed-alternate routing algorithm, we propose a heuristic algorithm called Minimum Blocking Probability First (MBPF) algorithm for wavelength converter placement. Under the least-loaded routing algorithm, we propose a heuristic converter placement algorithm called Weighted Maximum Segment Length (WMSL) algorithm. The objective of the converter placement algorithm is to minimize the overall blocking probability. Extensive simulation studies have been carried out over three typical mesh networks, including the 14-node NSFNET, 19-node EON and 38-node CTNET. We observe that the proposed algorithms not only outperform existing wavelength converter placement algorithms by a large margin, but they also can achieve almost the same performance comparing with full wavelength

  11. Ultraviolet radiation and the snow alga Chlamydomonas nivalis (Bauer) Wille.

    PubMed

    Gorton, Holly L; Vogelmann, Thomas C

    2003-06-01

    Aplanospores of Chlamydomonas nivalis are frequently found in high-altitude, persistent snowfields where they are photosynthetically active despite cold temperatures and high levels of visible and ultraviolet (UV) radiation. The goals of this work were to characterize the UV environment of the cells in the snow and to investigate the existence and localization of screening compounds that might prevent UV damage. UV irradiance decreased precipitously in snow, with UV radiation of wavelengths 280-315 nm and UV radiation of wavelengths 315-400 nm dropping to 50% of incident levels in the top 1 and 2 cm, respectively. Isolated cell walls exhibited UV absorbance, possibly by sporopollenin, but this absorbance was weak in images of broken or plasmolyzed cells observed through a UV microscope. The cells also contained UV-absorbing cytoplasmic compounds, with the extrachloroplastic carotenoid astaxanthin providing most of the screening. Additional screening compound(s) soluble in aqueous methanol with an absorption maximum at 335 nm played a minor role. Thus, cells are protected against potentially high levels of UV radiation by the snow itself when they live several centimeters beneath the surface, and they rely on cellular screening compounds, chiefly astaxanthin, when located near the surface where UV fluxes are high.

  12. Ultraviolet-Optical Space Astronomy Beyond HST Conference (Origins Conference and UV-Optical Working Group Support)

    NASA Technical Reports Server (NTRS)

    Shull, J. Michael; Morse, Jon

    2001-01-01

    This grant supported three major activities, from 1997-2001. (1) Origins Conference. The funds from this grant were used, initially, to support a Conference on "Origins", held May 19-23, 1997 at Estes Park, CO and attended by a wide range of astronomers, planetary scientists, and astrobiologists. The scientific proceedings of this meeting were published in 1998 by the Astronomical Society of the Pacific: "Origins" (1998) "Proceedings of the International Origins Conference". (2) UV-Optical Space Astronomy. Conference Additional funds provided by the NASA Office of Space Science were used to support a meeting held August 5-7, 1998 at Boulder, CO and attended by ultraviolet and optical astronomers and instrumentalists interested in a UV-O successor to the Hubble Space Telescope. The scientific proceedings of this meeting were published in 1999: "Ultraviolet-Optical Space Astronomy Beyond the Hubble Space Telescope" (1999), NASA provided funds and commissioned the UVOWG (Ultraviolet-Optical Working Group), charged with recommending a set of fundamental scientific problems and new space missions in the UV/Optical wavelength bands. The working group was chaired by J. M. Shull, and included ten other astrophysicists. Their report was published as a "White Paper" (Nov. 1999) entitled "The Emergence of the Modern Universe: Tracing the Cosmic Web" available. The results of this report were used in the NASA Strategic Planning ("Roadmap") exercise and by the NRC Astronomy/Astrophysics Decade Committee.

  13. Ultraviolet Radiations: Skin Defense-Damage Mechanism.

    PubMed

    Mohania, Dheeraj; Chandel, Shikha; Kumar, Parveen; Verma, Vivek; Digvijay, Kumar; Tripathi, Deepika; Choudhury, Khushboo; Mitten, Sandeep Kumar; Shah, Dilip

    2017-01-01

    UV-radiations are the invisible part of light spectra having a wavelength between visible rays and X-rays. Based on wavelength, UV rays are subdivided into UV-A (320-400 nm), UV-B (280-320 nm) and UV-C (200-280 nm). Ultraviolet rays can have both harmful and beneficial effects. UV-C has the property of ionization thus acting as a strong mutagen, which can cause immune-mediated disease and cancer in adverse cases. Numbers of genetic factors have been identified in human involved in inducing skin cancer from UV-radiations. Certain heredity diseases have been found susceptible to UV-induced skin cancer. UV radiations activate the cutaneous immune system, which led to an inflammatory response by different mechanisms. The first line of defense mechanism against UV radiation is melanin (an epidermal pigment), and UV absorbing pigment of skin, which dissipate UV radiation as heat. Cell surface death receptor (e.g. Fas) of keratinocytes responds to UV-induced injury and elicits apoptosis to avoid malignant transformation. In addition to the formation of photo-dimers in the genome, UV also can induce mutation by generating ROS and nucleotides are highly susceptible to these free radical injuries. Melanocortin 1 receptor (MC1R) has been known to be implicated in different UV-induced damages such as pigmentation, adaptive tanning, and skin cancer. UV-B induces the formation of pre-vitamin D3 in the epidermal layer of skin. UV-induced tans act as a photoprotection by providing a sun protection factor (SPF) of 3-4 and epidermal hyperplasia. There is a need to prevent the harmful effects and harness the useful effects of UV radiations.

  14. Transmitting and reflecting diffuser. [for ultraviolet light

    NASA Technical Reports Server (NTRS)

    Keafer, L. S., Jr.; Burcher, E. E.; Kopia, L. P. (Inventor)

    1973-01-01

    A near-Lambertian diffuser is described which transmits and reflects ultraviolet light. An ultraviolet grade fused silica substrate is coated with vaporized fuse silica. The coating thickness is controlled, one thickness causing ultraviolet light to diffuse and another thickness causing ultraviolet light to reflect a near Lambertian pattern.

  15. International Ultraviolet Explorer (IUE)

    NASA Technical Reports Server (NTRS)

    Boehm, Karl-Heinz

    1992-01-01

    The observation, data reduction, and interpretation of ultraviolet spectra (obtained with the International Ultraviolet Explorer) of Herbig-Haro objects, stellar jets, and (in a few cases) reflection nebulae in star-forming regions is discussed. Intermediate results have been reported in the required semi-annual reports. The observations for this research were obtained in 23 (US1) IUE shifts. The spectra were taken in the low resolution mode with the large aperture. The following topics were investigated: (1) detection of UV spectra of high excitation Herbig-Haro (HH) objects, identification of emission lines, and a preliminary study of the energy distribution of the ultraviolet continuum; (2) details of the continuum energy distribution of these spectra and their possible interpretation; (3) the properties of the reddening (extinction) of HH objects; (4) the possible time variation of strong emission lines in high excitation HH objects; (5) the ultraviolet emission of low excitation HH objects, especially in the fluorescent lines of the H2 molecule; (6) the ultraviolet emission in the peculiar object HH24; (7) the spatial emission distribution of different lines and different parts of the continuum in different HH objects; and (8) some properties of reflection nebula, in the environment of Herbig-Haro objects. Each topic is discussed.

  16. The endogenous hormones in soybean seedlings under the joint actions of rare earth element La(III) and ultraviolet-B stress.

    PubMed

    Peng, Qi; Zhou, Qing

    2009-12-01

    The dynamic state of endogenous hormone content in soybean seedlings was investigated for a further demonstration of alleviating the damage of the ultraviolet ultraviolet-B (UV-B) radiation in the La(III)-treated soybean seedlings under UV-B stress. Using hydroponics culture, the effects of lanthanum(III) on the contents of endogenous hormone under elevated ultraviolet-B radiation (280–320 nm) was studied. The results showed that the content of indole-3-acetic acid (IAA) in soybean seedlings decreased initially and then increased when the seedlings underwent UV-B treatment during the stress and convalescent period; this was compared with a control; acetic acid oxidase (IAAO) activity increased at first (first to fifth day) and then decreased (sixth to 11th day). A similar change of abscisic acid content and IAAO content in soybean seedlings occurred; gibberellic acid (GA) content decreased during the experiment compared with control. The content of IAA and GA in soybean seedlings with La(III) + UV-B treatment was higher than those of UV-B treatment; IAAO activity and GA content in soybean seedlings with La (III) + UV-B treatment were lower than those of UV-B treatment. It suggested that the regulative effect of La(III) at the optimum concentration on endogenous hormone improved the ability of plant stress resistance, and its protective effect against low UV-B radiation was superior to high UV-B radiation. The defensive effect of La(III) on soybean seedlings under UV-B stress was carried out on the layer of defense system.

  17. Multiple-wavelength vertical cavity laser arrays with wide wavelength span and high uniformity

    NASA Astrophysics Data System (ADS)

    Yuen, Wupen; Li, Gabriel S.; Chang-Hasnain, Connie J.

    1996-12-01

    Vertical-cavity surface-emitting lasers (VCSELs) are promising for numerous applications. In particular, due to their inherent single Fabry-Perot mode operation, VCSELs can be very useful for wavelength division multiplexing (WDM) systems allowing high bandwidth and high functionalities.1, 2 Multiple wavelength VCSEL arrays with wide channel spacings (>10 nm) provide an inexpensive solution to increasing the capacity of local area networks without using active wavelength controls.1 The lasing wavelength of a VCSEL is determined by the equivalent laser cavity thickness which can be varied by changing the thickness of either the l-spacer or the distributed Bragg reflector (DBR) layers. To make monolithic multiple-wavelength VCSEL arrays, the lasing wavelength, and therefore the cavity thickness, has to be varied at reasonable physical distances. For all practical applications, it is imperative for the fabrication technology to be controllable, cost-effective, and wafer-scale. Recently, we demonstrated a patterned-substrate molecular beam epitaxy (MBE) growth technique with in-situ laser reflectometry monitoring for fabricating multiple wavelength VCSEL arrays.3, 4 With this method, VCSEL arrays with very large and highly controllable lasing wavelength spans and excellent lasing characteristics have been achieved.

  18. Ultraviolet photodissociation dynamics of the benzyl radical.

    PubMed

    Song, Yu; Zheng, Xianfeng; Lucas, Michael; Zhang, Jingsong

    2011-05-14

    Ultraviolet (UV) photodissociation dynamics of jet-cooled benzyl radical via the 4(2)B(2) electronically excited state is studied in the photolysis wavelength region of 228 to 270 nm using high-n Rydberg atom time-of-flight (HRTOF) and resonance enhanced multiphoton ionization (REMPI) techniques. In this wavelength region, H-atom photofragment yield (PFY) spectra are obtained using ethylbenzene and benzyl chloride as the precursors of benzyl radical, and they have a broad peak centered around 254 nm and are in a good agreement with the previous UV absorption spectra of benzyl. The H + C(7)H(6) product translational energy distributions, P(E(T))s, are derived from the H-atom TOF spectra. The P(E(T)) distributions peak near 5.5 kcal mol(-1), and the fraction of average translational energy in the total excess energy, , is ∼0.3. The P(E(T))s indicate the production of fulvenallene + H, which was suggested by recent theoretical studies. The H-atom product angular distribution is isotropic, with the anisotropy parameter β ≈ 0. The H/D product ratios from isotope labeling studies using C(6)H(5)CD(2) and C(6)D(5)CH(2) are reasonably close to the statistical H/D ratios, suggesting that the H/D atoms are scrambled in the photodissociation of benzyl. The dissociation mechanism is consistent with internal conversion of the electronically excited benzyl followed by unimolecular decomposition of the hot benzyl radical on the ground state.

  19. Multi-wavelength Characterization of Exoplanet Host Stars with the MUSCLES Treasury Survey

    NASA Astrophysics Data System (ADS)

    France, Kevin; Youngblood, Allison; Loyd, R. O. Parke; Schneider, Christian

    2017-01-01

    High-energy photons (X-ray to NUV) from exoplanet host stars regulate the atmospheric temperature profiles and photochemistry on orbiting planets, influencing the long-term stability of planetary atmospheres and the production of potential “biomarker” gases. However, relatively few observational and theoretical constraints exist on the high-energy irradiance from typical (i.e., weakly active) M and K dwarf exoplanet host stars. In this talk, I will describe results from a panchromatic survey (Chandra/XMM/Hubble/ground) of M and K dwarf exoplanet hosts. The MUSCLES Treasury Survey (Measurements of the Ultraviolet Spectral Characteristics of Low-mass Exoplanetary Systems) combines UV, X-ray, and optical observations with reconstructed Lyman-alpha and EUV (100-900 Ang) radiation to create 5 Angstrom to 5 micron stellar irradiance spectra that are available as a High-Level Science Product on STScI/MAST. I will discuss how we use multi-wavelength observations to study possible abiotic production of the suggested biomarkers O2 and O3, develop scaling relations to infer the high-energy particle fluxes from these stars based on solar UV flare/particle flux measurements, calibrate visible-wavelength proxies for the high-energy irradiance, and characterize the UV variability and flare frequency of “optically inactive” M dwarfs.

  20. Enhanced Deformation of Azobenzene-Modified Liquid Crystal Polymers under Dual Wavelength Exposure: A Photophysical Model

    NASA Astrophysics Data System (ADS)

    Liu, Ling; Onck, Patrick R.

    2017-08-01

    Azobenzene-embedded liquid crystal polymers can undergo mechanical deformation in response to ultraviolet (UV) light. The natural rodlike trans state azobenzene absorbs UV light and isomerizes to a bentlike cis state, which disturbs the order of the polymer network, leading to an anisotropic deformation. The current consensus is that the magnitude of the photoinduced deformation is related to the statistical building up of molecules in the cis state. However, a recent experimental study [Liu and Broer, Nat. Commun. 6 8334 (2015)., 10.1038/ncomms9334] shows that a drastic (fourfold) increase of the photoinduced deformation can be generated by exposing the samples simultaneously to 365 nm (UV) and 455 nm (visible) light. To elucidate the physical mechanism that drives this increase, we develop a two-light attenuation model and an optomechanical constitutive relation that not only accounts for the statistical accumulation of cis azobenzenes, but also for the dynamic trans-cis-trans oscillatory isomerization process. Our experimentally calibrated model predicts that the optimal single-wavelength exposure is 395 nm light, a pronounced shift towards the visible spectrum. In addition, we identify a range of optimal combinations of two-wavelength lights that generate a favorable response for a given amount of injected energy. Our model provides mechanistic insight into the different (multi)wavelength exposures used in experiments and, at the same time, opens new avenues towards enhanced, multiwavelength optomechanical behavior.

  1. X-ray and extreme ultraviolet spectroscopy on DIII-D

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Victor, Brian S.; Allen, Steve L.; Beiersdorfer, P.

    Two spectrometers were installed to measure tungsten emission in the core of DIII-D plasmas during a metal rings experimental campaign. The spectral range of the high-resolution (1340 spectral channels), variable-ruled grating X-ray and Extreme Ultraviolet Spectrometer (XEUS) extends from10–71more » $$\\dot{A}$$ . The spectral range of the second spectrometer, the Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS), measures between 31–174$$\\dot{A}$$ . Three groups of tungsten lines were identified with XEUS: W 38+-W 45+ from 47–63$$\\dot{A}$$ , W 27+-W 35+ from 45–55$$\\dot{A}$$ , and W 28+-W 33+ from 16–30$$\\dot{A}$$ . Emission lines from tungsten charge states W 28+, W 43+, W 44+, and W 45+ are identified and the line amplitude is presented versus time. Peak emission of W 43+-W 45+ occurs between core Te=2.5-3 keV, and peak emission of W28+ occurs at core Te 1:3 keV. One group of tungsten lines, W 40+-W 45+, between 120–140$$\\dot{A}$$ , was identified with LoWEUS. W 43+- W 45+ lines measured with LoWEUS track the sawtooth cycle. Furthermore, sensitivity to the sawtooth cycle and the correlation of the peak emission with core electron temperature show that these spectrometers track the on-axis tungsten emission of DIII-D plasmas.« less

  2. Extreme ultraviolet spectra of Venusian airglow observed by EXCEED

    NASA Astrophysics Data System (ADS)

    Nara, Yusuke; Yoshikawa, Ichiro; Yoshioka, Kazuo; Murakami, Go; Kimura, Tomoki; Yamazaki, Atsushi; Tsuchiya, Fuminori; Kuwabara, Masaki; Iwagami, Naomoto

    2018-06-01

    Extreme ultraviolet (EUV) spectra of Venus in the wavelength range 520 - 1480 Å with 3 - 4 Å resolutions were obtained in March 2014 by an EUV imaging spectrometer EXCEED (Extreme Ultraviolet Spectroscope for Exospheric Dynamics) on the HISAKI spacecraft. Due to its high sensitivity and long exposure time, many new emission lines and bands were identified. Already known emissions such as the O II 834 Å, O I 989 Å, H ILy - β 1026 Å, and the C I 1277 Å lines (Broadfoot et al., 1974; Bertaux et al., 1980; Feldman et al., 2000) are also detected in the EXCEED spectrum. In addition, N2 band systems such as the Lyman-Birge-Hopfield (a 1Πg - X 1Σg+) (2, 0), (2, 1), (3, 1), (3, 2) and (5, 3) bands, the Birge-Hopfield (b1Πu - X 1 Σg+) (1, 3) band, and the Carroll-Yoshino (c 4‧ 1 Σu+ - X 1Σg+) (0, 0) and (0, 1) bands together are identified for the first time in the Venusian airglow. We also identified the CO Hopfield-Birge (B 1Σ+ - X 1Σ+) (1, 0) band in addition to the already known (0, 0) band, and the CO Hopfield-Birge (C 1Σ+ - X 1Σ+) (0, 1), (0, 2) bands in addition to the already known (0, 0) band (Feldman et al., 2000; Gérard et al., 2011).

  3. Thin film optical coatings for the ultraviolet spectral region

    NASA Astrophysics Data System (ADS)

    Torchio, P.; Albrand, G.; Alvisi, M.; Amra, C.; Rauf, H.; Cousin, B.; Otrio, G.

    2017-11-01

    The applications and innovations related to the ultraviolet field are today in strong growth. To satisfy these developments which go from biomedical to the large equipment like the Storage Ring Free Electron Laser, it is crucial to control with an extreme precision the optical performances, in using the substrates and the thin film materials impossible to circumvent in this spectral range. In particular, the reduction of the losses by electromagnetic diffusion, Joule effect absorption, or the behavior under UV luminous flows of power, resistance to surrounding particulate flows... become top priority which concerns a broad European and international community. Our laboratory has the theoretical, experimental and technological tools to design and fabricate numerous multilayer coatings with desirable optical properties in the visible and infrared spectral ranges. We have extended our expertise to the ultraviolet. We present here some results on high reflectivity multidielectric mirrors towards 250 nm in wavelength, produced by Ion Plating Deposition. The latter technique allows us to obtain surface treatments with low absorption and high resistance. We give in this study the UV transparent materials and the manufacturing technology which have been the best suited to meet requirements. Single UV layers were deposited and characterized. HfO2/SiO2 mirrors with a reflectance higher than 99% at 300 nm were obtained. Optical and non-optical characterizations such as UV spectrophotometric measurements, X-Ray Diffraction spectra, Scanning Electron Microscope and Atomic Force Microscope images were performed

  4. X-ray and extreme ultraviolet spectroscopy on DIII-D

    DOE PAGES

    Victor, Brian S.; Allen, Steve L.; Beiersdorfer, P.; ...

    2017-06-14

    Two spectrometers were installed to measure tungsten emission in the core of DIII-D plasmas during a metal rings experimental campaign. The spectral range of the high-resolution (1340 spectral channels), variable-ruled grating X-ray and Extreme Ultraviolet Spectrometer (XEUS) extends from10–71more » $$\\dot{A}$$ . The spectral range of the second spectrometer, the Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS), measures between 31–174$$\\dot{A}$$ . Three groups of tungsten lines were identified with XEUS: W 38+-W 45+ from 47–63$$\\dot{A}$$ , W 27+-W 35+ from 45–55$$\\dot{A}$$ , and W 28+-W 33+ from 16–30$$\\dot{A}$$ . Emission lines from tungsten charge states W 28+, W 43+, W 44+, and W 45+ are identified and the line amplitude is presented versus time. Peak emission of W 43+-W 45+ occurs between core Te=2.5-3 keV, and peak emission of W28+ occurs at core Te 1:3 keV. One group of tungsten lines, W 40+-W 45+, between 120–140$$\\dot{A}$$ , was identified with LoWEUS. W 43+- W 45+ lines measured with LoWEUS track the sawtooth cycle. Furthermore, sensitivity to the sawtooth cycle and the correlation of the peak emission with core electron temperature show that these spectrometers track the on-axis tungsten emission of DIII-D plasmas.« less

  5. Future Large-Aperture Ultraviolet/Optical/Infrared Space Observatory

    NASA Technical Reports Server (NTRS)

    Thronson, Harley; Mandell, Avi; Polidan, Ron; Tumlinson, Jason

    2016-01-01

    Since the beginning of modern astronomical science in the early 1900s, astronomers have yearned to escape the turbulence and absorption of Earth's atmosphere by placing observatories in space. One of the first papers to lay out the advantages of space astronomy was by Lyman Spitzer in 1946, "Astronomical Advantages of an Extra-Terrestrial Observatory," though later in life he minimized the influence of this work. Since that time, and especially gaining momentum in the 1960s after the launch of Sputnik, astronomers, technologists, and engineers continued to advance, organizing scientific conferences, advocating for necessary technologies, and assessing sophisticated designs for increasingly ambitious space observations at ultraviolet, visual, and infrared (UVOIR) wavelengths. These community-wide endeavors, combined with the explosion in technological capability enabled by the Apollo era, led to rapid advancement in space observatory performance that culminated in the spectacularly successful Hubble Space Telescope (HST), launched in 1990 and still returning surpassing scientific results.

  6. Wavelength band selection method for multispectral target detection.

    PubMed

    Karlholm, Jörgen; Renhorn, Ingmar

    2002-11-10

    A framework is proposed for the selection of wavelength bands for multispectral sensors by use of hyperspectral reference data. Using the results from the detection theory we derive a cost function that is minimized by a set of spectral bands optimal in terms of detection performance for discrimination between a class of small rare targets and clutter with known spectral distribution. The method may be used, e.g., in the design of multispectral infrared search and track and electro-optical missile warning sensors, where a low false-alarm rate and a high-detection probability for detection of small targets against a clutter background are of critical importance, but the required high frame rate prevents the use of hyperspectral sensors.

  7. Effects of ultraviolet radiation, visible light, and infrared radiation on erythema and pigmentation: a review.

    PubMed

    Sklar, Lindsay R; Almutawa, Fahad; Lim, Henry W; Hamzavi, Iltefat

    2013-01-01

    The effects of ultraviolet radiation, visible light, and infrared radiation on cutaneous erythema, immediate pigment darkening, persistent pigment darkening, and delayed tanning are affected by a variety of factors. Some of these factors include the depth of cutaneous penetration of the specific wavelength, the individual skin type, and the absorption spectra of the different chromophores in the skin. UVB is an effective spectrum to induce erythema, which is followed by delayed tanning. UVA induces immediate pigment darkening, persistent pigment darkening, and delayed tanning. At high doses, UVA (primarily UVA2) can also induce erythema in individuals with skin types I-II. Visible light has been shown to induce erythema and a tanning response in dark skin, but not in fair skinned individuals. Infrared radiation produces erythema, which is probably a thermal effect. In this article we reviewed the available literature on the effects of ultraviolet radiation, visible light, and infrared radiation on the skin in regards to erythema and pigmentation. Much remains to be learned on the cutaneous effects of visible light and infrared radiation.

  8. Multi-wavelength Spectral Analysis of Ellerman Bombs Observed by FISS and IRIS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Jie; Ding, M. D.; Cao, Wenda, E-mail: dmd@nju.edu.cn

    Ellerman bombs (EBs) are a kind of solar activity that is suggested to occur in the lower solar atmosphere. Recent observations using the Interface Region Imaging Spectrograph (IRIS) show connections between EBs and IRIS bombs (IBs), which imply that EBs might be heated to a much higher temperature (8 × 10{sup 4} K) than previous results. Here we perform a spectral analysis of EBs simultaneously observed by the Fast Imaging Solar Spectrograph and IRIS. The observational results show clear evidence of heating in the lower atmosphere, indicated by the wing enhancement in H α , Ca ii 8542 Å, andmore » Mg ii triplet lines and also by brightenings in images of the 1700 Å and 2832 Å ultraviolet continuum channels. Additionally, the intensity of the Mg ii triplet line is correlated with that of H α when an EB occurs, suggesting the possibility of using the triplet as an alternative way to identify EBs. However, we do not find any signal in IRIS hotter lines (C ii and Si iv). For further analysis, we employ a two-cloud model to fit the two chromospheric lines (H α and Ca ii 8542 Å) simultaneously, and obtain a temperature enhancement of 2300 K for a strong EB. This temperature is among the highest of previous modeling results, albeit still insufficient to produce IB signatures at ultraviolet wavelengths.« less

  9. Tuning extreme ultraviolet emission for optimum coupling with multilayer mirrors for future lithography through control of ionic charge states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohashi, Hayato, E-mail: ohashi@cc.utsunomiya-u.ac.jp; Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Suzuki, Yuhei

    2014-01-21

    We report on the identification of the optimum plasma conditions for a laser-produced plasma source for efficient coupling with multilayer mirrors at 6.x nm for beyond extreme ultraviolet lithography. A small shift to lower energies of the peak emission for Nd:YAG laser-produced gadolinium plasmas was observed with increasing laser power density. Charge-defined emission spectra were observed in electron beam ion trap (EBIT) studies and the charge states responsible identified by use of the flexible atomic code (FAC). The EBIT spectra displayed a larger systematic shift of the peak wavelength of intense emission at 6.x nm to longer wavelengths with increasingmore » ionic charge. This combination of spectra enabled the key ion stage to be confirmed as Gd{sup 18+}, over a range of laser power densities, with contributions from Gd{sup 17+} and Gd{sup 19+} responsible for the slight shift to longer wavelengths in the laser-plasma spectra. The FAC calculation also identified the origin of observed out-of-band emission and the charge states responsible.« less

  10. Improved wavelengths for Fe V and Ni V for analysis of spectra of white dwarf stellar stars

    NASA Astrophysics Data System (ADS)

    Ward, Jacob; Nave, Gillian

    2015-08-01

    A recent paper by J.C. Berengut et al. tests for a potential variation in the fine-structure constant, α, in the presence of a high gravitational field through spectral analysis of white-dwarf stars. The spectrum of G191-B2B has prominent Fe V and Ni V lines in the vacuum ultraviolet (VUV) region that were used to determine any variation in α via observed shifts in their wavelengths. Although no strong evidence for a variation was found, the authors did find a difference between values obtained for Fe V and Ni V that were indicative of a problem with the laboratory wavelengths. The laboratory wavelengths dominate the uncertainty of the measured variation, so improved values would tighten the constraints on the variation of α.We have re-measured the spectra of Fe V and Ni V spectra in the VUV in order to reduce the wavelength uncertainties and put the two spectra on a consistent wavelength scale. The spectra were produced by a sliding spark light source with electrodes made of invar, an iron nickel alloy. Spectra of Fe V and Ni V were obtained using peak currents of 750-2000 A. The spectra were recorded using the NIST Normal Incidence Vacuum Spectrograph with phosphor image plates and photographic plates as detectors. Wavelengths from 1100 Å to 1800 Å were covered in a single exposure. A spectrum of a Pt/Ne hollow cathode lamp was also recorded for wavelength calibration.The spectra recorded on photographic plates are better resolved than the phosphor image plate spectra and are being measured in two ways. The first measures the positions of the spectral lines on a comparator, traditionally used to measure many archival spectra at NIST. The second uses a commercial image scanner to obtain a digital image of the plate that can be analyzed using line fitting software. Preliminary analysis of these spectra indicates that the literature values of the Fe V and Ni V wavelengths are not on the same scale and differ from our new measurements by up to 0.02 Å in some

  11. Classifying the Basic Parameters of Ultraviolet Copper Bromide Laser

    NASA Astrophysics Data System (ADS)

    Gocheva-Ilieva, S. G.; Iliev, I. P.; Temelkov, K. A.; Vuchkov, N. K.; Sabotinov, N. V.

    2009-10-01

    The performance of deep ultraviolet copper bromide lasers is of great importance because of their applications in medicine, microbiology, high-precision processing of new materials, high-resolution laser lithography in microelectronics, high-density optical recording of information, laser-induced fluorescence in plasma and wide-gap semiconductors and more. In this paper we present a statistical study on the classification of 12 basic lasing parameters, by using different agglomerative methods of cluster analysis. The results are based on a big amount of experimental data for UV Cu+ Ne-CuBr laser with wavelengths 248.6 nm, 252.9 nm, 260.0 nm and 270.3 nm, obtained in Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences. The relevant influence of parameters on laser generation is also evaluated. The results are applicable in computer modeling and planning the experiments and further laser development with improved output characteristics.

  12. On the Hole Injection for III-Nitride Based Deep Ultraviolet Light-Emitting Diodes.

    PubMed

    Li, Luping; Zhang, Yonghui; Xu, Shu; Bi, Wengang; Zhang, Zi-Hui; Kuo, Hao-Chung

    2017-10-24

    The hole injection is one of the bottlenecks that strongly hinder the quantum efficiency and the optical power for deep ultraviolet light-emitting diodes (DUV LEDs) with the emission wavelength smaller than 360 nm. The hole injection efficiency for DUV LEDs is co-affected by the p-type ohmic contact, the p-type hole injection layer, the p-type electron blocking layer and the multiple quantum wells. In this report, we review a large diversity of advances that are currently adopted to increase the hole injection efficiency for DUV LEDs. Moreover, by disclosing the underlying device physics, the design strategies that we can follow have also been suggested to improve the hole injection for DUV LEDs.

  13. On the Hole Injection for III-Nitride Based Deep Ultraviolet Light-Emitting Diodes

    PubMed Central

    Li, Luping; Zhang, Yonghui; Kuo, Hao-Chung

    2017-01-01

    The hole injection is one of the bottlenecks that strongly hinder the quantum efficiency and the optical power for deep ultraviolet light-emitting diodes (DUV LEDs) with the emission wavelength smaller than 360 nm. The hole injection efficiency for DUV LEDs is co-affected by the p-type ohmic contact, the p-type hole injection layer, the p-type electron blocking layer and the multiple quantum wells. In this report, we review a large diversity of advances that are currently adopted to increase the hole injection efficiency for DUV LEDs. Moreover, by disclosing the underlying device physics, the design strategies that we can follow have also been suggested to improve the hole injection for DUV LEDs. PMID:29073738

  14. Future Directions in Ultraviolet Spectroscopy

    NASA Technical Reports Server (NTRS)

    Sonneborn, George (Editor); Moos, Warren; VanSteenberg, Michael

    2009-01-01

    The 'Future Directions in Ultraviolet Spectroscopy' conference was inspired by the accomplishments of the Far Ultraviolet Spectroscopic Explorer (FUSE) Mission. The FUSE mission was launched in June 1999 and spent over eight years exploring the far-ultraviolet universe, gathering over 64 million seconds of high-resolution spectral data on nearly 3000 astronomical targets. The goal of this conference was not only to celebrate the accomplishments of FUSE, but to look toward the future and understand the major scientific drivers for the ultraviolet capabilities of the next generation fo space observatories. Invited speakers presented discussions based on measurements made by FUSE and other ultraviolet instruments, assessed their connection with measurements made with other techniques and, where appropriate, discussed the implications of low-z measurements for high-z phenomena. In addition to the oral presentations, many participants presented poster papers. The breadth of these presentation made it clear that much good science is still in progress with FUSE data and that these result will continue to have relevance in many scientific areas.

  15. Highly ultraviolet transparent textured indium tin oxide thin films and the application in light emitting diodes

    NASA Astrophysics Data System (ADS)

    Chen, Zimin; Zhuo, Yi; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Chengxin; Wang, Gang

    2017-06-01

    Various kinds of materials have been developed as transparent conductors for applications in semiconductor optoelectronic devices. However, there is a bottleneck that transparent conductive materials lose their transparency at ultraviolet (UV) wavelengths and could not meet the demands for commercial UV device applications. In this work, textured indium tin oxide (ITO) is grown and its potential to be used at UV wavelengths is explored. It is observed that the pronounced Burstein-Moss effect could widen the optical bandgap of the textured ITO to 4.7 eV. The average transmittance in UVA (315 nm-400 nm) and UVB (280 nm-315 nm) ranges is as high as 94% and 74%, respectively. The excellent optical property of textured ITO is attributed to its unique structural property. The compatibility of textured ITO thin films to the device fabrication is demonstrated on 368-nm nitride-based light emitting diodes, and the enhancement of light output power by 14.8% is observed compared to sputtered ITO.

  16. Wavelength-stepped, actively mode-locked fiber laser based on wavelength-division-multiplexed optical delay lines

    NASA Astrophysics Data System (ADS)

    Lee, Eunjoo; Kim, Byoung Yoon

    2017-12-01

    We propose a new scheme for an actively mode-locked wavelength-swept fiber laser that produces a train of discretely wavelength-stepped pulses from a short fiber cavity. Pulses with different wavelengths are split and combined by standard wavelength division multiplexers with fiber delay lines. As a proof of concept, we demonstrate a laser using an erbium doped fiber amplifier and commercially available wavelength-division multiplexers with wavelength spacing of 0.8 nm. The results show simultaneous mode-locking at three different wavelengths. Laser output parameters in time domain, optical and radio frequency spectral domain, and the noise characteristics are presented. Suggestions for the improved design are discussed.

  17. Compact advanced extreme-ultraviolet imaging spectrometer for spatiotemporally varying tungsten spectra from fusion plasmas.

    PubMed

    Song, Inwoo; Seon, C R; Hong, Joohwan; An, Y H; Barnsley, R; Guirlet, R; Choe, Wonho

    2017-09-01

    A compact advanced extreme-ultraviolet (EUV) spectrometer operating in the EUV wavelength range of a few nanometers to measure spatially resolved line emissions from tungsten (W) was developed for studying W transport in fusion plasmas. This system consists of two perpendicularly crossed slits-an entrance aperture and a space-resolved slit-inside a chamber operating as a pinhole, which enables the system to obtain a spatial distribution of line emissions. Moreover, a so-called v-shaped slit was devised to manage the aperture size for measuring the spatial resolution of the system caused by the finite width of the pinhole. A back-illuminated charge-coupled device was used as a detector with 2048 × 512 active pixels, each with dimensions of 13.5 × 13.5 μm 2 . After the alignment and installation on Korea superconducting tokamak advanced research, the preliminary results were obtained during the 2016 campaign. Several well-known carbon atomic lines in the 2-7 nm range originating from intrinsic carbon impurities were observed and used for wavelength calibration. Further, the time behavior of their spatial distributions is presented.

  18. Low temperature plasmas induced in SF6 by extreme ultraviolet (EUV) pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Skrzeczanowski, W.; Czwartos, J.; Kostecki, J.; Fiedorowicz, H.; Wachulak, P.; Fok, T.

    2018-06-01

    In this work, a comparative study of extreme ultraviolet (EUV) induced low temperature SF6-based plasmas, created using two different irradiation systems, was performed. Both systems utilized laser-produced plasma (LPP) EUV sources. The essential difference between the systems concerned the formation of the driving EUV beam. The first one contained an efficient ellipsoidal EUV collector allowing for focusing of the EUV radiation at a large distance from the LPP source. The spectrum of focused radiation was limited to the long-wavelength part of the total LPP emission, λ > 8 nm, due to the reflective properties of the collector. The second system did not contain any EUV collector. The gas to be ionized was injected in the vicinity of the LPP, at a distance of the order of 10 mm. In both systems, energies of the driving photons were high enough for dissociative ionization of the SF6 molecules and ionization of atoms or even singly charged ions. Plasmas, created due to these processes, were investigated by spectral measurements in the EUV, ultraviolet (UV), and visible (VIS) spectral ranges. These low temperature plasmas were employed for preliminary experiments concerning surface treatment. The formation of pronounced nanostructures on the silicon surface after plasma treatment was demonstrated.

  19. Expected scientific performance of the three spectrometers on the extreme ultraviolet explorer

    NASA Technical Reports Server (NTRS)

    Vallerga, J. V.; Jelinsky, P.; Vedder, P. W.; Malina, R. F.

    1990-01-01

    The expected in-orbit performance of the three spectrometers included on the Extreme Ultraviolet Explorer astronomical satellite is presented. Recent calibrations of the gratings, mirrors and detectors using monochromatic and continuum EUV light sources allow the calculation of the spectral resolution and throughput of the instrument. An effective area range of 0.2 to 2.8 sq cm is achieved over the wavelength range 70-600 A with a peak spectral resolution (FWHM) of 360 assuming a spacecraft pointing knowledge of 10 arc seconds (FWHM). For a 40,000 sec observation, the average 3 sigma sensitivity to a monochromatic line source is 0.003 photons/sq cm s. Simulated observations of known classes of EUV sources, such as hot white dwarfs, and cataclysmic variables are also presented.

  20. Viability of Cladosporium herbarum spores under 157 nm laser and vacuum ultraviolet irradiation, low temperature (10 K) and vacuum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarantopoulou, E., E-mail: esarant@eie.gr; Stefi, A.; Kollia, Z.

    Ultraviolet photons can damage microorganisms, which rarely survive prolonged irradiation. In addition to the need for intact DNA, cell viability is directly linked to the functionality of the cell wall and membrane. In this work, Cladosporium herbarum spore monolayers exhibit high viability (7%) when exposed to 157 nm laser irradiation (412 kJm⁻²) or vacuum-ultraviolet irradiation (110–180 nm) under standard pressure and temperature in a nitrogen atmosphere. Spore viability can be determined by atomic-force microscopy, nano-indentation, mass, μ-Raman and attenuated reflectance Fourier-transform far-infrared spectroscopies and DNA electrophoresis. Vacuum ultraviolet photons cause molecular damage to the cell wall, but radiation resistance inmore » spores arises from the activation of a photon-triggered signaling reaction, expressed via the exudation of intracellular substances, which, in combination with the low penetration depth of vacuum-ultraviolet photons, shields DNA from radiation. Resistance to phototoxicity under standard conditions was assessed, as was resistance to additional environmental stresses, including exposure in a vacuum, under different rates of change of pressure during pumping time and low (10 K) temperatures. Vacuum conditions were far more destructive to spores than vacuum-ultraviolet irradiation, and UV-B photons were two orders of magnitude more damaging than vacuum-ultraviolet photons. The viability of irradiated spores was also enhanced at 10 K. This work, in addition to contributing to the photonic control of the viability of microorganisms exposed under extreme conditions, including decontamination of biological warfare agents, outlines the basis for identifying bio-signaling in vivo using physical methodologies.« less