Sample records for vacuum chemical epitaxy

  1. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  2. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  3. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  4. 4H-SiC p i n diodes grown by sublimation epitaxy in vacuum (SEV) and their application as microwave diodes

    NASA Astrophysics Data System (ADS)

    Camara, N.; Zekentes, K.; Zelenin, V. V.; Abramov, P. L.; Kirillov, A. V.; Romanov, L. P.; Boltovets, N. S.; Krivutsa, V. A.; Thuaire, A.; Bano, E.; Tsoi, E.; Lebedev, A. A.

    2008-02-01

    Sublimation epitaxy under vacuum (SEV) was investigated as a method for growing 4H-SiC epitaxial structures for p-i-n diode fabrication. The SEV-grown 4H-SiC material was investigated with scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction, photo-luminescence spectroscopy (PL), cathodo-luminescence (CL) spectroscopy, photocurrent method for carrier diffusion length determination, electro-luminescence microscopy (EL), deep level transient spectroscopy (DLTS), C-V profiling and Hall-effect measurements. When possible, the same investigation techniques were used in parallel with similar layers grown by chemical vapour deposition (CVD) epitaxy and the physical properties of the two kind of epitaxied layers were compared. p-i-n diodes were fabricated in parallel on SEV and CVD-grown layers and showed close electrical performances in dc mode in term of capacitance, resistance and transient time switching, despite the lower mobility and the diffusion length of the SEV-grown layers. X-band microwave switches based on the SEV-grown p-i-n diodes have been demonstrated with insertion loss lower than 4 dB and an isolation higher than 17 dB. These single-pole single-throw (SPST) switches were able to handle a pulsed power up to 1800 W in isolation mode, similar to the value obtained with switches incorporating diodes with CVD-grown layers.

  5. Chemical solution synthesis and ferromagnetic resonance of epitaxial thin films of yttrium iron garnet

    NASA Astrophysics Data System (ADS)

    Lucas, Irene; Jiménez-Cavero, Pilar; Vila-Fungueiriño, J. M.; Magén, Cesar; Sangiao, Soraya; de Teresa, José Maria; Morellón, Luis; Rivadulla, Francisco

    2017-12-01

    We report the fabrication of epitaxial Y3F e5O12 (YIG) thin films on G d3G a5O12 (111) using a chemical solution method. Cubic YIG is a ferrimagnetic material at room temperature, with excellent magneto-optical properties, high electrical resistivity, and a very narrow ferromagnetic resonance, which makes it particularly suitable for applications in filters and resonators at microwave frequencies. But these properties depend on the precise stoichiometry and distribution of F e3 + ions among the octahedral/tetrahedral sites of a complex structure, which hampered the production of high-quality YIG thin films by affordable chemical methods. Here we report the chemical solution synthesis of YIG thin films, with excellent chemical, crystalline, and magnetic homogeneity. The films show a very narrow ferromagnetic resonance (long spin relaxation time), comparable to that obtained from high-vacuum physical deposition methods. These results demonstrate that chemical methods can compete to develop nanometer-thick YIG films with the quality required for spintronic devices and other high-frequency applications.

  6. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  7. Low-temperature pre-treatments in a vertical epitaxial reactor with an improved vacuum load-lock chamber

    NASA Astrophysics Data System (ADS)

    Wang, Jie; Inokuchi, Yasuhiro; Kunii, Yasuo

    2007-01-01

    Low-temperature (<750 °C) surface preparation for epitaxial growth poses extra challenges for both hardware of a vertical batch epitaxial reactor and chemistry of in situ pre-epi treatments. The vacuum load-lock chamber of the vertical batch tool has been improved to ensure that residual moisture and oxygen concentrations are suppressed to less than 0.1 ppm. Si-based and Cl-based gases or a mixture of these gases are investigated in terms of effectiveness to remove interfacial residual oxygen at low temperatures (<750 °C). Under an optimized process condition, we found that interfacial oxygen can be reduced to less than 1 × 1012 cm-2 levels by low-temperature treatment with a mixture of Si-based and Cl-based gases.

  8. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  9. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  10. Epitaxial ternary nitride thin films prepared by a chemical solution method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Hongmei; Feldmann, David M; Wang, Haiyan

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  11. Chemical beam epitaxy for high efficiency photovoltaic devices

    NASA Technical Reports Server (NTRS)

    Bensaoula, A.; Freundlich, A.; Vilela, M. F.; Medelci, N.; Renaud, P.

    1994-01-01

    InP-based multijunction tandem solar cells show great promise for the conversion efficiency (eta) and high radiation resistance. InP and its related ternary and quanternary compound semiconductors such as InGaAs and InGaAsP offer desirable combinations for energy bandgap values which are very suitable for multijunction tandem solar cell applications. The monolithically integrated InP/In(0.53)Ga(0.47)As tandem solar cells are expected to reach efficiencies above 30 percent. Wanlass, et.al., have reported AMO efficiencies as high as 20.1% for two terminal cells fabricated using atmospheric-pressure metalorganic vapor phase epitaxy (APMOVPE). The main limitations in their technique are first related to the degradation of the intercell ohmic contact (IOC), in this case the In(0.53)Ga(0.47)As tunnel junction during the growth of the top InP subcell structure, and second to the current matching, often limited by the In(0.53)Ga(0.47)As bottom subcell. Chemical beam epitaxy (CBE) has been shown to allow the growth of high quality materials with reproducible complex compositional and doping profiles. The main advantage of CBE compared to metalorganic chemical vapor deposition (MOCVD), the most popular technique for InP-based photovoltaic device fabrication, is the ability to grow high purity epilayers at much lower temperatures (450 C - 530 C). In a recent report it was shown that cost-wise CBE is a breakthrough technology for photovoltaic (PV) solar energy progress in the energy conversion efficiency of InP-based solar cells fabricated using chemical beam epitaxy. This communication summarizes our recent results on PV devices and demonstrates the strength of this new technology.

  12. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  13. Low-temperature, ultrahigh-vacuum tip-enhanced Raman spectroscopy combined with molecular beam epitaxy for in situ two-dimensional materials' studies

    NASA Astrophysics Data System (ADS)

    Sheng, Shaoxiang; Li, Wenbin; Gou, Jian; Cheng, Peng; Chen, Lan; Wu, Kehui

    2018-05-01

    Tip-enhanced Raman spectroscopy (TERS), which combines scanning probe microscopy with the Raman spectroscopy, is capable to access the local structure and chemical information simultaneously. However, the application of ambient TERS is limited by the unstable and poorly controllable experimental conditions. Here, we designed a high performance TERS system based on a low-temperature ultrahigh-vacuum scanning tunneling microscope (LT-UHV-STM) and combined with a molecular beam epitaxy (MBE) system. It can be used for growing two-dimensional (2D) materials and for in situ STM and TERS characterization. Using a 2D silicene sheet on the Ag(111) surface as a model system, we achieved an unprecedented 109 Raman single enhancement factor in combination with a TERS spatial resolution down to 0.5 nm. The results show that TERS combined with a MBE system can be a powerful tool to study low dimensional materials and surface science.

  14. Growth control of oxygen stoichiometry in homoepitaxial SrTiO3 films by pulsed laser epitaxy in high vacuum

    PubMed Central

    Lee, Ho Nyung; Ambrose Seo, Sung S.; Choi, Woo Seok; Rouleau, Christopher M.

    2016-01-01

    In many transition metal oxides, oxygen stoichiometry is one of the most critical parameters that plays a key role in determining the structural, physical, optical, and electrochemical properties of the material. However, controlling the growth to obtain high quality single crystal films having the right oxygen stoichiometry, especially in a high vacuum environment, has been viewed as a challenge. In this work, we show that, through proper control of the plume kinetic energy, stoichiometric crystalline films can be synthesized without generating oxygen defects even in high vacuum. We use a model homoepitaxial system of SrTiO3 (STO) thin films on single crystal STO substrates. Physical property measurements indicate that oxygen vacancy generation in high vacuum is strongly influenced by the energetics of the laser plume, and it can be controlled by proper laser beam delivery. Therefore, our finding not only provides essential insight into oxygen stoichiometry control in high vacuum for understanding the fundamental properties of STO-based thin films and heterostructures, but expands the utility of pulsed laser epitaxy of other materials as well. PMID:26823119

  15. Use of space ultra-vacuum for high quality semiconductor thin film growth

    NASA Technical Reports Server (NTRS)

    Ignatiev, A.; Sterling, M.; Sega, R. M.

    1992-01-01

    The utilization of space for materials processing is being expanded through a unique concept of epitaxial thin film growth in the ultra-vacuum of low earth orbit (LEO). This condition can be created in the wake of an orbiting space vehicle; and assuming that the vehicle itself does not pertub the environment, vacuum levels of better than 10 exp -14 torr can be attained. This vacuum environment has the capacity of greatly enhancing epitaxial thin film growth and will be the focus of experiments conducted aboard the Wake Shield Facility (WSF) currently being developed by the Space Vacuum Epitaxy Center (SVEC), Industry, and NASA.

  16. Growth control of oxygen stoichiometry in homoepitaxial SrTiO 3 films by pulsed laser epitaxy in high vacuum

    DOE PAGES

    Lee, Ho Nyung; Ambrose Seo, Sung S.; Choi, Woo Seok; ...

    2016-01-29

    In many transition metal oxides, oxygen stoichiometry is one of the most critical parameters that plays a key role in determining the structural, physical, optical, and electrochemical properties of the material. However, controlling the growth to obtain high quality single crystal films having the right oxygen stoichiometry, especially in a high vacuum environment, has been viewed as a challenge. In this work, we show that, through proper control of the plume kinetic energy, stoichiometric crystalline films can be synthesized without generating oxygen defects even in high vacuum. We use a model homoepitaxial system of SrTiO 3 (STO) thin films onmore » single crystal STO substrates. Physical property measurements indicate that oxygen vacancy generation in high vacuum is strongly influenced by the energetics of the laser plume, and it can be controlled by proper laser beam delivery. Thus, our finding not only provides essential insight into oxygen stoichiometry control in high vacuum for understanding the fundamental properties of STO-based thin films and heterostructures, but it expands the utility of pulsed laser epitaxy of other materials as well.₃« less

  17. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  18. Chemically Triggered Formation of Two-Dimensional Epitaxial Quantum Dot Superlattices.

    PubMed

    Walravens, Willem; De Roo, Jonathan; Drijvers, Emile; Ten Brinck, Stephanie; Solano, Eduardo; Dendooven, Jolien; Detavernier, Christophe; Infante, Ivan; Hens, Zeger

    2016-07-26

    Two dimensional superlattices of epitaxially connected quantum dots enable size-quantization effects to be combined with high charge carrier mobilities, an essential prerequisite for highly performing QD devices based on charge transport. Here, we demonstrate that surface active additives known to restore nanocrystal stoichiometry can trigger the formation of epitaxial superlattices of PbSe and PbS quantum dots. More specifically, we show that both chalcogen-adding (sodium sulfide) and lead oleate displacing (amines) additives induce small area epitaxial superlattices of PbSe quantum dots. In the latter case, the amine basicity is a sensitive handle to tune the superlattice symmetry, with strong and weak bases yielding pseudohexagonal or quasi-square lattices, respectively. Through density functional theory calculations and in situ titrations monitored by nuclear magnetic resonance spectroscopy, we link this observation to the concomitantly different coordination enthalpy and ligand displacement potency of the amine. Next to that, an initial ∼10% reduction of the initial ligand density prior to monolayer formation and addition of a mild, lead oleate displacing chemical trigger such as aniline proved key to induce square superlattices with long-range, square micrometer order; an effect that is the more pronounced the larger the quantum dots. Because the approach applies to PbS quantum dots as well, we conclude that it offers a reproducible and rational method for the formation of highly ordered epitaxial quantum dot superlattices.

  19. Effects of high source flow and high pumping speed on gas source molecular beam epitaxy / chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    McCollum, M. J.; Jackson, S. L.; Szafranek, I.; Stillman, G. E.

    1990-10-01

    We report the growth of GaAs by molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), and chemical beam epitaxy (CBE) in an epitaxial III-V reactor which features high pumping speed. The system is comprised of a modified Perkin-Elmer 430P molecular beam epitaxy system and a custom gas source panel from Emcore. The growth chamber is pumped with a 7000 1/s (He) diffusion pump (Varian VHS-10 with Monsanto Santovac 5 oil). The gas source panel includes pressure based flow controllers (MKS 1150) allowing triethylaluminum (TEA), triethylgallium (TEG), and trimethylindium (TMI) to be supplied without the use of hydrogen. All source lines, including arsine and phosphine, are maintained below atmospheric pressure. The high pumping speed allows total system flow rates as high as 100 SCCM and V/III ratios as high as 100. The purity of GaAs grown by MBE in this system increases with pumping speed. GaAs layers grown by GSMBE with arsine flows of 10 and 20 SCCM have electron concentrations of 1 × 10 15 cm -3 (μ 77=48,000 cm 2/V·) and 2 × 10 14 cm -3 (μ 77=78,000 cm 2/V·s) respectively. El ectron concentration varies with hydride injector temperature such that the minimum in electron concentration occurs for less than complete cracking. The effect of V/III ratio and the use of a metal eutectic bubbler on residual carrier concentration in GaAs grown by CBE is presented. Intentional Si and Be doping of CBE grown GaAs is demonstrated at a high growth rate of 5.4 μm/h.

  20. Chemically stabilized epitaxial wurtzite-BN thin film

    NASA Astrophysics Data System (ADS)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along <0001> direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  1. Consequences of plasma oxidation and vacuum annealing on the chemical properties and electron accumulation of In2O3 surfaces

    NASA Astrophysics Data System (ADS)

    Berthold, Theresa; Rombach, Julius; Stauden, Thomas; Polyakov, Vladimir; Cimalla, Volker; Krischok, Stefan; Bierwagen, Oliver; Himmerlich, Marcel

    2016-12-01

    The influence of oxygen plasma treatments on the surface chemistry and electronic properties of unintentionally doped and Mg-doped In2O3(111) films grown by plasma-assisted molecular beam epitaxy or metal-organic chemical vapor deposition is studied by photoelectron spectroscopy. We evaluate the impact of semiconductor processing technology relevant treatments by an inductively coupled oxygen plasma on the electronic surface properties. In order to determine the underlying reaction processes and chemical changes during film surface-oxygen plasma interaction and to identify reasons for the induced electron depletion, in situ characterization was performed implementing a dielectric barrier discharge oxygen plasma as well as vacuum annealing. The strong depletion of the initial surface electron accumulation layer is identified to be caused by adsorption of reactive oxygen species, which induce an electron transfer from the semiconductor to localized adsorbate states. The chemical modification is found to be restricted to the topmost surface and adsorbate layers. The change in band bending mainly depends on the amount of attached oxygen adatoms and the film bulk electron concentration as confirmed by calculations of the influence of surface state density on the electron concentration and band edge profile using coupled Schrödinger-Poisson calculations. During plasma oxidation, hydrocarbon surface impurities are effectively removed and surface defect states, attributed to oxygen vacancies, vanish. The recurring surface electron accumulation after subsequent vacuum annealing can be consequently explained by surface oxygen vacancies.

  2. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  3. Sharp chemical interface in epitaxial Fe{sub 3}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gálvez, S.; Rubio-Zuazo, J., E-mail: rubio@esrf.fr; Salas-Colera, E.

    Chemically sharp interface was obtained on single phase single oriented Fe{sub 3}O{sub 4} (001) thin film (7 nm) grown on NiO (001) substrate using oxygen assisted molecular beam epitaxy. Refinement of the atomic structure, stoichiometry, and oxygen vacancies were determined by soft and hard x-ray photoelectron spectroscopy, low energy electron diffraction and synchrotron based X-ray reflectivity, and X-ray diffraction. Our results demonstrate an epitaxial growth of the magnetite layer, perfect iron stoichiometry, absence of oxygen vacancies, and the existence of an intermixing free interface. Consistent magnetic and electrical characterizations are also shown.

  4. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  5. The influence of selective chemical doping on clean, low-carrier density SiC epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Chuang, Chiashain; Yang, Yanfei; Huang, Lung-I.; Liang, Chi-Te; Elmquist, Randolph E.; National Institute of of Standards; Technology Collaboration; National Taiwan University, Department of Physics Collaboration

    2015-03-01

    The charge-transfer effect of ambient air on magneto-transport in polymer-free SiC graphene was investigated. Interestingly, adsorption of atmospheric gas molecules on clean epitaxial graphene can reduce the carrier density to near charge neutrality, allowing observation of highly precise v = 2 quantum Hall plateaus. The atmospheric adsorbates were reproducibly removed and pure gases (N2, O2, CO2, H2O) were used to form new individual adsorbates on SiC graphene. Our experimental results (τt/τq ~ 2) support the theoretical predictions for the ratio of transport relaxation time τt to quantum lifetime τq in clean graphene. The analysis of Shubnikov-de Haas oscillations at intermediate doping levels indicates that the carrier scattering is reduced by water and oxygen so as to increase both the classical and quantum mobility. This study points to the key dopant gases in ambient air and also paves the way towards extremely precise quantized Hall resistance standards in epitaxial graphene systems with carrier density tuned by exposure to highly pure gases and vacuum annealing treatment. National Institute of Standard and Technology.

  6. Role of thermal processes in dewetting of epitaxial Ag(111) film on Si(111)

    DOE PAGES

    Sanders, Charlotte E.; Zhang, Chendong D.; Kellogg, Gary L.; ...

    2014-08-01

    Epitaxially grown silver (Ag) film on silicon (Si) is an optimal plasmonic device platform, but its technological utility has been limited by its tendency to dewet rapidly under ambient conditions (standard temperature and pressure). The mechanisms driving this dewetting have not heretofore been determined. In our study, scanning probe microscopy and low-energy electron microscopy are used to compare the morphological evolution of epitaxial Ag(111)/Si(111) under ambient conditions with that of similarly prepared films heated under ultra-high vacuum (UHV) conditions. Furthermore, dewetting is seen to be initiated with the formation of pinholes, which might function to relieve strain in the film.more » We find that in the UHV environment, dewetting is determined by thermal processes, and while under ambient conditions, thermal processes are not required. Finally, we conclude that dewetting in ambient conditions is triggered by some chemical process, most likely oxidation.« less

  7. Epitaxial Electrodeposition of Methylammonium Lead Iodide Perovskites

    DOE PAGES

    Koza, Jakub A.; Hill, James C.; Demster, Ashley C.; ...

    2015-12-16

    Here, an electrochemical/chemical route is introduced to deposit both textured and epitaxial films of methylammonium lead iodide (MAPbI 3) perovskites. The perovskite films are produced by chemical conversion of lead dioxide films that have been electrodeposited as either textured or epitaxial films onto [111]-textured Au and [100] and [111] single-crystal Au substrates. The epitaxial relationships for the MAPbI 3 films are MAPbI 3(001)[010]∥PbO 2(100)<001> and MAPbI 3(110)[111]∥PbO 2(100)<001> regardless of the Au substrate orientation, because the in-plane order of the converted film is controlled by the epitaxial PbO 2 precursor film. The textured and epitaxial MAPbI 3 films both havemore » trap densities lower than and photoluminescence intensities higher than those of polycrystalline films produced by spin coating.« less

  8. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  9. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001) surface: nucleation, morphology, and CMOS compatibility

    PubMed Central

    2011-01-01

    Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C) and high (≳600°C) temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001) surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature. PMID:21892938

  10. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001) surface: nucleation, morphology, and CMOS compatibility.

    PubMed

    Yuryev, Vladimir A; Arapkina, Larisa V

    2011-09-05

    Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C) and high (≳600°C) temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001) surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  11. Elimination of oval defects in epilayers by using chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tsang, W. T.

    1985-06-01

    One ubiquitous problem that continues to haunt over molecular beam epitaxy (MBE) persistently throughout all these year and still without a good controllable solution is the presence of oval defects in gallium-containing compound semiconductor epilayers. While these defects have not presented major problems for discrete devices, they are likely to be a serious obstacle for integrated circuit applications. We showed that oval defects were present in GaAs and In0.53Ga0.47As epilayers grown by conventional MBE process using elemental Ga and In as group III sources, and either solid As4 or thermally cracked As4 from gas mixtures of trimethylarsine and hydrogen. On the other hand, the use of the chemical beam epitaxy in which the Ga and In were derived by thermal pyrolysis of their metal alkyls at the heated substrate surface resulted reproducibly in epilayers free of oval defects over the entire substrate surface of ˜8 cm diameter (limited by the substrate holder size). On the basis of the present results it is evident that the oval defects were related to the use of elemental Ga melt as the evaporant in conventional MBE.

  12. Perfluoroalkyl chemicals in vacuum cleaner dust from 39 Wisconsin homes.

    PubMed

    Knobeloch, Lynda; Imm, Pamela; Anderson, Henry

    2012-08-01

    Perfluoroalkyl chemicals (PFCs) have been used as surfactants and stain repellants in a variety of consumer products for more than 50years and there is growing concern regarding their persistence and toxicity. Human exposure to these chemicals is essentially universal in North America and researchers have linked them to a variety of health problems ranging from higher rates of cancer, to developmental and reproductive problems, and higher cholesterol levels. Major exposure pathways are food and water ingestion, dust ingestion via hand to mouth transfer. In an effort to assess residential exposure, the Wisconsin Department of Health Services tested vacuum cleaner contents from thirty-nine homes for 16 perflouroalkyl chemicals. PFOS, PFOA, PFHxS, PFHpA and PFNA were found in all of the vacuum dust samples and dust from eight homes contained all 16 PFCs included in our analysis. The most commonly detected compounds were perfluorooctanesulfonate (PFOS), perfluorohexanesulfonate (PFHxS) and perfluorooctanoic acid (PFOA) which together made up 70% of the total PFC residues in dust from these homes. Summed PFC concentrations in these dust samples ranged from 70 to 2513ng/g (median 280ng/g). Our investigation suggests that these chemicals may be ubiquitous contaminants in US homes. Copyright © 2012 Elsevier Ltd. All rights reserved.

  13. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  14. Growth and Characterization of Epitaxial Piezoelectric and Semiconductor Films.

    DTIC Science & Technology

    1980-07-01

    quality epitaxial films at low growth rates. This process is limited to films up to a few microns thickness. The aluminum chloride/ ammonia CVD process has... scrubber through a rotary Vacuum pump maintaining Reactions.-DEZ is an electron deficient compound a pressure of about 400 Torr inside the reaction chain

  15. Microbial and Chemical Shelf-Life of Vacuum Steam-Pasteurized Whole Flaxseed and Milled Flaxseed.

    PubMed

    Shah, Manoj; Eklund, Bridget; Conde Lima, Luiz Gustavo; Bergholz, Teresa; Hall, Clifford

    2018-02-01

    Flaxseed is an oilseed with many health benefits. Flaxseed may be consumed raw or in processed form. In the raw form, there is a potential for microbial contamination. Several pasteurization methods have been used to reduce microbial contamination. However, such treatments may affect chemical properties of foods. In this study, vacuum steam-pasteurization was conducted on whole flaxseed and milled flaxseed using 4 different conditions (3 min at 75 °C, 3 min at 90 °C, 9 min at 90 °C, and 3 min at 105 °C). Microbial and chemical shelf-life was monitored for 28 wk (36 wk for aerobic plate counts). Significant reduction (P < 0.05) in microbial counts (total aerobic plate counts, and yeast and mold counts) occurred after pasteurization and during storage of both whole flaxseed and milled flaxseed. Although both the moisture content and a w increased after pasteurization, they were similar to the unpasteurized samples during storage. Peroxide value, free fatty acid, headspace volatiles, fatty acid profiles, oil content, and secoisolariciresinol diglucoside (SDG) content were chemical indices measured. Only small changes were observed in the chemical indices after vacuum steam-pasteurization for both pasteurized whole flaxseed and milled flaxseed as compared to the unpasteurized flaxseed at most instances. Vacuum steam-pasteurization can be used as a safe alternative for the microbial reduction of low-moisture products, such as flaxseed, without significantly affecting chemical stability. Vacuum steam-pasteurization can be effectively used for the treatment of whole flaxseed and milled flaxseed to reduce spoilage microorganisms, such as total aerobes and yeasts and molds. In addition, this pasteurization method had minimal effects on several chemical shelf-life parameters with positive impact on SDG of the processed flaxseed. © 2018 Institute of Food Technologists®.

  16. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  17. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  18. Microstructure and Mechanics of Superconductor Epitaxy via the Chemical Solution Deposition Method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Frederick F. Lange

    2006-11-30

    Executive Summary: Initially the funds were sufficient funds were awarded to support one graduate student and one post-doc. Lange, though other funds, also supported a graduate intern from ETH Zurich, Switzerland for a period of 6 months. The initial direction was to study the chemical solution deposition method to understand the microstructural and mechanical phenomena that currently limit the production of thick film, reliable superconductor wires. The study was focused on producing thicker buffer layer(s) on Ni-alloy substrates produced by the RABiTS method. It focused on the development of the microstructure during epitaxy, and the mechanical phenomena that produce cracksmore » during dip-coating, pyrolysis (decomposition of precursors during heating), crystallization and epitaxy. The initial direction of producing thicker layers of a know buffer layer material was redirected by co-workers at ORNL, in an attempt to epitaxially synthesize a potential buffer layer material, LaMnO3, via the solution route. After a more than a period of 6 months that showed that the LaMnO3 reacted with the Ni-W substrate at temperatures that could produce epitaxy, reviewers at the annual program review strongly recommended that the research was not yielding positive results. The only positive result presented at the meeting was that much thicker films could be produce by incorporating a polymer into the precursor that appeared to increase the precursor’s resistance to crack growth. Thus, to continue the program, the objectives were changed to find compositions with the perovskite structure that would be a) chemically compatible with either the Ni-W RABiTS or the MgO IBAD Ni-alloy substrates, and produce a better lattice parameter fit between either of the two substrates. At the start of the second year, the funding was reduced to 2/3’s of the first year level, which required the termination of the post-doc after approximately 5 months into the second year. From then on

  19. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  20. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    PubMed

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  1. Vacuum Ultraviolet Photoionization of Complex Chemical Systems

    DOE PAGES

    Kostko, Oleg; Bandyopadhyay, Biswajit; Ahmed, Musahid

    2016-02-24

    Tunable vacuum ultraviolet (VUV) radiation coupled to mass spectrometry is applied to the study of complex chemical systems in this paper. The identification of novel reactive intermediates and radicals is revealed in flame, pulsed photolysis, and pyrolysis reactors, leading to the elucidation of spectroscopy, reaction mechanisms, and kinetics. Mass-resolved threshold photoelectron photoion coincidence measurements provide unprecedented access to vibrationally resolved spectra of free radicals present in high-temperature reactors. Photoionization measurements in water clusters, nucleic acid base dimers, and their complexes with water provide signatures of proton transfer in hydrogen-bonded and π-stacked systems. Experimental and theoretical methods to track ion–molecule reactionsmore » and fragmentation pathways in intermolecular and intramolecular hydrogen-bonded systems in sugars and alcohols are described. Photoionization of laser-ablated molecules, clusters, and their reaction products inform thermodynamics and spectroscopy that are relevant to astrochemistry and catalysis. Finally, new directions in coupling VUV radiation to interrogate complex chemical systems are discussed.« less

  2. Columnar epitaxy of hexagonal and orthorhombic silicides on Si(111)

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; Nieh, C. W.; Xiao, Q. F.; Hashimoto, Shin

    1990-01-01

    Columnar grains of PtSi and CrSi2 surrounded by high-quality epitaxial silicon are obtained by ultrahigh vacuum codeposition of Si and metal in an approximately 10:1 ratio on Si(111) substrates heated to 610-840 C. This result is similar to that found previously for CoSi2 (a nearly-lattice-matched cubic-fluorite crystal) on Si(111), in spite of the respective orthorhombic and hexagonal structures of PtSi and CrSi2. The PtSi grains are epitaxial and have one of three variants of the relation defined by PtSi(010)/Si(111), with PtSi 001 line/Si 110 line type.

  3. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  4. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  5. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  6. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE PAGES

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.; ...

    2016-11-18

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  7. Growth optimization and applicability of thick on-axis SiC layers using sublimation epitaxy in vacuum

    NASA Astrophysics Data System (ADS)

    Jokubavicius, Valdas; Sun, Jianwu; Liu, Xinyu; Yazdi, Gholamreza; Ivanov, Ivan. G.; Yakimova, Rositsa; Syväjärvi, Mikael

    2016-08-01

    We demonstrate growth of thick SiC layers (100-200 μm) on nominally on-axis hexagonal substrates using sublimation epitaxy in vacuum (10-5 mbar) at temperatures varying from 1700 to 1975 °C with growth rates up to 270 μm/h and 70 μm/h for 6H- and 4H-SiC, respectively. The stability of hexagonal polytypes are related to process growth parameters and temperature profile which can be engineered using different thermal insulation materials and adjustment of the induction coil position with respect to the graphite crucible. We show that there exists a range of growth rates for which single-hexagonal polytype free of foreign polytype inclusions can be maintained. Further on, foreign polytypes like 3C-SiC can be stabilized by moving out of the process window. The applicability of on-axis growth is demonstrated by growing a 200 μm thick homoepitaxial 6H-SiC layer co-doped with nitrogen and boron in a range of 1018 cm-3 at a growth rate of about 270 μm/h. Such layers are of interest as a near UV to visible light converters in a monolithic white light emitting diode concept, where subsequent nitride-stack growth benefits from the on-axis orientation of the SiC layer.

  8. In-situ TEM investigations of graphic-epitaxy and small particles

    NASA Technical Reports Server (NTRS)

    Heinemann, K.

    1983-01-01

    Palladium was deposited inside a controlled-vacuum specimen chamber of a transmission electron microscope (TEM) onto MgO and alpha-alumina substrate surfaces. Annealing and various effects of gas exposure of the particulate Pd deposits were studied in-situ by high resolution TEM and electron diffraction. Whereas substrate temperatures of 500 C or annealing of room temperature (RT) deposits to 500 C were needed to obtain epitaxy on sapphire, RT deposits on MgO were perfectly epitaxial. For Pd/MgO a lattice expansion of 2 to 4% was noted; the highest values of expansion were found for the smallest particles. The lattice expansion of small Pd particles on alumina substrates was less than 1%. Long-time RT exposure of Pd/MgO in a vacuum yielded some moblity and coalescence events, but notably fewer than for Pd on sapphire. Exposure to air or oxygen greatly enhanced the particle mobility and coalescence and also resulted in the flattening of Pd particles on MgO substrates. Electron-beam irradiation further enhanced this effect. Exposure to air for several tens of hours of Pd/MgO led to strong coalescence.

  9. A modular designed ultra-high-vacuum spin-polarized scanning tunneling microscope with controllable magnetic fields for investigating epitaxial thin films.

    PubMed

    Wang, Kangkang; Lin, Wenzhi; Chinchore, Abhijit V; Liu, Yinghao; Smith, Arthur R

    2011-05-01

    A room-temperature ultra-high-vacuum scanning tunneling microscope for in situ scanning freshly grown epitaxial films has been developed. The core unit of the microscope, which consists of critical components including scanner and approach motors, is modular designed. This enables easy adaptation of the same microscope units to new growth systems with different sample-transfer geometries. Furthermore the core unit is designed to be fully compatible with cryogenic temperatures and high magnetic field operations. A double-stage spring suspension system with eddy current damping has been implemented to achieve ≤5 pm z stability in a noisy environment and in the presence of an interconnected growth chamber. Both tips and samples can be quickly exchanged in situ; also a tunable external magnetic field can be introduced using a transferable permanent magnet shuttle. This allows spin-polarized tunneling with magnetically coated tips. The performance of this microscope is demonstrated by atomic-resolution imaging of surface reconstructions on wide band-gap GaN surfaces and spin-resolved experiments on antiferromagnetic Mn(3)N(2)(010) surfaces.

  10. Inactivation of Escherichia coli on blueberries using cold plasma with chemical augmentation inside a partial vacuum

    USDA-ARS?s Scientific Manuscript database

    Justification: The mechanism by which cold plasma inactivates pathogens is through the production of free reactive chemical species. Unfortunately, the most reactive chemical species have the shortest half-life. In a vacuum their half-life is believed to be prolonged. Additionally, these reactive sp...

  11. The thermal stability of Pt/epitaxial Gd2O3/Si stacks and its dependence on heat-treatment ambient

    NASA Astrophysics Data System (ADS)

    Lipp, E.; Osten, H. J.; Eizenberg, M.

    2009-12-01

    The stability of Pt/epitaxial Gd2O3/Si stacks is studied by monitoring the chemical and electrical properties following heat treatments in forming gas and in vacuum at temperatures between 400 and 650 °C. Our results show that stack instability is realized via diffusion of Gd through the Pt grain boundaries, which was observed after forming-gas annealing at 550 °C for 30 min. The Gd diffusion kinetics in forming gas is studied by secondary ion mass spectrometry analysis, showing that the diffusion process occurs according to C-type kinetics with an activation energy of 0.73±0.04 eV. Following vacuum heat treatments at 600 °C for 30 min, Si outdiffusion is observed, in addition to Gd outdiffusion. Si outdiffusion results in the formation of PtSi clusters on the metal surface following vacuum annealing at 650 °C. In contrast, in the case of forming-gas treatments, Si diffusion and silicide formation were detected only after annealing at 700 °C. The better stability of Pt/Gd2O3/Si stacks in forming gas is correlated with the content of oxygen in the Pt layer during the treatment.

  12. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  13. High quality thin films of thermoelectric misfit cobalt oxides prepared by a chemical solution method

    PubMed Central

    Rivas-Murias, Beatriz; Manuel Vila-Fungueiriño, José; Rivadulla, Francisco

    2015-01-01

    Misfit cobaltates ([Bi/Ba/Sr/Ca/CoO]nRS[CoO2]q) constitute the most promising family of thermoelectric oxides for high temperature energy harvesting. However, their complex structure and chemical composition makes extremely challenging their deposition by high-vacuum physical techniques. Therefore, many of them have not been prepared as thin films until now. Here we report the synthesis of high-quality epitaxial thin films of the most representative members of this family of compounds by a water-based chemical solution deposition method. The films show an exceptional crystalline quality, with an electrical conductivity and thermopower comparable to single crystals. These properties are linked to the epitaxial matching of the rock-salt layers of the structure to the substrate, producing clean interfaces free of amorphous phases. This is an important step forward for the integration of these materials with complementary n-type thermoelectric oxides in multilayer nanostructures. PMID:26153533

  14. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  15. Vacuum Enhanced X-Ray Florescent Scanner Allows On-The-Spot Chemical Analysis

    NASA Technical Reports Server (NTRS)

    2004-01-01

    Teamed with KeyMaster Technologies, Kennewick, Washington, the Marshall Space Flight Center engineers have developed a portable vacuum analyzer that performs on-the-spot chemical analyses under field conditions- a task previously only possible in a chemical laboratory. The new capability is important not only to the aerospace industry, but holds potential for broad applications in any industry that depends on materials analysis, such as the automotive and pharmaceutical industries. Weighing in at a mere 4 pounds, the newly developed handheld vacuum X-ray fluorescent analyzer can identify and characterize a wide range of elements, and is capable of detecting chemical elements with low atomic numbers, such as sodium, aluminum and silicon. It is the only handheld product on the market with that capability. Aluminum alloy verification is of particular interest to NASA because vast amounts of high-strength aluminum alloys are used in the Space Shuttle propulsion system such as the External Tank, Main Engine, and Solid Rocket Boosters. This capability promises to be a boom to the aerospace community because of unique requirements, for instance, the need to analyze Space Shuttle propulsion systems on the launch pad. Those systems provide the awe-inspiring rocket power that propels the Space Shuttle from Earth into orbit in mere minutes. The scanner development also marks a major improvement in the quality assurance field, because screws, nuts, bolts, fasteners, and other items can now be evaluated upon receipt and rejected if found to be substandard. The same holds true for aluminum weld rods. The ability to validate the integrity of raw materials and partially finished products before adding value to them in the manufacturing process will be of benefit not only to businesses, but also to the consumer, who will have access to a higher value product at a cheaper price. Three vacuum X-ray scanners are already being used in the Space Shuttle Program. The External Tank

  16. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  17. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    NASA Astrophysics Data System (ADS)

    Hazbun, Ramsey Michael

    Near infrared and mid infrared optoelectronic devices have become increasingly important for the telecommunications, security, and medical imaging industries. The addition of nitrogen to III-V alloys has been widely studied as a method of modifying the band gap for mid infrared (IR) applications. In xGa1-xSb1-y Ny/InAs strained-layer superlattices with type-II (staggered) energy offsets on GaSb substrates, were modeled using eight-band k˙p simulations to analyze the superlattice miniband energies. Three different zero-stress strain balance conditions are reported: fixed superlattice period thickness, fixed InAs well thickness, and fixed InxGa1-xSb 1-yNy barrier thickness. Optoelectronics have traditionally been the realm of III-V semiconductors due to their direct band gap, while integrated circuit chips have been the realm of Group IV semiconductors such as silicon because of its relative abundance and ease of use. Recently the alloying of Sn with Ge and Si has been shown to allow direct band-gap light emission. This presents the exciting prospect of integrating optoelectronics into current Group IV chip fabrication facilities. However, new approaches for low temperature growth are needed to realize these new SiGeSn alloys. Silicon-germanium epitaxy via ultra-high vacuum chemical vapor deposition has the advantage of allowing low process temperatures. Deposition processes are sensitive to substrate surface preparation and the time delay between oxide removal and epitaxial growth. A new monitoring process utilizing doped substrates and defect decoration etching is demonstrated to have controllable and unique sensitivity to interfacial contaminants. Doped substrates were prepared and subjected to various loading conditions prior to the growth of typical Si/SiGe bilayers. The defect densities were correlated to the concentration of interfacial oxygen suggesting this monitoring process may be an effective complement to monitoring via secondary ion mass spectrometry

  18. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  19. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  20. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  1. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  2. Ultrahigh vacuum dc magnetron sputter-deposition of epitaxial Pd(111)/Al2O3(0001) thin films.

    PubMed

    Aleman, Angel; Li, Chao; Zaid, Hicham; Kindlund, Hanna; Fankhauser, Joshua; Prikhodko, Sergey V; Goorsky, Mark S; Kodambaka, Suneel

    2018-05-01

    Pd(111) thin films, ∼245 nm thick, are deposited on Al 2 O 3 (0001) substrates at ≈0.5 T m , where T m is the Pd melting point, by ultrahigh vacuum dc magnetron sputtering of Pd target in pure Ar discharges. Auger electron spectra and low-energy electron diffraction patterns acquired in situ from the as-deposited samples reveal that the surfaces are compositionally pure 111-oriented Pd. Double-axis x-ray diffraction (XRD) ω-2θ scans show only the set of Pd 111 peaks from the film. In triple-axis high-resolution XRD, the full width at half maximum intensity Γ ω of the Pd 111 ω-rocking curve is 630 arc sec. XRD 111 pole figure obtained from the sample revealed six peaks 60°-apart at a tilt angles corresponding to Pd 111 reflections. XRD ϕ scans show six 60°-rotated 111 peaks of Pd at the same ϕ angles for 11[Formula: see text]3 of Al 2 O 3 based on which the epitaxial crystallographic relationships between the film and the substrate are determined as [Formula: see text]ǁ[Formula: see text] with two in-plane orientations of [Formula: see text]ǁ[Formula: see text] and [Formula: see text]ǁ[Formula: see text]. Using triple axis symmetric and asymmetric reciprocal space maps, interplanar spacings of out-of-plane (111) and in-plane (11[Formula: see text]) are found to be 0.2242 ± 0.0003 and 0.1591 ± 0.0003 nm, respectively. These values are 0.18% lower than 0.2246 nm for (111) and the same, within the measurement uncertainties, as 0.1588 nm for (11[Formula: see text]) calculated from the bulk Pd lattice parameter, suggesting a small out-of-plane compressive strain and an in-plane tensile strain related to the thermal strain upon cooling the sample from the deposition temperature to room temperature. High-resolution cross-sectional transmission electron microscopy coupled with energy dispersive x-ray spectra obtained from the Pd(111)/Al 2 O 3 (0001) samples indicate that the Pd-Al 2 O 3 interfaces are essentially atomically abrupt and

  3. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  4. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  5. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  6. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE PAGES

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R; ...

    2017-08-12

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  7. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  8. Vacuum Enhanced X-Ray Florescent Scanner Allows On-The-Spot Chemical Analysis

    NASA Technical Reports Server (NTRS)

    2004-01-01

    Teamed with KeyMaster Technologies, Kennewick, Washington, the Marshall Space Flight Center engineers have developed a portable vacuum analyzer that performs on-the-spot chemical analyses under field conditions- a task previously only possible in a chemical laboratory. The new capability is important not only to the aerospace industry, but holds potential for broad applications in any industry that depends on materials analysis, such as the automotive and pharmaceutical industries. Weighing in at a mere 4 pounds, the newly developed handheld vacuum X-ray fluorescent analyzer can identify and characterize a wide range of elements, and is capable of detecting chemical elements with low atomic numbers, such as sodium, aluminum and silicon. It is the only handheld product on the market with that capability. Aluminum alloy verification is of particular interest to NASA because vast amounts of high-strength aluminum alloys are used in the Space Shuttle propulsion system such as the External Tank, Main Engine, and Solid Rocket Boosters. This capability promises to be a boom to the aerospace community because of unique requirements, for instance, the need to analyze Space Shuttle propulsion systems on the launch pad. Those systems provide the awe-inspiring rocket power that propels the Space Shuttle from Earth into orbit in mere minutes. The scanner development also marks a major improvement in the quality assurance field, because screws, nuts, bolts, fasteners, and other items can now be evaluated upon receipt and rejected if found to be substandard. The same holds true for aluminum weld rods. The ability to validate the integrity of raw materials and partially finished products before adding value to them in the manufacturing process will be of benefit not only to businesses, but also to the consumer, who will have access to a higher value product at a cheaper price. Three vacuum X-ray scanners are already being used in the Space Shuttle Program. The External Tank

  9. Surface morphological evolution of epitaxial CrN(001) layers

    NASA Astrophysics Data System (ADS)

    Frederick, J. R.; Gall, D.

    2005-09-01

    CrN layers, 57 and 230 nm thick, were grown on MgO(001) at Ts=600-800 °C by ultrahigh-vacuum magnetron sputter deposition in pure N2 discharges from an oblique deposition angle α=80°. Layers grown at 600 °C nucleate as single crystals with a cube-on-cube epitaxial relationship with the substrate. However, rough surfaces with cauliflower-type morphologies cause the nucleation of misoriented CrN grains that develop into cone-shaped grains that protrude out of the epitaxial matrix to form triangular faceted surface mounds. The surface morphology of epitaxial CrN(001) grown at 700 °C is characterized by dendritic ridge patterns extending along the orthogonal <110> directions superposed by square-shaped super mounds with <100> edges. The ridge patterns are attributed to a Bales-Zangwill instability while the supermounds form due to atomic shadowing which leads to the formation of epitaxial inverted pyramids that are separated from the surrounding layer by tilted nanovoids. Growth at 800 °C yields complete single crystals with smooth surfaces. The root-mean-square surface roughness for 230-nm-thick layers decreases from 18.8 to 9.3 to 1.1 nm as Ts is raised from 600 to 700 to 800 °C. This steep decrease is due to a transition in the roughening mechanism from atomic shadowing to kinetic roughening. Atomic shadowing is dominant at 600 and 700 °C, where misoriented grains and supermounds, respectively, capture a larger fraction of the oblique deposition flux in comparison to the surrounding epitaxial matrix, resulting in a high roughening rate that is described by a power law with an exponent β>0.5. In contrast, kinetic roughening controls the surface morphology for Ts=800 °C, as well as the epitaxial fraction of the layers grown at 600 and 700 °C, yielding relatively smooth surfaces and β<=0.27.

  10. Chemical Beam Epitaxial Growth of Indium Phosphide Using Alternative, Safer Phosphorus Sources

    NASA Astrophysics Data System (ADS)

    Kim, Chungwoo

    1995-11-01

    Chemical beam epitaxy (CBE) is a relatively new III-V semiconductor growth technique that combines important advantages of molecular beam epitaxy (MBE) and organometallic vapor phase epitaxy (OMVPE). Although CBE grown-InP using phosphine (PH_3) combined with trimethylindium (TMIn) or triethylindium (TEIn) has produced high quality material comparable to OMVPE-and gas source MBE-grown InP, the highly hazardous and toxic nature of PH_3 is becoming a main obstacle to mass production of semiconductor devices. In this dissertation, InP epilayers were grown using tertiarybutylphosphine (TBP) and bisphosphinoethane (BPE) as possible replacements for PH_3, together with ethyldimethylindium (EDMIn) as the indium source. For the first time, InP epilayers have been grown using TBP and EDMIn by CBE. The surface morphology and the electrical and optical properties improved with increasing substrate and cracker cell temperatures and input V/III ratio. High quality n-type InP epilayers with electron mobilities of up to 3830 cm^2/Vs and net carrier concentrations of approximately 6 times 10^{14} cm^{-3} at room temperature were achieved at a growth temperature of 500^ circC using a V/III ratio of 70 and a TBP cracker cell temperature of 900^circ C. Strong band-edge emission was observed at growth temperatures between 460 and 500^circ C. The bound exciton halfwidth of the sample grown at 500^circC was as narrow as 3.6 meV at 14 K with a barely observable acceptor related peak indicating a very low concentration of acceptors. For growth of InP using BPE and EDMIn, good surface morphologies were obtained at a substrate temperature of 485^circC using V/III ratios of >=q53. At fixed growth and cracker cell temperatures of 485 and 800^circ C, respectively, the net carrier concentration at a V/III ratio of 53 was 7.8 times 10 ^{15} at room temperature and 3.2 times 10^{15} cm^{-3} at 77 K with respective electron mobilities of 3,630 and 21,800 cm^2 /Vs. The 14 K PL spectra were

  11. Structural and electrical properties of single crystalline SrZrO3 epitaxially grown on Ge (001)

    NASA Astrophysics Data System (ADS)

    Lim, Z. H.; Ahmadi-Majlan, K.; Grimley, E. D.; Du, Y.; Bowden, M.; Moghadam, R.; LeBeau, J. M.; Chambers, S. A.; Ngai, J. H.

    2017-08-01

    We present structural and electrical characterization of SrZrO3 that has been epitaxially grown on Ge(001) by oxide molecular beam epitaxy. Single crystalline SrZrO3 can be nucleated on Ge via deposition at low temperatures followed by annealing at 550 °C in ultra-high vacuum. Photoemission spectroscopy measurements reveal that SrZrO3 exhibits a type-I band arrangement with respect to Ge, with conduction and valence band offsets of 1.4 eV and 3.66 eV, respectively. Capacitance-voltage and current-voltage measurements on 4 nm thick films reveal low leakage current densities and an unpinned Fermi level at the interface that allows modulation of the surface potential of Ge. Ultra-thin films of epitaxial SrZrO3 can thus be explored as a potential gate dielectric for Ge.

  12. Induced Superconductivity and Engineered Josephson Tunneling Devices in Epitaxial (111)-Oriented Gold/Vanadium Heterostructures.

    PubMed

    Wei, Peng; Katmis, Ferhat; Chang, Cui-Zu; Moodera, Jagadeesh S

    2016-04-13

    We report a unique experimental approach to create topological superconductors by inducing superconductivity into epitaxial metallic thin film with strong spin-orbit coupling. Utilizing molecular beam epitaxy technique under ultrahigh vacuum conditions, we are able to achieve (111) oriented single phase of gold (Au) thin film grown on a well-oriented vanadium (V) s-wave superconductor film with clean interface. We obtained atomically smooth Au thin films with thicknesses even down to below a nanometer showing near-ideal surface quality. The as-grown V/Au bilayer heterostructure exhibits superconducting transition at around 3.9 K. Clear Josephson tunneling and Andreev reflection are observed in S-I-S tunnel junctions fabricated from the epitaxial bilayers. The barrier thickness dependent tunneling and the associated subharmonic gap structures (SGS) confirmed the induced superconductivity in Au (111), paving the way for engineering thin film heterostructures based on p-wave superconductivity and nano devices exploiting Majorana Fermions for quantum computing.

  13. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  14. Vacuum Enhanced X-Ray Florescent Scanner Allows On-The-Spot Chemical Analysis

    NASA Technical Reports Server (NTRS)

    2004-01-01

    Marshall Space Flight Center engineers have teamed with KeyMaster Technologies, Kennewick, Washington, to develop a portable vacuum analyzer that performs on-the-spot chemical analyses under field conditions, a task previously only possible in a chemical laboratory. The new capability is important not only to the aerospace industry, but holds potential for broad applications in any industry that depends on materials analysis, such as the automotive and pharmaceutical industries. Weighing in at a mere 4 pounds, the newly developed handheld vacuum X-ray fluorescent analyzer can identify and characterize a wide range of elements, and is capable of detecting chemical elements with low atomic numbers, such as sodium, aluminum and silicon. It is the only handheld product on the market with that capability. Aluminum alloy verification is of particular interest to NASA because vast amounts of high-strength aluminum alloys are used in the Space Shuttle propulsion system such as the External Tank, Main Engine, and Solid Rocket Boosters. This capability promises to be a boom to the aerospace community because of unique requirements, for instance, the need to analyze Space Shuttle propulsion systems on the launch pad. Those systems provide the awe-inspiring rocket power that propels the Space Shuttle from Earth into orbit in mere minutes. The scanner development also marks a major improvement in the quality assurance field, because screws, nuts, bolts, fasteners, and other items can now be evaluated upon receipt and rejected if found to be substandard. The same holds true for aluminum weld rods. The ability to validate the integrity of raw materials and partially finished products before adding value to them in the manufacturing process will be of benefit not only to businesses, but also to the consumer, who will have access to a higher value product at a cheaper price. Three vacuum X-ray scanners are already being used in the Space Shuttle Program. The External Tank Project

  15. Surface oxidation of GaN(0001): Nitrogen plasma-assisted cleaning for ultrahigh vacuum applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gangopadhyay, Subhashis; Schmidt, Thomas, E-mail: tschmidt@ifp.uni-bremen.de; Kruse, Carsten

    The cleaning of metal-organic vapor-phase epitaxial GaN(0001) template layers grown on sapphire has been investigated. Different procedures, performed under ultrahigh vacuum conditions, including degassing and exposure to active nitrogen from a radio frequency nitrogen plasma source have been compared. For this purpose, x-ray photoelectron spectroscopy, reflection high-energy electron diffraction, and scanning tunneling microscopy have been employed in order to assess chemical as well as structural and morphological surface properties. Initial degassing at 600 °C under ultrahigh vacuum conditions only partially eliminates the surface contaminants. In contrast to plasma assisted nitrogen cleaning at temperatures as low as 300 °C, active-nitrogen exposure at temperaturesmore » as high as 700 °C removes the majority of oxide species from the surface. However, extended high-temperature active-nitrogen cleaning leads to severe surface roughening. Optimum results regarding both the removal of surface oxides as well as the surface structural and morphological quality have been achieved for a combination of initial low-temperature plasma-assisted cleaning, followed by a rapid nitrogen plasma-assisted cleaning at high temperature.« less

  16. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  17. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  18. Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process

    DOEpatents

    Iwancizko, Eugene; Jones, Kim M.; Crandall, Richard S.; Nelson, Brent P.; Mahan, Archie Harvin

    2001-01-01

    The invention provides a process for depositing an epitaxial layer on a crystalline substrate, comprising the steps of providing a chamber having an element capable of heating, introducing the substrate into the chamber, heating the element at a temperature sufficient to decompose a source gas, passing the source gas in contact with the element; and forming an epitaxial layer on the substrate.

  19. Influence of vacuum drying temperature on: physico-chemical composition and antioxidant properties of murta berries

    USDA-ARS?s Scientific Manuscript database

    Murta (Ugni molinae T.) berries were vacuum dried at a constant pressure of 15 kPa. The effects of processing temperatures (50, 60, 70, 80 and 90 °C) on the physico-chemical characteristics, the phenolic and flavonoid compounds, the antioxidant activity (measured by DPPH and ORAC) and the sugar and ...

  20. Epitaxial growth and chemical vapor transport of ZnTe by closed-tube method

    NASA Astrophysics Data System (ADS)

    Ogawa, H.; Nishio, M.; Arizumi, T.

    1981-04-01

    The epitaxial growth of ZnTe in a ZnTe- I2 system by a closed tube method is investigated by varying the charged iodine concentration ( MI2) or the temperature difference ( ΔT) between the high and low temperature zones. The transport rate is a function of MI2 and ΔT and has a minimum value increasing monotonically at higher and lower iodine concentration, and it increases with increasing ΔT. This experimental result can be explained well by thermodynamical calculations. The growth rate of ZnTe has the same tendency as the transport rate. The surface morphology of epitaxial layer on (110)ZnTe is not sinificantly affected by MI2 but becomes smoother with increasing temperature. The surface morphology and the growth rate of ZnTe layers also depend upon the orientation of substrate. The epitaxial layer can be obtained at temperature as low as 623°C.

  1. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition.

    PubMed

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-12-21

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr(2+) act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth.

  2. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition

    PubMed Central

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-01-01

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr2+ act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth. PMID:26710210

  3. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  4. Multifunctional ultra-high vacuum apparatus for studies of the interactions of chemical warfare agents on complex surfaces

    NASA Astrophysics Data System (ADS)

    Wilmsmeyer, Amanda R.; Gordon, Wesley O.; Davis, Erin Durke; Mantooth, Brent A.; Lalain, Teri A.; Morris, John R.

    2014-01-01

    A fundamental understanding of the surface chemistry of chemical warfare agents is needed to fully predict the interaction of these toxic molecules with militarily relevant materials, catalysts, and environmental surfaces. For example, rules for predicting the surface chemistry of agents can be applied to the creation of next generation decontaminants, reactive coatings, and protective materials for the warfighter. Here, we describe a multifunctional ultra-high vacuum instrument for conducting comprehensive studies of the adsorption, desorption, and surface chemistry of chemical warfare agents on model and militarily relevant surfaces. The system applies reflection-absorption infrared spectroscopy, x-ray photoelectron spectroscopy, and mass spectrometry to study adsorption and surface reactions of chemical warfare agents. Several novel components have been developed to address the unique safety and sample exposure challenges that accompany the research of these toxic, often very low vapor pressure, compounds. While results of vacuum-based surface science techniques may not necessarily translate directly to environmental processes, learning about the fundamental chemistry will begin to inform scientists about the critical aspects that impact real-world applications.

  5. Multifunctional ultra-high vacuum apparatus for studies of the interactions of chemical warfare agents on complex surfaces.

    PubMed

    Wilmsmeyer, Amanda R; Gordon, Wesley O; Davis, Erin Durke; Mantooth, Brent A; Lalain, Teri A; Morris, John R

    2014-01-01

    A fundamental understanding of the surface chemistry of chemical warfare agents is needed to fully predict the interaction of these toxic molecules with militarily relevant materials, catalysts, and environmental surfaces. For example, rules for predicting the surface chemistry of agents can be applied to the creation of next generation decontaminants, reactive coatings, and protective materials for the warfighter. Here, we describe a multifunctional ultra-high vacuum instrument for conducting comprehensive studies of the adsorption, desorption, and surface chemistry of chemical warfare agents on model and militarily relevant surfaces. The system applies reflection-absorption infrared spectroscopy, x-ray photoelectron spectroscopy, and mass spectrometry to study adsorption and surface reactions of chemical warfare agents. Several novel components have been developed to address the unique safety and sample exposure challenges that accompany the research of these toxic, often very low vapor pressure, compounds. While results of vacuum-based surface science techniques may not necessarily translate directly to environmental processes, learning about the fundamental chemistry will begin to inform scientists about the critical aspects that impact real-world applications.

  6. Multifunctional ultra-high vacuum apparatus for studies of the interactions of chemical warfare agents on complex surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wilmsmeyer, Amanda R.; Morris, John R.; Gordon, Wesley O.

    2014-01-15

    A fundamental understanding of the surface chemistry of chemical warfare agents is needed to fully predict the interaction of these toxic molecules with militarily relevant materials, catalysts, and environmental surfaces. For example, rules for predicting the surface chemistry of agents can be applied to the creation of next generation decontaminants, reactive coatings, and protective materials for the warfighter. Here, we describe a multifunctional ultra-high vacuum instrument for conducting comprehensive studies of the adsorption, desorption, and surface chemistry of chemical warfare agents on model and militarily relevant surfaces. The system applies reflection-absorption infrared spectroscopy, x-ray photoelectron spectroscopy, and mass spectrometry tomore » study adsorption and surface reactions of chemical warfare agents. Several novel components have been developed to address the unique safety and sample exposure challenges that accompany the research of these toxic, often very low vapor pressure, compounds. While results of vacuum-based surface science techniques may not necessarily translate directly to environmental processes, learning about the fundamental chemistry will begin to inform scientists about the critical aspects that impact real-world applications.« less

  7. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  8. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  9. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  10. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  11. van der Waals epitaxy of Ge films on mica

    NASA Astrophysics Data System (ADS)

    Littlejohn, A. J.; Xiang, Y.; Rauch, E.; Lu, T.-M.; Wang, G.-C.

    2017-11-01

    To date, many materials have been successfully grown on substrates through van der Waals epitaxy without adhering to the constraint of lattice matching as is required for traditional chemical epitaxy. However, for elemental semiconductors such as Ge, this has been challenging and therefore it has not been achieved thus far. In this paper, we report the observation of Ge epitaxially grown on mica at a narrow substrate temperature range around 425 °C. Despite the large lattice mismatch (23%) and the lack of high in-plane symmetry in the mica surface, an epitaxial Ge film with [111] out-of-plane orientation is observed. Crystallinity and electrical properties degrade upon deviation from the ideal growth temperature, as shown by Raman spectroscopy, X-ray diffraction, and Hall effect measurements. X-ray pole figure analysis reveals that there exist multiple rotational domains in the epitaxial Ge film with dominant in-plane orientations between Ge [" separators="|1 ¯10 ] and mica[100] of (20 n )°, where n = 0, 1, 2, 3, 4, 5. A superlattice area mismatch model was used to account for the likelihood of the in-plane orientation formation and was found to be qualitatively consistent with the observed dominant orientations. Our observation of Ge epitaxy with one out-of-plane growth direction through van der Waals forces is a step toward the growth of single crystal Ge films without the constraint in the lattice and symmetry matches with the substrates.

  12. The epitaxial growth of wurtzite ZnO films on LiNbO 3 (0 0 0 1) substrates

    NASA Astrophysics Data System (ADS)

    Yin, J.; Liu, Z. G.; Liu, H.; Wang, X. S.; Zhu, T.; Liu, J. M.

    2000-12-01

    ZnO epitaxial films were deposited on LiNbO 3 (0 0 0 1) substrates by pulsed laser deposition. The smaller lattice misfit (-8.5%) between ZnO along <1 0 1¯ 0>- direction and LiNbO 3 (0 0 0 1) along <1 1 2¯ 0>- direction, as compared with that in the case of normally used sapphire (0 0 0 1) substrates, favored the epitaxial growth of ZnO films. The transmittance spectra of ZnO films deposited in vacuum after annealed in pure oxygen show a sharp absorption edge at 375.6 nm (E g=3.31 eV) .

  13. Chemical beam epitaxy growth of AlGaAs/GaAs tunnel junctions using trimethyl aluminium for multijunction solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paquette, B.; DeVita, M.; Turala, A.

    AlGaAs/GaAs tunnel junctions for use in high concentration multijunction solar cells were designed and grown by chemical beam epitaxy (CBE) using trimethyl aluminium (TMA) as the p-dopant source for the AlGaAs active layer. Controlled hole concentration up to 4⋅10{sup 20} cm{sup −3} was achieved through variation in growth parameters. Fabricated tunnel junctions have a peak tunneling current up to 6140 A/cm{sup 2}. These are suitable for high concentration use and outperform GaAs/GaAs tunnel junctions.

  14. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    PubMed

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  15. Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition

    PubMed Central

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin

    2017-01-01

    Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964

  16. Joining Chemical Pressure and Epitaxial Strain to Yield Y-doped BiFeO3 Thin Films with High Dielectric Response

    PubMed Central

    Scarisoreanu, N. D.; Craciun, F.; Birjega, R.; Ion, V.; Teodorescu, V. S.; Ghica, C.; Negrea, R.; Dinescu, M.

    2016-01-01

    BiFeO3 is one of the most promising multiferroic materials but undergoes two major drawbacks: low dielectric susceptibility and high dielectric loss. Here we report high in-plane dielectric permittivity (ε’ ∼2500) and low dielectric loss (tan δ < 0.01) obtained on Bi0.95Y0.05FeO3 films epitaxially grown on SrTiO3 (001) by pulsed laser deposition. High resolution transmission electron microscopy and geometric phase analysis evidenced nanostripe domains with alternating compressive/tensile strain and slight lattice rotations. Nanoscale mixed phase/domain ensembles are commonly found in different complex materials with giant dielectric/electromechanical (ferroelectric/ relaxors) or magnetoresistance (manganites) response. Our work brings insight into the joined role of chemical pressure and epitaxial strain on the appearance of nanoscale stripe structure which creates conditions for easy reorientation and high dielectric response, and could be of more general relevance for the field of materials science where engineered materials with huge response to external stimuli are a highly priced target. PMID:27157090

  17. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Epitaxial Growth of Graphene on 6H-SiC (0001) by Thermal Annealing

    NASA Astrophysics Data System (ADS)

    Tang, Jun; Liu, Zhong-Liang; Kang, Chao-Yang; Pan, Hai-Bin; Wei, Shi-Qiang; Xu, Peng-Shou; Gao, Yu-Qiang; Xu, Xian-Gang

    2009-08-01

    An epitaxial graphene (EG) layer is successfully grown on a Si-terminated 6H-SiC (0001) substrate by the method of thermal annealing in an ultrahigh vacuum molecular beam epitaxy chamber. The structure and morphology of the EG sample are characterized by reflection high energy diffraction (RHEED), Raman spectroscopy and atomic force microscopy (AFM). Graphene diffraction streaks can be seen in RHEED. The G and 2D peaks of graphene are clearly observed in the Raman spectrum. The AFM results show that the graphene nominal thickness is about 4-10 layers.

  18. Surface diffusion effects on growth of nanowires by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Persson, A. I.; Fröberg, L. E.; Jeppesen, S.; Björk, M. T.; Samuelson, L.

    2007-02-01

    Surface processes play a large role in the growth of semiconductor nanowires by chemical beam epitaxy. In particular, for III-V nanowires the surface diffusion of group-III species is important to understand in order to control the nanowire growth. In this paper, we have grown InAs-based nanowires positioned by electron beam lithography and have investigated the dependence of the diffusion of In species on temperature, group-III and -V source pressure and group-V source combinations by measuring nanowire growth rate for different nanowire spacings. We present a model which relates the nanowire growth rate to the migration length of In species. The model is fitted to the experimental data for different growth conditions, using the migration length as fitting parameter. The results show that the migration length increases with decreasing temperature and increasing group-V/group-III source pressure ratio. This will most often lead to an increase in growth rate, but deviations will occur due to incomplete decomposition and changes in sticking coefficient for group-III species. The results also show that the introduction of phosphorous precursor for growth of InAs1-xPx nanowires decreases the migration length of the In species followed by a decrease in nanowire growth rate.

  19. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  20. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  1. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  2. Point Defects and Grain Boundaries in Rotationally Commensurate MoS 2 on Epitaxial Graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xiaolong; Balla, Itamar; Bergeron, Hadallia

    2016-03-28

    With reduced degrees of freedom, structural defects are expected to play a greater role in two-dimensional materials in comparison to their bulk counterparts. In particular, mechanical strength, electronic properties, and chemical reactivity are strongly affected by crystal imperfections in the atomically thin limit. Here, ultrahigh vacuum (UHV) scanning tunneling microscopy (STM) and spectroscopy (STS) are employed to interrogate point and line defects in monolayer MoS2 grown on epitaxial graphene (EG) at the atomic scale. Five types of point defects are observed with the majority species showing apparent structures that are consistent with vacancy and interstitial models. The total defect densitymore » is observed to be lower than MoS2 grown on other substrates and is likely attributed to the van der Waals epitaxy of MoS2 on EG. Grain boundaries (GBs) with 30° and 60° tilt angles resulting from the rotational commensurability of MoS2 on EG are more easily resolved by STM than atomic force microscopy at similar scales due to the enhanced contrast from their distinct electronic states. For example, band gap reduction to ~0.8 and ~0.5 eV is observed with STS for 30° and 60° GBs, respectively. In addition, atomic resolution STM images of these GBs are found to agree well with proposed structure models. This work offers quantitative insight into the structure and properties of common defects in MoS2 and suggests pathways for tailoring the performance of MoS2/graphene heterostructures via defect engineering.« less

  3. Apparatus for externally controlled closed-loop feedback digital epitaxy

    DOEpatents

    Eres, D.; Sharp, J.W.

    1996-07-30

    A method and apparatus for digital epitaxy are disclosed. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced. 5 figs.

  4. Apparatus for externally controlled closed-loop feedback digital epitaxy

    DOEpatents

    Eres, Djula; Sharp, Jeffrey W.

    1996-01-01

    A method and apparatus for digital epitaxy. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced.

  5. Epitaxial Ce and the magnetism of single-crystal Ce/Nd superlattices

    NASA Astrophysics Data System (ADS)

    Clegg, P. S.; Goff, J. P.; McIntyre, G. J.; Ward, R. C.; Wells, M. R.

    2003-05-01

    The chemical structure of epitaxial γ cerium and the chemical and magnetic structures of cerium/neodymium superlattices have been studied using x-ray and neutron diffraction techniques. The samples were grown using molecular-beam epitaxy, optimized to yield the desired Ce allotropes. The x-ray measurements show that, in the superlattices, both constituents adopt the dhcp structure and that the stacking sequence remains intact down to T˜2 K; these are the first measurements of magnetic ordering in single-crystal dhcp Ce. The magnetic structure of the superlattices with thicker Nd layers exhibit incommensurate order and ferromagnetism on separate sublattices in a similar manner to Nd under applied pressure. The sample with thickest Ce layers has a magnetic structure similar to bulk β Ce, which has commensurate transverse modulation with a propagation wave vector [1/2 0 0] and moments along the hexagonal a direction. These two types of magnetic order appear to be mutually exclusive. γ Ce is the high-temperature fcc phase of Ce, our single-phase epitaxial sample is observed to go through a new, but partial, structural transition not previously seen in the bulk material.

  6. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidlymore » decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.« less

  7. Controlling superconductivity in La 2-xSr xCuO 4+δ by ozone and vacuum annealing

    DOE PAGES

    Leng, Xiang; Bozovic, Ivan

    2014-11-21

    In this study we performed a series of ozone and vacuum annealing experiments on epitaxial La 2-xSr xCuO 4+δ thin films. The transition temperature after each annealing step has been measured by the mutual inductance technique. The relationship between the effective doping and the vacuum annealing time has been studied. Short-time ozone annealing at 470 °C oxidizes an underdoped film all the way to the overdoped regime. The subsequent vacuum annealing at 350 °C to 380 °C slowly brings the sample across the optimal doping point back to the undoped, non-superconducting state. Several ozone and vacuum annealing cycles have beenmore » done on the same sample and the effects were found to be repeatable and reversible Vacuum annealing of ozone-loaded LSCO films is a very controllable process, allowing one to tune the doping level of LSCO in small steps across the superconducting dome, which can be used for fundamental physics studies.« less

  8. Controlling superconductivity in La 2-xSr xCuO 4+δ by ozone and vacuum annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leng, Xiang; Bozovic, Ivan

    In this study we performed a series of ozone and vacuum annealing experiments on epitaxial La 2-xSr xCuO 4+δ thin films. The transition temperature after each annealing step has been measured by the mutual inductance technique. The relationship between the effective doping and the vacuum annealing time has been studied. Short-time ozone annealing at 470 °C oxidizes an underdoped film all the way to the overdoped regime. The subsequent vacuum annealing at 350 °C to 380 °C slowly brings the sample across the optimal doping point back to the undoped, non-superconducting state. Several ozone and vacuum annealing cycles have beenmore » done on the same sample and the effects were found to be repeatable and reversible Vacuum annealing of ozone-loaded LSCO films is a very controllable process, allowing one to tune the doping level of LSCO in small steps across the superconducting dome, which can be used for fundamental physics studies.« less

  9. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  10. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    The dynamics of low temperature Si homoepitaxial and heteroepitaxial growth, by remote plasma enhanced chemical vapor deposition, RPECVD, have been investigated. For the critical step of pre-deposition surface preparation of Si(100) surfaces, the attributes of remote plasma generated atomic H are compared to results obtained with a rapid thermal desorption, RTD, technique and a hybrid H-plasma/RTD technique. Auger electron spectroscopy, AES, and electron diffraction analysis indicate the hybrid technique to be very effective at surface passivation, while the RTD process promotes the formation of SiC precipitates, which induce defective epitaxial growth. For GaP and GaAs substrates, the use of atomic H exposure is investigated as a surface passivation technique. AES shows this technique to be effective at producing atomically clean surfaces. For processing at 400^circrm C, the GaAs(100) surface is observed to reconstruct to a c(8 x 2)Ga symmetry while, at 530^ circrm C the vicinal GaP(100) surface, miscut 10^circ , is observed to reconstruct to a (1 x n) type symmetry; an unreconstructed (1 x 1) symmetry is observed for GaP(111). Differences in the efficiency with which native oxides are removed from the surface are attributed to variations in the local atomic bonding order of group V oxides. The microstructure of homoepitaxial Si films, deposited at temperatures of 25-450^circ rm C and pressures of 50-500 mTorr, is catalogued. Optimized conditions for the deposition of low defect, single crystal films are identified. The existence of two pressure dependent regimes for process activation are observed. In-situ mass spectral analysis indicates that the plasma afterglow is dominated by monosilane ions below 200 mTorr, while above 200 mTorr, low mass rm H_{x} ^+ (x = 1,2,3) and rm HHe^+ ions dominate. Consideration of the growth rate data indicates that downstream dissociative silane ionization, in the lower pressure regime, is responsible for an enhanced surface H

  11. Oxidized film structure and method of making epitaxial metal oxide structure

    DOEpatents

    Gan, Shupan [Richland, WA; Liang, Yong [Richland, WA

    2003-02-25

    A stable oxidized structure and an improved method of making such a structure, including an improved method of making an interfacial template for growing a crystalline metal oxide structure, are disclosed. The improved method comprises the steps of providing a substrate with a clean surface and depositing a metal on the surface at a high temperature under a vacuum to form a metal-substrate compound layer on the surface with a thickness of less than one monolayer. The compound layer is then oxidized by exposing the compound layer to essentially oxygen at a low partial pressure and low temperature. The method may further comprise the step of annealing the surface while under a vacuum to further stabilize the oxidized film structure. A crystalline metal oxide structure may be subsequently epitaxially grown by using the oxidized film structure as an interfacial template and depositing on the interfacial template at least one layer of a crystalline metal oxide.

  12. Perspective: Chemical reactions in ionic liquids monitored through the gas (vacuum)/liquid interface.

    PubMed

    Maier, F; Niedermaier, I; Steinrück, H-P

    2017-05-07

    This perspective analyzes the potential of X-ray photoelectron spectroscopy under ultrahigh vacuum (UHV) conditions to follow chemical reactions in ionic liquids in situ. Traditionally, only reactions occurring on solid surfaces were investigated by X-ray photoelectron spectroscopy (XPS) in situ. This was due to the high vapor pressures of common liquids or solvents, which are not compatible with the required UHV conditions. It was only recently realized that the situation is very different when studying reactions in Ionic Liquids (ILs), which have an inherently low vapor pressure, and first studies have been performed within the last years. Compared to classical spectroscopy techniques used to monitor chemical reactions, the advantage of XPS is that through the analysis of their core levels all relevant elements can be quantified and their chemical state can be analyzed under well-defined (ultraclean) conditions. In this perspective, we cover six very different reactions which occur in the IL, with the IL, or at an IL/support interface, demonstrating the outstanding potential of in situ XPS to gain insights into liquid phase reactions in the near-surface region.

  13. Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications

    DTIC Science & Technology

    2006-11-01

    pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to

  14. Real-time monitoring of steady-state pulsed chemical beam epitaxy by p-polarized reflectance

    NASA Astrophysics Data System (ADS)

    Bachmann, K. J.; Sukidi, N.; Höpfner, C.; Harris, C.; Dietz, N.; Tran, H. T.; Beeler, S.; Ito, K.; Banks, H. T.

    1998-01-01

    The structure in the p-polarized reflectance (PR) intensity Rp4( t) - observed under conditions of pulsed chemical beam epitaxy (PCBE) - is modeled on the basis of the four-layer stack: ambient/surface reaction layer (SRL)/epilayer/substrate. Linearization of the PR intensity with regard to the phase factor associated with the SRL results in a good approximation that can be expressed as Rp4 = Rp3 + ΔRp. Rp3 is the reflectivity of the three-layer stack ambient-epilayer-substrate. ΔRp describes the properties of the SRL. An explicit relation is derived between ΔRp( t) and the time-dependent surface concentrations ch( t) ( h = 1, 2, …, N) of the constituents of the SRL, which holds for conditions of submonolayer coverage of the surface by source vapor molecules. Under conditions of low temperature PCBE at high flux, the SRL is expected to exhibit nonideal behavior, mandating replacement of the surface concentrations by activities. Also, in this case, the thickness of the SRL must be represented in terms of partial molar volumina Vh. Since the relation between ΔRp( t) and the activities of reactants, intermediates and products of the chemical reactions driving heteroepitaxial growth is non-linear, the extraction of kinetic parameters from the measured time dependence of the PR signal generally requires numerical modeling.

  15. Free-standing epitaxial graphene.

    PubMed

    Shivaraman, Shriram; Barton, Robert A; Yu, Xun; Alden, Jonathan; Herman, Lihong; Chandrashekhar, Mvs; Park, Jiwoong; McEuen, Paul L; Parpia, Jeevak M; Craighead, Harold G; Spencer, Michael G

    2009-09-01

    We report on a method to produce free-standing graphene sheets from epitaxial graphene on silicon carbide (SiC) substrate. Doubly clamped nanomechanical resonators with lengths up to 20 microm were patterned using this technique and their resonant motion was actuated and detected optically. Resonance frequencies of the order of tens of megahertz were measured for most devices, indicating that the resonators are much stiffer than expected for beams under no tension. Raman spectroscopy suggests that the graphene is not chemically modified during the release of the devices, demonstrating that the technique is a robust means of fabricating large-area suspended graphene structures.

  16. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    PubMed

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  17. Method of digital epitaxy by externally controlled closed-loop feedback

    DOEpatents

    Eres, D.; Sharp, J.W.

    1994-07-19

    A method and apparatus for digital epitaxy are disclosed. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced. 4 figs.

  18. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O2 molecules.

    PubMed

    Friedlein, R; Van Bui, H; Wiggers, F B; Yamada-Takamura, Y; Kovalgin, A Y; de Jong, M P

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O2 molecules, as well as the combination of both, with epitaxial silicene on thin ZrB2(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O2 gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O2 molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  19. Chemical changes exhibited by latent fingerprints after exposure to vacuum conditions.

    PubMed

    Bright, Nicholas J; Willson, Terry R; Driscoll, Daniel J; Reddy, Subrayal M; Webb, Roger P; Bleay, Stephen; Ward, Neil I; Kirkby, Karen J; Bailey, Melanie J

    2013-07-10

    The effect of vacuum exposure on latent fingerprint chemistry has been evaluated. Fingerprints were analysed using a quartz crystal microbalance to measure changes in mass, gas chromatography mass spectrometry to measure changes in lipid composition and attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) to determine changes in the content of water, fatty acids and their esters after exposure to vacuum. The results are compared with samples aged under ambient conditions. It was found that fingerprints lose around 26% of their mass when exposed to vacuum conditions, equivalent to around 5 weeks ageing under ambient conditions. Further exposure to vacuum causes a significant reduction in the lipid composition of a fingerprint, in particular with the loss of tetradecanoic and pentadecanoic acid, that was not observed in ambient aged samples. There are therefore implications for sequence in which fingerprint development procedures (for example vacuum metal deposition) are carried out, as well as the use of vacuum based methods such as secondary ion mass spectrometry (SIMS) and matrix-assisted laser desorption ionisation (MALDI) in the study of fingerprint chemistry. Copyright © 2013. Published by Elsevier Ireland Ltd.

  20. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  1. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  2. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  3. Applicability of vacuum impregnation to modify physico-chemical, sensory and nutritive characteristics of plant origin products--a review.

    PubMed

    Radziejewska-Kubzdela, Elżbieta; Biegańska-Marecik, Róża; Kidoń, Marcin

    2014-09-19

    Vacuum impregnation is a non-destructive method of introducing a solution with a specific composition to the porous matrices of fruit and vegetables. Mass transfer in this process is a result of mechanically induced differences in pressure. Vacuum impregnation makes it possible to fill large volumes of intercellular spaces in tissues of fruit and vegetables, thus modifying physico-chemical properties and sensory attributes of products. This method may be used, e.g., to reduce pH and water activity of the product, change its thermal properties, improve texture, color, taste and aroma. Additionally, bioactive compounds may be introduced together with impregnating solutions, thus improving health-promoting properties of the product or facilitating production of functional food.

  4. Applicability of Vacuum Impregnation to Modify Physico-Chemical, Sensory and Nutritive Characteristics of Plant Origin Products—A Review

    PubMed Central

    Radziejewska-Kubzdela, Elżbieta; Biegańska-Marecik, Róża; Kidoń, Marcin

    2014-01-01

    Vacuum impregnation is a non-destructive method of introducing a solution with a specific composition to the porous matrices of fruit and vegetables. Mass transfer in this process is a result of mechanically induced differences in pressure. Vacuum impregnation makes it possible to fill large volumes of intercellular spaces in tissues of fruit and vegetables, thus modifying physico-chemical properties and sensory attributes of products. This method may be used, e.g., to reduce pH and water activity of the product, change its thermal properties, improve texture, color, taste and aroma. Additionally, bioactive compounds may be introduced together with impregnating solutions, thus improving health-promoting properties of the product or facilitating production of functional food. PMID:25244012

  5. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  6. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  7. Multifunctional Ultra-high Vacuum Apparatus for Studies of the Interactions of Chemical Warfare Agents on Complex Surfaces

    DTIC Science & Technology

    2014-01-02

    of the formation of a hydrogen-bonded hydroxyl. Characteristic modes of the sarin molecule itself are also ob- served. These experimental results show...chemical warfare agent, surface science, uptake, decontamination, filtration , UHV, XPS, FTIR, TPD REPORT DOCUMENTATION PAGE 11. SPONSOR/MONITOR’S...challenges that accompany the research of these toxic, often very low vapor pressure, compounds. While results of vacuum-based surface science

  8. Hydrogen passivation of silicon(100) used as templates for low-temperature epitaxy and oxidation

    NASA Astrophysics Data System (ADS)

    Atluri, Vasudeva Prasad

    Epitaxial growth, oxidation and ohmic contacts require surfaces as free as possible of physical defects and chemical contaminants, especially, oxygen and hydrocarbons. Wet chemical cleaning typically involves a RCA clean to remove contaminants by stripping the native oxide and regrowing a chemical oxide with only trace levels of carbon and metallic impurities. Low temperature epitaxy, T<800sp° C, limits the thermal budget for the desorption of impurities and surface oxides, and can be performed on processed structures. But, silicon dioxide cannot be desorbed at temperatures lower than 800sp°C. Recently, hydrogen passivation of Si(111) has been reported to produce stable and ordered surfaces at low temperatures. Hydrogen can then be desorbed between 200sp°C and 600sp°C prior to deposition. In this work, Si(100) is passivated via a solution of hydrofluoric acid in alcohol (methanol, ethanol, or isopropyl alcohol) with HF concentrations between 0.5 to 10%. A rinse in water or alcohol is performed after etching to remove excess fluorine. This work investigates wet chemical cleaning of Si(100) to produce ordered, hydrogen-terminated, oxygen- and carbon-free surfaces to be used as templates for low temperature epitaxial growth and rapid thermal oxidation. Ion beam analysis, Tapping mode atomic force microscopy, Fourier transform infrared spectroscopy, Secondary ion mass spectroscopy, Chemical etching, Capacitance-voltage measurements and Ellipsometry are used to measure, at the surface and interface, impurities concentration, residual disorder, crystalline order, surface topography, roughness, chemical composition, defects density, electrical characteristics, thickness, and refractive index as a function of cleaning conditions for homoepitaxial silicon growth and oxidation. The wetting characteristics of the Si(100) surfaces are measured with a tilting plate technique. Different materials are analyzed by ion beam analysis for use as hydrogen standards in elastic

  9. Technical specification for vacuum systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khaw, J.

    The vacuum systems at the Stanford Linear Accelerator Center (SLAC) are primarily of all-metal construction and operate at pressures from 10/sup -5/ to 10/sup -11/ Torr. The primary gas loads during operation result from thermal desorption and beam-induced desorption from the vacuum chamber walls. These desorption rates can be extremely high in the case of hydrocarbons and other contaminants. These specifications place a major emphasis on eliminating contamination sources. The specifications and procedures have been written to insure the cleanliness and vacuum integrity of all SLAC vacuum systems, and to assist personnel involved with SLAC vacuum systems in choosing andmore » designing components that are compatible with existing systems and meet the quality and reliability of SLAC vacuum standards. The specification includes requirements on design, procurement, fabrication, chemical cleaning, clean room practices, welding and brazing, helium leak testing, residual gas analyzer testing, bakeout, venting, and pumpdown. Also appended are specifications regarding acceptable vendors, isopropyl alcohol, bakeable valve cleaning procedure, mechanical engineering safety inspection, notes on synchrotron radiation, and specifications of numerous individual components. (LEW)« less

  10. Structural and electrical properties of single crystalline SrZrO 3 epitaxially grown on Ge (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Z. H.; Ahmadi-Majlan, K.; Grimley, E. D.

    We present structural and electrical characterization of SrZrO3 that has been epitaxially grown on Ge(001) by oxide molecular beam epitaxy. Single crystalline SrZrO3 can be nucleated on Ge via deposition at low temperatures followed by annealing at 550 ºC in ultra-high vacuum. Photoemission spectroscopy measurements reveal that SrZrO3 exhibits a type-I band arrangement with respect to Ge, with conduction and valence band offsets of 1.4 eV and 3.65 eV, respectively. As a standalone film, SrZrO3 exhibits several characteristics that are ideal for applications as a gate dielectric on Ge. We find that 4 nm thick films exhibit low leakage currentmore » densities, and a dielectric constant of κ ~ 25 that corresponds to an equivalent oxide thickness of 0.70 nm.« less

  11. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  12. Epitaxy of mercury-based high temperature superconducting films on oxide and metal substrates

    NASA Astrophysics Data System (ADS)

    Xie, Yi-Yuan

    , thick HgBa 2CaCu2O6+delta films show high I c, and spool process also shows potential in middle-length tape fabrication. These results make Hg-HTS films good candidates as power transmission wires/tapes. For large-area epitaxy, ½ inch x ½ inch HgBa2CaCu 2O6+delta films were synthesized on LaAlO3(100) with uniform and high Tcs and Jc s. A new crucible Hg-annealing technique that requires neither vacuum nor torch-sealing has been invented, promising for large-area wafers and long tapes/wires. So far HgBa2CaCu2O6+delta films with good quality have been reproducibly fabricated using this new technique.

  13. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  14. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  15. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  16. Tunable Emission Wavelength Stacked InAs/GaAs Quantum Dots by Chemical Beam Epitaxy for Optical Coherence Tomography

    PubMed Central

    Ilahi, Bouraoui; Zribi, Jihene; Guillotte, Maxime; Arès, Richard; Aimez, Vincent; Morris, Denis

    2016-01-01

    We report on Chemical Beam Epitaxy (CBE) growth of wavelength tunable InAs/GaAs quantum dots (QD) based superluminescent diode’s active layer suitable for Optical Coherence Tomography (OCT). The In-flush technique has been employed to fabricate QD with controllable heights, from 5 nm down to 2 nm, allowing a tunable emission band over 160 nm. The emission wavelength blueshift has been ensured by reducing both dots’ height and composition. A structure containing four vertically stacked height-engineered QDs have been fabricated, showing a room temperature broad emission band centered at 1.1 µm. The buried QD layers remain insensitive to the In-flush process of the subsequent layers, testifying the reliability of the process for broadband light sources required for high axial resolution OCT imaging. PMID:28773633

  17. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  18. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  19. Large area and structured epitaxial graphene produced by confinement controlled sublimation of silicon carbide

    PubMed Central

    de Heer, Walt A.; Berger, Claire; Ruan, Ming; Sprinkle, Mike; Li, Xuebin; Hu, Yike; Zhang, Baiqian; Hankinson, John; Conrad, Edward

    2011-01-01

    After the pioneering investigations into graphene-based electronics at Georgia Tech, great strides have been made developing epitaxial graphene on silicon carbide (EG) as a new electronic material. EG has not only demonstrated its potential for large scale applications, it also has become an important material for fundamental two-dimensional electron gas physics. It was long known that graphene mono and multilayers grow on SiC crystals at high temperatures in ultrahigh vacuum. At these temperatures, silicon sublimes from the surface and the carbon rich surface layer transforms to graphene. However the quality of the graphene produced in ultrahigh vacuum is poor due to the high sublimation rates at relatively low temperatures. The Georgia Tech team developed growth methods involving encapsulating the SiC crystals in graphite enclosures, thereby sequestering the evaporated silicon and bringing growth process closer to equilibrium. In this confinement controlled sublimation (CCS) process, very high-quality graphene is grown on both polar faces of the SiC crystals. Since 2003, over 50 publications used CCS grown graphene, where it is known as the “furnace grown” graphene. Graphene multilayers grown on the carbon-terminated face of SiC, using the CCS method, were shown to consist of decoupled high mobility graphene layers. The CCS method is now applied on structured silicon carbide surfaces to produce high mobility nano-patterned graphene structures thereby demonstrating that EG is a viable contender for next-generation electronics. Here we present for the first time the CCS method that outperforms other epitaxial graphene production methods. PMID:21960446

  20. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  1. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  2. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  3. Structural and chemical ordering of Heusler Co xMn yGe z epitaxial films on Ge (111). Quantitative study using traditional and anomalous x-ray diffraction techniques

    DOE PAGES

    Collins, B. A.; Chu, Y.; He, L.; ...

    2015-12-14

    We found that epitaxial films of Co xMn yGe z grown on Ge (111) substrates by molecular-beam-epitaxy techniques have been investigated as a continuous function of composition using combinatorial synchrotron x-ray diffraction (XRD) and x-ray fluorescence (XRF) spectroscopy techniques. A high-resolution ternary epitaxial phase diagram is obtained, revealing a small number of structural phases stabilized over large compositional regions. Ordering of the constituent elements in the compositional region near the full Heusler alloy Co 2MnGe has been examined in detail using both traditional XRD and a new multiple-edge anomalous diffraction (MEAD) technique. Multiple-edge anomalous diffraction involves analyzing the energy dependencemore » of multiple reflections across each constituent absorption edge in order to detect and quantify the elemental distribution of occupation in specific lattice sites. Results of this paper show that structural and chemical ordering are very sensitive to the Co : Mn atomic ratio, such that the ordering is the highest at an atomic ratio of 2 but significantly reduced even a few percent off this ratio. The in-plane lattice is nearly coherent with that of the Ge substrate, while the approximately 2% lattice mismatch is accommodated by the out-of-plane tetragonal strain. Furthermore, the quantitative MEAD analysis reveals no detectable amount (<0.5%) of Co-Mn site swapping, but instead high levels (26%) of Mn-Ge site swapping. Increasing Ge concentration above the Heusler stoichiometry (Co 0.5 Mn 0.25 Ge 0.25 ) is shown to correlate with increased lattice vacancies, antisites, and stacking faults, but reduced lattice relaxation. The highest degree of chemical ordering is observed off the Heusler stoichiometry with a Ge enrichment of 5 at.%.« less

  4. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  5. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  6. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  7. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  8. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  9. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  10. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  11. Epitaxial Zn quantum dots coherently grown on Si(1 1 1): growth mechanism, nonlinear optical and chemical states analyses

    NASA Astrophysics Data System (ADS)

    Huang, Bo-Jia; Kao, Li-Chi; Brahma, Sanjaya; Jeng, Yu-En; Chiu, Shang-Jui; Ku, Ching-Shun; Lo, Kuang-Yao

    2017-05-01

    Oxide- and defect-free metal/semiconductor interface is important to improve Ohmic contact for the suppression of electron scattering and the avoidance of an extrinsic surface state in estimating the barrier of the Schottky contact at the nanodevice interface. This study reports the growth mechanism of Zn quantum dots coherently grown on Si(1 1 1) and the physical phenomena of the crystalline, nonlinear optics, and the chemical states of Zn quantum dots. Epitaxial Zn quantum dots were coherently formed on a non-oxide Si(1 1 1) surface through the liquid- to solid-phase transformation as a result of pattern matching between the Zn(0 0 2) and Si(1 1 1) surfaces. The growth mechanism of constrained Zn quantum dots grown through strategic magnetron radio frequency sputtering is complex. Some factors, such as substrate temperature, hydrogen gas flow, and negative DC bias, influence the configuration of epitaxial Zn quantum dots. In particular, hydrogen gas plays an important role in reducing the ZnO+ and native oxide that is bombarded by accelerated ions, thereby enhancing the Zn ion surface diffusion. The reduction reaction can be inspected by distinguishing the chemical states of ZnO/Zn quantum dots from natural oxidation or the states of Zn 3d through the analysis of x-ray absorption near the edge structure spectrum. The complex growth mechanism can be systematically understood by analyzing a noncancelled anisotropic 3 m dipole from reflective second harmonic generation and inspecting the evolution between the Zn(0 0 2) and Zn(1 1 1) peaks of the collective ZnO/Zn quantum dots in synchrotron XRD.

  12. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  13. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  14. Large-Area Direct Hetero-Epitaxial Growth of 1550-nm InGaAsP Multi-Quantum-Well Structures on Patterned Exact-Oriented (001) Silicon Substrates by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico; Cabinian, Brian C.; Zhao, Hongwei; Oakley, Douglas C.; Bowers, John E.; Klamkin, Jonathan

    2018-02-01

    We employ a simple two-step growth technique to grow large-area 1550-nm laser structures by direct hetero-epitaxy of III-V compounds on patterned exact-oriented (001) silicon (Si) substrates by metal organic chemical vapor deposition. Densely-packed, highly uniform, flat and millimeter-long indium phosphide (InP) nanowires were grown from Si v-grooves separated by silicon dioxide (SiO2) stripes with various widths and pitches. Following removal of the SiO2 patterns, the InP nanowires were coalesced and, subsequently, 1550-nm laser structures were grown in a single overgrowth without performing any polishing for planarization. X-ray diffraction, photoluminescence, atomic force microscopy and transmission electron microscopy analyses were used to characterize the epitaxial material. PIN diodes were fabricated and diode-rectifying behavior was observed.

  15. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  16. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  17. Mass spectrometer vacuum housing and pumping system

    DOEpatents

    Coutts, G.W.; Bushman, J.F.; Alger, T.W.

    1996-07-23

    A vacuum housing and pumping system is described for a portable gas chromatograph/mass spectrometer (GC/MS). The vacuum housing section of the system has minimum weight for portability while designed and constructed to utilize metal gasket sealed stainless steel to be compatible with high vacuum operation. The vacuum pumping section of the system consists of a sorption (getter) pump to remove atmospheric leakage and outgassing contaminants as well as the gas chromatograph carrier gas (hydrogen) and an ion pump to remove the argon from atmospheric leaks. The overall GC/MS system has broad application to contaminants, hazardous materials, illegal drugs, pollution monitoring, etc., as well as for use by chemical weapon treaty verification teams, due to the light weight and portability thereof. 7 figs.

  18. Mass spectrometer vacuum housing and pumping system

    DOEpatents

    Coutts, Gerald W.; Bushman, John F.; Alger, Terry W.

    1996-01-01

    A vacuum housing and pumping system for a portable gas chromatograph/mass spectrometer (GC/MS). The vacuum housing section of the system has minimum weight for portability while designed and constructed to utilize metal gasket sealed stainless steel to be compatible with high vacuum operation. The vacuum pumping section of the system consists of a sorption (getter) pump to remove atmospheric leakage and outgassing contaminants as well as the gas chromatograph carrier gas (hydrogen) and an ion pump to remove the argon from atmospheric leaks. The overall GC/MS system has broad application to contaminants, hazardous materials, illegal drugs, pollution monitoring, etc., as well as for use by chemical weapon treaty verification teams, due to the light weight and portability thereof.

  19. Electron Scattering at Surfaces of Epitaxial Metal Layers

    NASA Astrophysics Data System (ADS)

    Chawla, Jasmeet Singh

    and without thin epitaxial TiN(001) wetting layers and are studied for structure, crystalline quality, surface morphology, density and composition by a combination of x-ray diffraction theta-2theta scans, o-rocking curves, pole figures, reciprocal space mapping, Rutherford backscattering, x-ray reflectometry and transmission electron microscopy. The TiN(001) surface suppresses Cu and Ag dewetting, yielding lower defect density, no twinning, and smaller surface roughness than if grown on MgO(001). Textured polycrystalline Cu(111) layers 25-50-nm-thick are deposited on a stack of 7.5-nm-Ta on SiO2/Si(001), and subsequent in situ annealing at 350°C followed by sputter etching in Ar plasma yields Cu layers with independently variable thickness and grain size. Cu nanowires, 75 to 350 nm wide, are fabricated from Cu layers with different average grain size using a subtractive patterning process. In situ electron transport measurements at room temperature in vacuum and at 77 K in liquid nitrogen for single-crystal Cu and Ag layers is consistent with the Fuchs-Sondheimer (FS) model and indicates specular scattering at the metal-vacuum boundary with an average specularity parameter p = 0.8 and 0.6, respectively. In contrast, layers measured ex situ show diffuse surface scattering due to sub-monolayer oxidation. Also, addition of Ta atoms on Cu(001) surface perturbs the smooth interface potential and results in completely diffuse scattering at the Cu-Ta interface, and in turn, a higher resistivity of single-crystal Cu layers. In situ exposure of Cu(001) layers to O2 between 10 -3 and 105 Pa-s results in a sequential increase, decrease and increase of the electrical resistance which is attributed to specular surface scattering for clean Cu(001) and for surfaces with a complete adsorbed monolayer, but diffuse scattering at partial coverage and after chemical oxidation. Electron transport measurements for polycrystalline Cu layers and wires show a 10-15% and 7-9% decrease in

  20. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  1. Formation of atomically smooth epitaxial metal films on a chemically reactive interface: Mg on Si(111)

    NASA Astrophysics Data System (ADS)

    Özer, Mustafa M.; Weitering, Hanno H.

    2013-07-01

    Deposition of Mg on Si(111)7 × 7 produces an epitaxial magnesium silicide layer. Under identical annealing conditions, the thickness of this Mg2Si(111) layer increases with deposition amount, reaching a maximum of 4 monolayer (ML) and decreasing to ˜3 ML at higher Mg coverage. Excess Mg coalesces into atomically flat, crystalline Mg(0001) films. This surprising growth mode can be attributed to the accidental commensurability of the Mg(0001), Si(111), and Mg2Si(111) interlayer spacing and the concurrent minimization of in-plane Si mass transfer and domain-wall energies. The commensurability of the interlayer spacing defines a highly unique solid-phase epitaxial growth process capable of producing trilayer structures with atomically abrupt interfaces and atomically smooth surface morphologies.

  2. Epitaxial Growth of beta-Silicon Carbide (SiC) on a Compliant Substrate via Chemical Vapor Deposition (CVD)

    NASA Technical Reports Server (NTRS)

    Mitchell, Sharanda L.

    1996-01-01

    Many lattice defects have been attributed to the lattice mismatch and the difference in the thermal coefficient of expansion between SiC and silicon (Si). Stacking faults, twins and antiphase boundaries are some of the lattice defects found in these SiC films. These defects may be a partial cause of the disappointing performance reported for the prototype devices fabricated from beta-SiC films. The objective of this research is to relieve some of the thermal stress due to lattice mismatch when SiC is epitaxially grown on Si. The compliant substrate is a silicon membrane 2-4 microns thick. The CVD process includes the buffer layer which is grown at 1360 C followed by a very thin epitaxial growth of SiC. Then the temperature is raised to 1500 C for the subsequent growth of SiC. Since silicon melts at 1415 C, the SiC will be grown on molten Silicon which is absorbed by a porous graphite susceptor eliminating the SiC/Si interface. We suspect that this buffer layer will yield less stressed material to help in the epitaxial growth of SiC.

  3. Comparative study on the roles of anisotropic epitaxial strain and chemical doping in inducing the antiferromagnetic insulator phase in manganite films

    NASA Astrophysics Data System (ADS)

    Jin, Feng; Feng, Qiyuan; Guo, Zhuang; Lan, Da; Wang, Lingfei; Gao, Guanyin; Xu, Haoran; Chen, Binbin; Chen, Feng; Lu, Qingyou; Wu, Wenbin

    2017-11-01

    Epitaxial strain and chemical doping are two different methods that are commonly used to tune the physical properties of epitaxial perovskite oxide films, but their cooperative effects are less addressed. Here we try to tune the phase separation (PS) in (La1-xP rx) 2 /3C a1 /3Mn O3 (0 ≤x ≤0.4 , LPCMO) films via cooperatively controlling the anisotropic epitaxial strain (AES) and the Pr doping. These films are grown simultaneously on NdGa O3(110 ) ,(LaAlO3) 0.3(SrAl0.5Ta0.5O3 ) 0.7(001 ) , and NdGa O3(001 ) substrates with progressively increased in-plane AES, and probed by x-ray diffraction, magnetotransport, and magnetic force microscopy (MFM) measurements. Although it is known that for x =0 the AES can enhance the orthorhombicity of the films yielding a phase diagram with the antiferromagnetic charge-ordered insulator (AF-COI) state induced, which is quite different from the bulk one, we illustrate that the Pr doping can further drive the films towards a more robust COI state. This cooperative effect is reflected by the increasing magnetic fields needed to melt the COI phase as a function of AES and the doping level. More strikingly, by directly imaging the phase competition morphology of the LPCMO /NdGa O3(001 ) films via MFM, we find that during COI melting the PS domain structure is subject to both AES and the quenched disorder. However, in the reverse process, as the magnetic field is decreased, the COI phase reappears and the AES dominates leaving a crystalline-orientation determined self-organized microstructure. This finding suggests that the PS states and the domain configurations can be selectively controlled by the AES and/or the quenched disorder, which may shed some light on the engineering of PS domains for device fabrications.

  4. An ultrahigh vacuum, low-energy ion-assisted deposition system for III-V semiconductor film growth

    NASA Astrophysics Data System (ADS)

    Rohde, S.; Barnett, S. A.; Choi, C.-H.

    1989-06-01

    A novel ion-assisted deposition system is described in which the substrate and growing film can be bombarded with high current densities (greater than 1 mA/sq cm) of very low energy (10-200 eV) ions. The system design philosophy is similar to that used in III-V semiconductor molecular-beam epitaxy systems: the chamber is an all-metal ultrahigh vacuum system with liquid-nitrogen-cooled shrouds, Knudsen-cell evaporation sources, a sample insertion load-lock, and a 30-kV reflection high-energy electron diffraction system. III-V semiconductor film growth is achieved using evaporated group-V fluxes and group-III elemental fluxes sputtered from high-purity targets using ions extracted from a triode glow discharge. Using an In target and an As effusion cell, InAs deposition rates R of 2 microns/h have been obtained. Epitaxial growth of InAs was observed on both GaSb(100) and Si(100) substrates.

  5. Functional Epitaxial Oxide Devices

    DTIC Science & Technology

    2010-04-12

    complex oxides , epitaxial growth, antennas, varactors 16. SECURITY CLASSIFICATION OF: REPORT U b. ABSTRACT u c. THIS PAGE u 17. LIMITATION OF...Technical Report DATES COVERED (From - To) 17-06-2008-31-12-2009 4. TITLE AND SUBTITLE Functional Epitaxial Oxide Devices 5a. CONTRACT NUMBER NA...This research effort addresses the need for high performance radio frequency (RF) components, specifically varactors and miniaturized, high gain

  6. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    DOEpatents

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  7. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  8. Growth and characterization of III-V epitaxial films

    NASA Astrophysics Data System (ADS)

    Tripathi, A.; Adamski, J.

    1991-11-01

    Investigations were conducted on the growth of epitaxial layers using an Organo Metallic Chemical Vapor Deposition technique of selected III-V materials which are potentially useful for photonics and microwave devices. RL/ERX's MOCVD machine was leak checked for safety. The whole gas handling plumbing system has been leak checked and the problems were reported to the manufacturer, CVD Equipment Corporation of Dear Park, NY. CVD Equipment Corporation is making an effort to correct these problems and also supply the part according to our redesign specifications. One of the main emphasis during this contract period was understanding the operating procedure and writing an operating manual for this MOCVD machine. To study the dynamic fluid flow in the vertical reactor of this MOCVD machine, an experimental apparatus was designed, tested, and put together. This study gave very important information on the turbulent gas flow patterns in this vertical reactor. The turbulent flow affects the epitaxial growth adversely. This study will also help in redesigning a vertical reactor so that the turbulent gas flow can be eliminated.

  9. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  10. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  11. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  12. Structural and chemical ordering of Heusler C o x M n y G e z epitaxial films on Ge (111): Quantitative study using traditional and anomalous x-ray diffraction techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Collins, B. A.; Chu, Y. S.; He, L.

    2015-12-01

    Epitaxial films of CoxMnyGez grown on Ge (111) substrates by molecular-beam-epitaxy techniques have been investigated as a continuous function of composition using combinatorial synchrotron x-ray diffraction (XRD) and x-ray fluorescence (XRF) spectroscopy techniques. A high-resolution ternary epitaxial phase diagram is obtained, revealing a small number of structural phases stabilized over large compositional regions. Ordering of the constituent elements in the compositional region near the full Heusler alloy Co2MnGe has been examined in detail using both traditional XRD and a new multiple-edge anomalous diffraction (MEAD) technique. Multiple-edge anomalous diffraction involves analyzing the energy dependence of multiple reflections across each constituent absorptionmore » edge in order to detect and quantify the elemental distribution of occupation in specific lattice sites. Results of this paper show that structural and chemical ordering are very sensitive to the Co : Mn atomic ratio, such that the ordering is the highest at an atomic ratio of 2 but significantly reduced even a few percent off this ratio. The in-plane lattice is nearly coherent with that of the Ge substrate, while the approximately 2% lattice mismatch is accommodated by the out-of-plane tetragonal strain. The quantitative MEAD analysis further reveals no detectable amount (< 0.5%) of Co-Mn site swapping, but instead high levels (26%) of Mn-Ge site swapping. Increasing Ge concentration above the Heusler stoichiometry (Co0.5Mn0.25Ge0.25) is shown to correlate with increased lattice vacancies, antisites, and stacking faults, but reduced lattice relaxation. The highest degree of chemical ordering is observed off the Heusler stoichiometry with a Ge enrichment of 5 at.%.« less

  13. Structural and chemical ordering of Heusler C o x M n y G e z epitaxial films on Ge (111): Quantitative study using traditional and anomalous x-ray diffraction techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Collins, B. A.; Chu, Y. S.; He, L.

    2015-12-14

    Epitaxial films of C o x M n y G e z grown on Ge (111) substrates by molecular-beam-epitaxy techniques have been investigated as a continuous function of composition using combinatorial synchrotron x-ray diffraction (XRD) and x-ray fluorescence (XRF) spectroscopy techniques. A high-resolution ternary epitaxial phase diagram is obtained, revealing a small number of structural phases stabilized over large compositional regions. Ordering of the constituent elements in the compositional region near the full Heusler alloy C o 2 MnGe has been examined in detail using both traditional XRD and a new multiple-edge anomalous diffraction (MEAD) technique. Multiple-edge anomalous diffraction involvesmore » analyzing the energy dependence of multiple reflections across each constituent absorption edge in order to detect and quantify the elemental distribution of occupation in specific lattice sites. Results of this paper show that structural and chemical ordering are very sensitive to the Co : Mn atomic ratio, such that the ordering is the highest at an atomic ratio of 2 but significantly reduced even a few percent off this ratio. The in-plane lattice is nearly coherent with that of the Ge substrate, while the approximately 2% lattice mismatch is accommodated by the out-of-plane tetragonal strain. The quantitative MEAD analysis further reveals no detectable amount (<0.5%) of Co-Mn site swapping, but instead high levels (26%) of Mn-Ge site swapping. Increasing Ge concentration above the Heusler stoichiometry ( C o 0.5 M n 0.25 G e 0.25 ) is shown to correlate with increased lattice vacancies, antisites, and stacking faults, but reduced lattice relaxation. The highest degree of chemical ordering is observed off the Heusler stoichiometry with a Ge enrichment of 5 at.%.« less

  14. Synthesis of Epitaxial Single-Layer MoS2 on Au(111).

    PubMed

    Grønborg, Signe S; Ulstrup, Søren; Bianchi, Marco; Dendzik, Maciej; Sanders, Charlotte E; Lauritsen, Jeppe V; Hofmann, Philip; Miwa, Jill A

    2015-09-08

    We present a method for synthesizing large area epitaxial single-layer MoS2 on the Au(111) surface in ultrahigh vacuum. Using scanning tunneling microscopy and low energy electron diffraction, the evolution of the growth is followed from nanoscale single-layer MoS2 islands to a continuous MoS2 layer. An exceptionally good control over the MoS2 coverage is maintained using an approach based on cycles of Mo evaporation and sulfurization to first nucleate the MoS2 nanoislands and then gradually increase their size. During this growth process the native herringbone reconstruction of Au(111) is lifted as shown by low energy electron diffraction measurements. Within the MoS2 islands, we identify domains rotated by 60° that lead to atomically sharp line defects at domain boundaries. As the MoS2 coverage approaches the limit of a complete single layer, the formation of bilayer MoS2 islands is initiated. Angle-resolved photoemission spectroscopy measurements of both single and bilayer MoS2 samples show a dramatic change in their band structure around the center of the Brillouin zone. Brief exposure to air after removing the MoS2 layer from vacuum is not found to affect its quality.

  15. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  16. Vacuum-Ultraviolet Photovoltaic Detector.

    PubMed

    Zheng, Wei; Lin, Richeng; Ran, Junxue; Zhang, Zhaojun; Ji, Xu; Huang, Feng

    2018-01-23

    Over the past two decades, solar- and astrophysicists and material scientists have been researching and developing new-generation semiconductor-based vacuum ultraviolet (VUV) detectors with low power consumption and small size for replacing traditional heavy and high-energy-consuming microchannel-detection systems, to study the formation and evolution of stars. However, the most desirable semiconductor-based VUV photovoltaic detector capable of achieving zero power consumption has not yet been achieved. With high-crystallinity multistep epitaxial grown AlN as a VUV-absorbing layer for photogenerated carriers and p-type graphene (with unexpected VUV transmittance >96%) as a transparent electrode to collect excited holes, we constructed a heterojunction device with photovoltaic detection for VUV light. The device exhibits an encouraging VUV photoresponse, high external quantum efficiency (EQE) and extremely fast tempera response (80 ns, 10 4 -10 6 times faster than that of the currently reported VUV photoconductive devices). This work has provided an idea for developing zero power consumption and integrated VUV photovoltaic detectors with ultrafast and high-sensitivity VUV detection capability, which not only allows future spacecraft to operate with longer service time and lower launching cost but also ensures an ultrafast evolution of interstellar objects.

  17. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  18. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  19. Chemical state analysis of heavily phosphorus-doped epitaxial silicon films grown on Si (1 0 0) by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Lee, Minhyeong; Kim, Sungtae; Ko, Dae-Hong

    2018-06-01

    In this work, we investigated the chemical bonding states in highly P-doped Si thin films epitaxially grown on Si (0 0 1) substrates using high-resolution X-ray photoelectron spectroscopy (HR-XPS). HR-XPS P 2p core-level spectra clearly show spin-orbital splitting between P 2p1/2 and P 2p3/2 peaks in Si films doped with a high concentration of P. Moreover, the intensities of P 2p1/2 and P 2p3/2 peaks for P-doped Si films increase with P concentrations, while their binding energies remained almost identical. These results indicate that more P atoms are incorporated into the substitutional sites of the Si lattice with the increase of P concentrations. In order to identify the chemical states of P-doped Si films shown in XPS Si 2p spectra, the spectra of bulk Si were subtracted from those of Si:P samples, which enables us to clearly identify the new chemical state related to Sisbnd P bonds. We observed that the presence of the two well-resolved new peaks only for the Si:P samples at the binding energy higher than those of a Sisbnd Si bond, which is due to the strong electronegativity of P than that of Si. Experimental findings in this study using XPS open up new doors for evaluating the chemical states of P-doped Si materials in fundamental researches as well as in industrial applications.

  20. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    NASA Astrophysics Data System (ADS)

    Yang, Y.-B.; Seewald, L.; Mohanty, Dibyajyoti; Wang, Y.; Zhang, L. H.; Kisslinger, K.; Xie, Weiyu; Shi, J.; Bhat, I.; Zhang, Shengbai; Lu, T.-M.; Wang, G.-C.

    2017-08-01

    Single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (∼21-55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [1 bar2 1 bar]CdTe//[ 1 bar100]CdS//[010]mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. The use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.

  1. Structure and Properties of VO2 and Titanium Dioxide Based Epitaxial Heterostructures Integrated with Silicon and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bayati, Mohammad Reza

    The main focus of this study was placed on structure-property correlation in TiO2 and VO2 based epitaxial heterostructures where the photochemical and electrical properties were tuned through microstructural engineering. In the framework of domain matching epitaxy, epitaxial growth of TiO2 and VO2 heterostructures on different substrates were explained. The theta-2theta and ϕ scan X-ray diffraction measurements and detailed high resolution electron microscopy studies corroborated our understanding of the epitaxial growth and the crystallographic arrangement across the interfaces. The influence of the laser and substrate variables on structural characteristics of the films was investigated using X-ray photoelectron spectroscopy, room temperature photoluminescence spectroscopy, and UV-Vis spectrophotometry. In addition, morphological studies were performed by atomic force microscopy. Photochemical properties of the heterostructures were assessed through measuring surface wettability characteristics and photocatalytic reaction rate constant of degradation of 4-chlorophenol under ultraviolet and visible irradiations. We also studied electrical properties employing 4-probe measurement technique. The effect of post treatment processes, such as vacuum annealing and laser treatment, on structure and properties was investigated as well. The role of point defects and deviation from the stoichiometry on photochemical and electrical properties was addressed. In this research, TiO2 epilayers with controlled phase structure, defect content, and crystallographic alignments were grown on sapphire and silicon substrates. Integration with silicon was achieved using cubic and tetragonal yttria-stabilized zirconia buffer layers. I was able to tune the phase structure of the TiO2 based heterostructures from pure rutile to pure anatase and establish an epitaxial relationship across the interfaces in each case. These heterostructures were used for two different purposes. First, their

  2. Fabrication of precision high quality facets on molecular beam epitaxy material

    DOEpatents

    Petersen, Holly E.; Goward, William D.; Dijaili, Sol P.

    2001-01-01

    Fabricating mirrored vertical surfaces on semiconductor layered material grown by molecular beam epitaxy (MBE). Low energy chemically assisted ion beam etching (CAIBE) is employed to prepare mirrored vertical surfaces on MBE-grown III-V materials under unusually low concentrations of oxygen in evacuated etching atmospheres of chlorine and xenon ion beams. UV-stabilized smooth-surfaced photoresist materials contribute to highly vertical, high quality mirrored surfaces during the etching.

  3. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  4. Epitaxial CoSi2 on MOS devices

    DOEpatents

    Lim, Chong Wee; Shin, Chan Soo; Petrov, Ivan Georgiev; Greene, Joseph E.

    2005-01-25

    An Si.sub.x N.sub.y or SiO.sub.x N.sub.y liner is formed on a MOS device. Cobalt is then deposited and reacts to form an epitaxial CoSi.sub.2 layer underneath the liner. The CoSi.sub.2 layer may be formed through a solid phase epitaxy or reactive deposition epitaxy salicide process. In addition to high quality epitaxial CoSi.sub.2 layers, the liner formed during the invention can protect device portions during etching processes used to form device contacts. The liner can act as an etch stop layer to prevent excessive removal of the shallow trench isolation, and protect against excessive loss of the CoSi.sub.2 layer.

  5. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  6. Recovery of materials from waste printed circuit boards by vacuum pyrolysis and vacuum centrifugal separation.

    PubMed

    Zhou, Yihui; Wu, Wenbiao; Qiu, Keqiang

    2010-11-01

    In this research, a two-step process consisting of vacuum pyrolysis and vacuum centrifugal separation was employed to treat waste printed circuit boards (WPCBs). Firstly, WPCBs were pyrolysed under vacuum condition at 600 °C for 30 min in a lab-scale reactor. Then, the obtained pyrolysis residue was heated under vacuum until the solder was melted, and then the molten solder was separated from the pyrolysis residue by the centrifugal force. The results of vacuum pyrolysis showed that the type-A of WPCBs (the base plates of which was made from cellulose paper reinforced phenolic resin) pyrolysed to form an average of 67.97 wt.% residue, 27.73 wt.% oil, and 4.30 wt.% gas; and pyrolysis of the type-B of WPCBs (the base plates of which was made from glass fiber reinforced epoxy resin) led to an average mass balance of 72.20 wt.% residue, 21.45 wt.% oil, and 6.35 wt.% gas. The results of vacuum centrifugal separation showed that the separation of solder was complete when the pyrolysis residue was heated at 400 °C, and the rotating drum was rotated at 1200 rpm for 10 min. The pyrolysis oil and gas can be used as fuel or chemical feedstock after treatment. The pyrolysis residue after solder separation contained various metals, glass fibers and other inorganic materials, which could be recycled for further processing. The recovered solder can be reused directly and it can also be a good resource of lead and tin for refining. Copyright © 2010 Elsevier Ltd. All rights reserved.

  7. Electromigration in epitaxial Cu(001) lines

    NASA Astrophysics Data System (ADS)

    Ramanath, G.; Kim, H.; Goindi, H. S.; Frederick, M. J.; Shin, C.-S.; Goswami, R.; Petrov, I.; Greene, J. E.

    2002-04-01

    We report the electromigration (EM) response of single-domain epitaxial Cu(001) lines on layers of Ta, TaN, and TiN. Epitaxial Cu(001) lines on nitride layers exhibit nearly two orders of magnitude higher mean-time-to-failure (MTTF) values than those on Ta, indicating the strong influence of the underlayer. The activation energy of EM for Cu on the nitrides is ˜0.8-1.2 eV, and that of Cu on Ta is ˜0.2 eV, for 200-300 °C. Our results also indicate that the MTTF values correlate inversely to the crystal quality of the Cu layers measured by X-ray diffraction. The EM resistance of epitaxial Cu lines with different crystal quality on TaN were measured to separate the effects of interface chemistry and crystal quality. While higher quality epitaxial films reveal a higher EM resistance, the magnitude of the change is smaller than that obtained by changing the interface chemistry. Epitaxial lines exhibit more than 3-4 orders of magnitude higher MTTF than polycrystalline lines on the same underlayer. Based upon our results, we propose that the Cu/underlayer interface chemistry and presence of grain boundary diffusion play important roles in unpassivated Cu films.

  8. Vacuum force

    NASA Astrophysics Data System (ADS)

    Han, Yongquan

    2015-03-01

    To study on vacuum force, we must clear what is vacuum, vacuum is a space do not have any air and also ray. There is not exist an absolute the vacuum of space. The vacuum of space is relative, so that the vacuum force is relative. There is a certain that vacuum vacuum space exists. In fact, the vacuum space is relative, if the two spaces compared to the existence of relative vacuum, there must exist a vacuum force, and the direction of the vacuum force point to the vacuum region. Any object rotates and radiates. Rotate bend radiate- centripetal, gravity produced, relative gravity; non gravity is the vacuum force. Gravity is centripetal, is a trend that the objects who attracted wants to Centripetal, or have been do Centripetal movement. Any object moves, so gravity makes the object curve movement, that is to say, the radiation range curve movement must be in the gravitational objects, gravity must be existed in non vacuum region, and make the object who is in the region of do curve movement (for example: The earth moves around the sun), or final attracted in the form gravitational objects, and keep relatively static with attract object. (for example: objects on the earth moves but can't reach the first cosmic speed).

  9. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    NASA Astrophysics Data System (ADS)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  10. Vacuum pumps and systems: A review of current practice

    NASA Technical Reports Server (NTRS)

    Giles, Stuart

    1986-01-01

    A review of the fundamental characteristics of the many types of vacuum pumps and vacuum pumping systems is given. The optimum pumping range, relative cost, performance limitations, maintenance problems, system operating costs and similar subjects are discussed. Experiences from the thin film deposition, chemical processing, material handling, food processing and other industries, as well as space simulation are used to support conclusions and recommendations.

  11. Successful Cleaning and Study of Contamination of Si(001) in Ultrahigh Vacuum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gheorghe, N. G.; Lungu, G. A.; Husanu, M. A.

    2011-10-03

    This paper presents the very first surface physics experiment performed in ultrahigh vacuum (UHV) in Romania, using a new molecular beam epitaxy (MBE) installation. Cleaning of a Si(001) wafer was achieved by using a very simple technique: sequences of annealing at 900-1000 deg. C in ultrahigh vacuum: low 10{sup -8} mbar, with a base pressure of 1.5x10{sup -10} mbar. The preparation procedure is quite reproducible and allows repeated cleaning of the Si(001) after contamination in ultrahigh vacuum. The Si(001) single crystal surface is characterized by low energy electron diffraction (LEED), reflection high energy electron diffraction (RHEED), and Auger electron spectroscopymore » (AES). The latter technique is utilized in order to investigate the sample contamination by the residual gas in the UHV chamber, as determined by a residual gas analyzer (RGA). Unambiguous assignment of oxidized and unoxidized silicon is provided; also, an important feature is that the LVV Auger peak at 90-92 eV cannot be solely attributed to clean Si (i.e. Si surrounded only by Si), but also to silicon atoms bounded with carbon. Even with a sum of partial pressures of oxygen and carbon containing molecules in the range of 5x10{sup -10} mbar, the sample is contaminated very quickly, having a (1/e) lifetime of about 76 minutes.« less

  12. Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond

    NASA Technical Reports Server (NTRS)

    Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.

    1996-01-01

    Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.

  13. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  14. Reactivity study on thermal cracking of vacuum residues

    NASA Astrophysics Data System (ADS)

    León, A. Y.; Díaz, S. D.; Rodríguez, R. C.; Laverde, D.

    2016-02-01

    This study focused on the process reactivity of thermal cracking of vacuum residues from crude oils mixtures. The thermal cracking experiments were carried out under a nitrogen atmosphere at 120psi between 430 to 500°C for 20 minutes. Temperature conditions were established considering the maximum fractional conversion reported in tests of thermogravimetry performed in the temperature range of 25 to 600°C, with a constant heating rate of 5°C/min and a nitrogen flow rate of 50ml/min. The obtained products were separated in to gases, distillates and coke. The results indicate that the behaviour of thermal reactivity over the chemical composition is most prominent for the vacuum residues with higher content of asphaltenes, aromatics, and resins. Finally some correlations were obtained in order to predict the weight percentage of products from its physical and chemical properties such as CCR, SARA (saturates, aromatics, resins, asphaltenes) and density. The results provide new knowledge of the effect of temperature and the properties of vacuum residues in thermal conversion processes.

  15. Epitaxial Garnet Investigation; Technical Report, Foreign Travel

    DTIC Science & Technology

    1988-10-25

    Pure yttrium iron garnet (YIG) films are grown on GGG substrates by * liquid phase epitaxy ( LPE ) in production lots. In addition, one or two...epitaxial garnet films for Philips Dr. Krumme * Dr. Doormann 3-6-87 Thomson - CSF Research Center, Orsay, France Dr. J. P. Castera Dr. P. L. Meunier all...research physicists who grow, characterize, Dr. J. Y. Beguin or use epitaxial garnet films for Thomson CSF. Dr. J. L. Rolland Dr. P. Friez The

  16. Epitaxial growth of 6H silicon carbide in the temperature range 1320 C to 1390 C

    NASA Technical Reports Server (NTRS)

    Will, H. A.; Powell, J. A.

    1974-01-01

    High-quality epitaxial layers of 6H SiC have been grown on 6H SiC substrates with the grown direction perpendicular to the crystal c-axis. The growth was by chemical vapor deposition from methyltrichlorosilane (CH3SiCl3) in hydrogen at temperatures in the range of 1320 to 1390 C. Epitaxial layers up to 80 microns thick were grown at rates of 0.4 microns/min. Attempts at growth on the (0001) plane of 6H SiC substrates under similar conditions resulted in polycrystalline cubic SiC layers. Optical and X-ray diffraction techniques were used to characterize the grown layers.

  17. Te Monolayer-Driven Spontaneous van der Waals Epitaxy of Two-dimensional Pnictogen Chalcogenide Film on Sapphire.

    PubMed

    Hwang, Jae-Yeol; Kim, Young-Min; Lee, Kyu Hyoung; Ohta, Hiromichi; Kim, Sung Wng

    2017-10-11

    Demands on high-quality layer structured two-dimensional (2D) thin films such as pnictogen chalcogenides and transition metal dichalcogenides are growing due to the findings of exotic physical properties and potentials for device applications. However, the difficulties in controlling epitaxial growth and the unclear understanding of van der Waals epitaxy (vdWE) for a 2D chalcogenide film on a three-dimensional (3D) substrate have been major obstacles for the further advances of 2D materials. Here, we exploit the spontaneous vdWE of a high-quality 2D chalcogenide (Bi 0.5 Sb 1.5 Te 3 ) film by the chalcogen-driven surface reconstruction of a conventional 3D sapphire substrate. It is verified that the in situ formation of a pseudomorphic Te atomic monolayer on the surface of sapphire, which results in a dangling bond-free surface, allows the spontaneous vdWE of 2D chalcogenide film. Since this route uses the natural surface reconstruction of sapphire with chalcogen under vacuum condition, it can be scalable and easily utilized for the developments of various 2D chalcogenide vdWE films through conventional thin-film fabrication technologies.

  18. Elimination of double position domains (DPDs) in epitaxial 〈111〉-3C-SiC on Si(111) by laser CVD

    NASA Astrophysics Data System (ADS)

    Xu, Qingfang; Zhu, Peipei; Sun, Qingyun; Tu, Rong; Yang, Meijun; Zhang, Song; Zhang, Lianmeng; Goto, Takashi; Yan, Jiasheng; Li, Shusen

    2017-12-01

    Elimination of double position domains (DPDs) in epitaxial 〈111〉-3C-SiC film on Si(111) substrate was conducted by laser chemical vapor deposition (LCVD) without carbonization. Transmission electron microscopy and pole figure were employed to investigate the microstructure and volume fraction of DPDs in the epitaxial layers, respectively. DPDs significantly decreased with decreasing deposition temperature (Tdep) and vanished at Tdep = 1273 K. The mechanism of the elimination of DPDs by LCVD also has been discussed.

  19. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  20. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ma, Chun-Hao; Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan; Lin, Jheng-Cyuan

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar tomore » those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.« less

  1. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE PAGES

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti; ...

    2017-03-31

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  2. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  3. Epitaxial thinning process

    NASA Technical Reports Server (NTRS)

    Siegel, C. M. (Inventor)

    1984-01-01

    A method is described for thinning an epitaxial layer of a wafer that is to be used in producing diodes having a specified breakdown voltage and which also facilitates the thinning process. Current is passed through the epitaxial layer, by connecting a current source between the substrate of the wafer and an electrolyte in which the wafer is immersed. When the wafer is initially immersed, the voltage across the wafer initially drops and then rises at a steep rate. When light is applied to the wafer the voltage drops, and when the light is interrupted the voltage rises again. These changes in voltage, each indicate the breakdown voltage of a Schottky diode that could be prepared from the wafer at that time. The epitaxial layer is thinned by continuing to apply current through the wafer while it is immersed and light is applied, to form an oxide film and when the oxide film is thick the wafer can then be cleaned of oxide and the testing and thinning continued. Uninterrupted thinning can be achieved by first forming an oxide film, and then using an electrolyte that dissolves the oxide about as fast as it is being formed, to limit the thickness of the oxide layer.

  4. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  5. Ordered arrays of multiferroic epitaxial nanostructures.

    PubMed

    Vrejoiu, Ionela; Morelli, Alessio; Biggemann, Daniel; Pippel, Eckhard

    2011-01-01

    Epitaxial heterostructures combining ferroelectric (FE) and ferromagnetic (FiM) oxides are a possible route to explore coupling mechanisms between the two independent order parameters, polarization and magnetization of the component phases. We report on the fabrication and properties of arrays of hybrid epitaxial nanostructures of FiM NiFe(2)O(4) (NFO) and FE PbZr(0.52)Ti(0.48)O(3) or PbZr(0.2)Ti(0.8)O(3), with large range order and lateral dimensions from 200 nm to 1 micron. The structures were fabricated by pulsed-laser deposition. High resolution transmission electron microscopy and high angle annular dark-field scanning transmission electron microscopy were employed to investigate the microstructure and the epitaxial growth of the structures. Room temperature ferroelectric and ferrimagnetic domains of the heterostructures were imaged by piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM), respectively. PFM and MFM investigations proved that the hybrid epitaxial nanostructures show ferroelectric and magnetic order at room temperature. Dielectric effects occurring after repeated switching of the polarization in large planar capacitors, comprising ferrimagnetic NiFe2O4 dots embedded in ferroelectric PbZr0.52Ti0.48O3 matrix, were studied. These hybrid multiferroic structures with clean and well defined epitaxial interfaces hold promise for reliable investigations of magnetoelectric coupling between the ferrimagnetic / magnetostrictive and ferroelectric / piezoelectric phases.

  6. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  7. Superconductivity in epitaxial InN thin films with large critical fields

    NASA Astrophysics Data System (ADS)

    Pal, Buddhadeb; Joshi, Bhanu P.; Chakraborti, Himadri; Jain, Aditya K.; Barick, Barun K.; Ghosh, Kankat; Laha, Apurba; Dhar, Subhabrata; Gupta, Kantimay Das

    2018-04-01

    We report superconductivity in Chemical Vapor Deposition (CVD) and Plasma-Assisted Molecular Beam Epitaxy (PA-MBE) grown epitaxial InN films having carrier density ˜ 1019 - 1020cm-3. The superconducting phase transition starts at temperatures around Tc,onset˜3 K and the resistance goes to zero completely at Tc0 ˜ 1.6 K. The temperature dependence of the critical field HC2(T) does not obey a two fluid Casimir-Gorter (C-G) model rather it is well explained by the 2-D Tinkham model. The extrapolated value of the zero-temperature perpendicular critical field HC2(0) is found to be between 0.25 - 0.9 T, which is ten times greater than that of Indium metal. It may indicate the intrinsic nature of superconductivity in InN films. The angle dependence of critical field is well described by Lawrence-Doniach (L-D) model, which suggest the existence of quasi-2D superconducting layers.

  8. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  9. Strained-layer epitaxy of germanium-silicon alloys

    NASA Astrophysics Data System (ADS)

    Bean, J. C.

    1985-10-01

    Strained-layer epitaxy is presented as a developing technique for combining Si with other materials in order to obtain semiconductors with enhanced electronic properties. The method involves applying layers sufficiently thin so that the atoms deposited match the bonding configurations of the substrate crystal. When deposited on Si, a four-fold bonding pattern is retained, with a lowered interfacial energy and augmented stored strain energy in the epitaxial layer. The main problem which remains is building an epitaxial layer thick enough to yield desired epitaxial properties while avoiding a reversion to an unstrained structure. The application of a Ge layer to Si using MBE is described, along with the formation of heterojunction multi-layer superlattices, which can reduce the dislocation effects in some homojunctions. The technique shows promise for developing materials of use as bipolar transistors, optical detectors and fiber optic transmission devices.

  10. Investigation of low leakage current radiation detectors on n-type 4H-SiC epitaxial layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Khai V.; Chaudhuri, Sandeep K.; Mandal, Krishna C.

    2014-09-01

    The surface leakage current of high-resolution 4H-SiC epitaxial layer Schottky barrier detectors has been improved significantly after surface passivations of 4H-SiC epitaxial layers. Thin (nanometer range) layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) were deposited on 4H-SiC epitaxial layers using plasma enhanced chemical vapor deposition (PECVD) on 20 μm thick n-type 4H-SiC epitaxial layers followed by the fabrication of large area (~12 mm2) Schottky barrier radiation detectors. The fabricated detectors have been characterized through current-voltage (I-V), capacitance-voltage (C-V), and alpha pulse height spectroscopy measurements; the results were compared with that of detectors fabricated without surface passivations. Improved energy resolution of ~ 0.4% for 5486 keV alpha particles was observed after passivation, and it was found that the performance of these detectors were limited by the presence of macroscopic and microscopic crystal defects affecting the charge transport properties adversely. Capacitance mode deep level transient studies (DLTS) revealed the presence of a titanium impurity related shallow level defects (Ec-0.19 eV), and two deep level defects identified as Z1/2 and Ci1 located at Ec-0.62 and ~ Ec-1.40 eV respectively.

  11. Vacuum fusion bonding of glass plates

    DOEpatents

    Swierkowski, Steve P.; Davidson, James C.; Balch, Joseph W.

    2001-01-01

    An improved apparatus and method for vacuum fusion bonding of large, patterned glass plates. One or both glass plates are patterned with etched features such as microstructure capillaries and a vacuum pumpout moat, with one plate having at least one hole therethrough for communication with a vacuum pumpout fixture. High accuracy alignment of the plates is accomplished by a temporary clamping fixture until the start of the fusion bonding heat cycle. A complete, void-free fusion bond of seamless, full-strength quality is obtained through the plates; because the glass is heated well into its softening point and because of a large, distributed force that is developed that presses the two plates together from the difference in pressure between the furnace ambient (high pressure) and the channeling and microstructures in the plates (low pressure) due to the vacuum drawn. The apparatus and method may be used to fabricate microcapillary arrays for chemical electrophoresis; for example, any apparatus using a network of microfluidic channels embedded between plates of glass or similar moderate melting point substrates with a gradual softening point curve, or for assembly of glass-based substrates onto larger substrates, such as in flat panel display systems.

  12. Vacuum fusion bonding of glass plates

    DOEpatents

    Swierkowski, Steve P.; Davidson, James C.; Balch, Joseph W.

    2000-01-01

    An improved apparatus and method for vacuum fusion bonding of large, patterned glass plates. One or both glass plates are patterned with etched features such as microstructure capillaries and a vacuum pumpout moat, with one plate having at least one hole therethrough for communication with a vacuum pumpout fixture. High accuracy alignment of the plates is accomplished by a temporary clamping fixture until the start of the fusion bonding heat cycle. A complete, void-free fusion bond of seamless, full-strength quality is obtained through the plates; because the glass is heated well into its softening point and because of a large, distributed force that is developed that presses the two plates together from the difference in pressure between the furnace ambient (high pressure) and the channeling and microstructures in the plates (low pressure) due to the vacuum drawn. The apparatus and method may be used to fabricate microcapillary arrays for chemical electrophoresis; for example, any apparatus using a network of microfluidic channels embedded between plates of glass or similar moderate melting point substrates with a gradual softening point curve, or for assembly of glass-based substrates onto larger substrates, such as in flat panel display systems.

  13. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    PubMed

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  14. Magnetism of epitaxial Tb films on W(110) studied by spin-polarized low-energy electron microscopy

    NASA Astrophysics Data System (ADS)

    Prieto, J. E.; Chen, Gong; Schmid, A. K.; de la Figuera, J.

    2016-11-01

    Thin epitaxial films of Tb metal were grown on a clean W(110) substrate in ultrahigh vacuum and studied in situ by low-energy electron microscopy. Annealed films present magnetic contrast in spin-polarized low-energy electron microscopy. The energy dependence of the electron reflectivity was determined and a maximum value of its spin asymmetry of about 1% was measured. The magnetization direction of the Tb films is in-plane. Upon raising the temperature, no change in the domain distribution is observed, while the asymmetry in the electron reflectivity decreases when approaching the critical temperature, following a power law ˜(1-T /TC) β with a critical exponent β of 0.39.

  15. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  16. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  17. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1983-12-01

    operating at frequencies between 1 GHz and 25 GHz. 2. Investigate LPE growth of lithium ferrite with the objective of preparing low-loss, large area films ...and hexagonal ferrites when the series of contracts began in 1975. At that time the liquid phase epitaxy method for growth of magnetic garnet films ...principal interest in epitaxial garnets was for magnetic bubble memories. For this Uapplication the films had to be about 3pm thick with low defect density

  18. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1980-02-28

    shaped LPE garnet samples with 31.5um film thickness. We were informed that initial evalu- ation showed acceptably low insertion loss and that the material...frequencies above 25 GHz. c. Furnish up to eight (8) liquid phase epitaxy yttrium iron garnet films to RADC/EEA for testing and evaluation. These tasks...a "Method for Controlling Resonance Frequency of Yttrium Iron Garnet Films ." A patent, "Epitaxial Growth of M-type Hexagonal Ferrite Films on Spinel

  19. A surface science compatible epifluorescence microscope for inspection of samples under ultra high vacuum and cryogenic conditions.

    PubMed

    Marquardt, Christian; Paulheim, Alexander; Rohbohm, Nils; Merkel, Rudolf; Sokolowski, Moritz

    2017-08-01

    We modified an epi-illumination light microscope and mounted it on an ultra high vacuum chamber for investigating samples used in a surface science experiment. For easy access and bake out, all optical components are placed outside the vacuum and the sample is imaged through a glass window. The microscope can be operated in reflection brightfield or epifluorescence mode to image the sample surface or fluorescent dye molecules adsorbed on it. The homemade sample mounting was made compatible for the use under the microscope; sample temperatures as low as 6 K can be achieved. The performance of the microscope is demonstrated on two model samples: Brightfield-images of a well-prepared Ag(100) surface show a macroscopic corrugation of the surface, although low energy electron diffraction data indicate a highly ordered crystalline surface. The surface shows macroscopic protrusions with flat regions, about 20-200 μm in diameter, in between. Fluorescence images of diluted 3,4,9,10-perylene tetracarboxylicacid dianhydride (PTCDA) molecules adsorbed on an ultrathin epitaxial KCl film on the Ag(100) surface show a shading effect at surface protrusions due to an inclined angle of incidence of the PTCDA beam during deposition. For some preparations, the distribution of the fluorescence intensity is inhomogeneous and shows a dense network of bright patches about 5 μm in diameter related to the macroscopic corrugation of the surface. We propose that such a light microscope can aid many surface science experiments, especially those dealing with epitaxial growth or fluorescent materials.

  20. Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors

    DTIC Science & Technology

    2011-01-01

    Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors MATTHEW REASON,1 BRIAN R. BENNETT,1,2 RICHARD MAGNO,1 and J. BRAD BOOS1 1...2010 to 00-00-2010 4. TITLE AND SUBTITLE Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors 5a. CONTRACT NUMBER 5b. GRANT...Prescribed by ANSI Std Z39-18 EXPERIMENTAL PROCEDURES The samples reported in this work were grown by solid-source molecular - beam epitaxy (MBE) with

  1. Epitaxial Growth of Cadmium Telluride Films on Silicon and Indium Antimonide Substrates Using a Closed Hot Wall Epitaxy System

    NASA Astrophysics Data System (ADS)

    Kuo, Tien-Chuan

    For many applications, such as infrared detector and high speed devices, we need high quality cadmium telluride (CdTe) films. To fabricate CdTe films we are using a home -built Closed Hot Wall Epitaxy system (CHWE). This system consists of two growth chambers, preheat chamber, substrate exchange load lock and ultra-high vacuum system. It can exchange the substrates without disturbing the vacuum environment and prevents the source materials from contamination. Two different substrate materials, Si and InSb, are used in this work. Deposition parameters were varied in order to determine the growth condition for obtaining good quality CdTe films. The characteristics of the films were investigated by Scanning Electron Microscope, X-ray diffractormeter and Auger Electron Spectroscope. The electrical properties of Al/CdTe/InSb MIS diodes are also examined. Experimental results show that the quality of the CdTe films on these two substrates are functions of the source and substrate temperatures. The surface of CdTe films grown on Si substrate are rougher than CdTe films grown on InSb substrate. X -ray patterns show that the crystal orientations of the CdTe films are, (100) and (111), similar to those of the substrates under optimum growth conditions. The CdTe film are stoichiometric based on the results of Auger survey. Electrical measurement also indicates that CdTe films grown on InSb substrates have very high purity and are insulator. The induced stresses due to the differences of lattice constant and thermal expansion coefficient between CdTe films and substrates were observed in CdTe films. The critical thickness of CdTe films on InSb substrates are measured by X-ray diffraction to be 2.63 um.

  2. Devices for collecting chemical compounds

    DOEpatents

    Scott, Jill R; Groenewold, Gary S

    2013-12-24

    A device for sampling chemical compounds from fixed surfaces and related methods are disclosed. The device may include a vacuum source, a chamber and a sorbent material. The device may utilize vacuum extraction to volatilize the chemical compounds from a fixed surface so that they may be sorbed by the sorbent material. The sorbent material may then be analyzed using conventional thermal desorption/gas chromatography/mass spectrometry (TD/GC/MS) instrumentation to determine presence of the chemical compounds. The methods may include detecting release and presence of one or more chemical compounds and determining the efficacy of decontamination. The device may be useful in collection and analysis of a variety of chemical compounds, such as residual chemical warfare agents, chemical attribution signatures and toxic industrial chemicals.

  3. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  4. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  5. Epitaxial Graphene: A New Material for Electronics

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  6. [Effect of ozonated water on physical and chemical properties of vacuum sealing drainage material].

    PubMed

    Jiang, Nan; Ma, Yunfei; Lin, Qingrong; Chen, Anfu; Zhao, Peiran; Ni, Guoxin; Yu, Bin

    2013-02-01

    To investigate the influence of ozonated water on physical and chemical properties of vacuum sealing drainage (VSD) materials. VSD materials (foam and sealing membrane) were immersed in 10 µg/ml ozonated water for 1 h twice daily for 8 days. The foam appearance and microscopic structure of the materials were observed, and tensile tests and Raman spectrum scan were performed assess the effect of ozonated water. Simulated VSD devices were prepared and tested for leakproofness under negative pressure after ozonated water treatment. zonated water treatment for 8 days caused no obvious abnormal changes in the foam appearance or microscopic structure of the materials. The maximum tensile load of foam before and after ozonated water treatment was 4.25∓0.73 kgf and 2.44∓0.19 kgf (P=0.000), the momentary distance when the foam torn before and after intervention was 92.54∓12.83 mm and 64.44∓4.60 mm, respectively (P=0.000). The corresponding results for VSD sealing membrane were 0.70∓0.58 kgf and 0.71∓0.08 kgf (P=0.698), and 99.30∓10.27 mm and 100.95∓18.22 mm (P=0.966), respectively. Raman spectroscopy revealed changes in only several wave intensities and no new chemical groups appeared within the scan range of 400-4000 cm(-1). The VSD device was well hermetic after treatment with ozonated water. Except for a decreased stretch resistance property of the foam, VSD materials display no obvious changes in physical and chemical characteristics after treatment with ozonated water for 8 days.

  7. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    NASA Astrophysics Data System (ADS)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  8. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  9. The isotype ZnO/SiC heterojunction prepared by molecular beam epitaxy--A chemical inert interface with significant band discontinuities.

    PubMed

    Zhang, Yufeng; Lin, Nanying; Li, Yaping; Wang, Xiaodan; Wang, Huiqiong; Kang, Junyong; Wilks, Regan; Bär, Marcus; Mu, Rui

    2016-03-15

    ZnO/SiC heterojunctions show great potential for various optoelectronic applications (e.g., ultraviolet light emitting diodes, photodetectors, and solar cells). However, the lack of a detailed understanding of the ZnO/SiC interface prevents an efficient and rapid optimization of these devices. Here, intrinsic (but inherently n-type) ZnO were deposited via molecular beam epitaxy on n-type 6H-SiC single crystalline substrates. The chemical and electronic structure of the ZnO/SiC interfaces were characterized by ultraviolet/x-ray photoelectron spectroscopy and x-ray excited Auger electron spectroscopy. In contrast to the ZnO/SiC interface prepared by radio frequency magnetron sputtering, no willemite-like zinc silicate interface species is present at the MBE-ZnO/SiC interface. Furthermore, the valence band offset at the abrupt ZnO/SiC interface is experimentally determined to be (1.2 ± 0.3) eV, suggesting a conduction band offset of approximately 0.8 eV, thus explaining the reported excellent rectifying characteristics of isotype ZnO/SiC heterojunctions. These insights lead to a better comprehension of the ZnO/SiC interface and show that the choice of deposition route might offer a powerful means to tailor the chemical and electronic structures of the ZnO/SiC interface, which can eventually be utilized to optimize related devices.

  10. Electrical properties of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Moghadam, Reza; Ahmadi, Kamyar; Xiao, Z.-Y.; Hong, Xia; Ngai, Joseph

    The epitaxial growth of crystalline oxides on semiconductors enables new functionalities to be introduced to semiconductor devices. In particular, dielectric and ferroelectric oxides grown epitaxially on semiconductors provide a pathway to realize ultra-low power logic and memory devices. Here we present electrical characterization of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge through oxide molecular beam epitaxy. SrZrxTi1-xO3 is of particular interest since the band offset with respect to the semiconductor can be tuned through Zr content x. We will present current-voltage, capacitance-voltage and piezoforce microscopy characterization of SrZrxTi1-xO3 -Ge heterojunctions. In particular, we will discuss how the electrical characteristics of SrZrxTi1-xO3 -Ge heterojunctions evolve with respect to composition, annealing and film thickness.

  11. Efficient Interlayer Relaxation and Transition of Excitons in Epitaxial and Non-epitaxial MoS2/WS2 Heterostructures

    DOE PAGES

    Yu, Yifei; Hu, Shi; Su, Liqin; ...

    2014-12-03

    Semiconductor heterostructurs provide a powerful platform for the engineering of excitons. Here we report on the excitonic properties of two-dimensional (2D) heterostructures that consist of monolayer MoS2 and WS2 stacked epitaxially or non-epitaxially in the vertical direction. We find similarly efficient interlayer relaxation and transition of excitons in both the epitaxial and non-epitaxial heterostructures. This is manifested by a two orders of magnitude decrease in the photoluminescence and an extra absorption peak at low energy region of both heterostructures. The MoS2/WS2 heterostructures show weak interlayer coupling and essentially act as an atomic-scale heterojunction with the intrinsic band structures of themore » two monolayers largely preserved. They are particularly promising for the applications that request efficient dissociation of excitons and strong light absorption, including photovoltaics, solar fuels, photodetectors, and optical modulators. Our results also indicate that 2D heterostructures promise to provide capabilities to engineer excitons from the atomic level without concerns of interfacial imperfection.« less

  12. Friction, wear, and lubrication in vacuum

    NASA Technical Reports Server (NTRS)

    Buckley, D. H.

    1971-01-01

    A review of studies and observations on the friction, wear, and lubrication behavior of materials in a vacuum environment is presented. The factors that determine and influence friction and wear are discussed. They include topographical, physical, mechanical, and the chemical nature of the surface. The effects of bulk properties such as deformation characteristics, fracture behavior, and structure are included.

  13. Planar edge Schottky barrier-tunneling transistors using epitaxial graphene/SiC junctions.

    PubMed

    Kunc, Jan; Hu, Yike; Palmer, James; Guo, Zelei; Hankinson, John; Gamal, Salah H; Berger, Claire; de Heer, Walt A

    2014-09-10

    A purely planar graphene/SiC field effect transistor is presented here. The horizontal current flow over one-dimensional tunneling barrier between planar graphene contact and coplanar two-dimensional SiC channel exhibits superior on/off ratio compared to conventional transistors employing vertical electron transport. Multilayer epitaxial graphene (MEG) grown on SiC(0001̅) was adopted as the transistor source and drain. The channel is formed by the accumulation layer at the interface of semi-insulating SiC and a surface silicate that forms after high vacuum high temperature annealing. Electronic bands between the graphene edge and SiC accumulation layer form a thin Schottky barrier, which is dominated by tunneling at low temperatures. A thermionic emission prevails over tunneling at high temperatures. We show that neglecting tunneling effectively causes the temperature dependence of the Schottky barrier height. The channel can support current densities up to 35 A/m.

  14. Enhancement of lower critical field by reducing the thickness of epitaxial and polycrystalline MgB₂ thin films

    DOE PAGES

    Tan, Teng; Wolak, M. A.; Acharya, Narendra; ...

    2015-04-01

    For potential applications in superconducting RF cavities, we have investigated the properties of polycrystalline MgB₂ films, including the thickness dependence of the lower critical field Hc₁. MgB₂ thin films were fabricated by hybrid physical-chemical vapor deposition on (0001) SiC substrate either directly (for epitaxial films) or with a MgO buffer layer (for polycrystalline films). When the film thickness decreased from 300 nm to 100 nm, Hc₁ at 5 K increased from around 600 Oe to 1880 Oe in epitaxial films and to 1520 Oe in polycrystalline films. The result is promising for using MgB₂/MgO multilayers to enhance the vortex penetrationmore » field.« less

  15. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  16. Indian Vacuum Society: The Indian Vacuum Society

    NASA Astrophysics Data System (ADS)

    Saha, T. K.

    2008-03-01

    The Indian Vacuum Society (IVS) was established in 1970. It has over 800 members including many from Industry and R & D Institutions spread throughout India. The society has an active chapter at Kolkata. The society was formed with the main aim to promote, encourage and develop the growth of Vacuum Science, Techniques and Applications in India. In order to achieve this aim it has conducted a number of short term courses at graduate and technician levels on vacuum science and technology on topics ranging from low vacuum to ultrahigh vacuum So far it has conducted 39 such courses at different parts of the country and imparted training to more than 1200 persons in the field. Some of these courses were in-plant training courses conducted on the premises of the establishment and designed to take care of the special needs of the establishment. IVS also regularly conducts national and international seminars and symposia on vacuum science and technology with special emphasis on some theme related to applications of vacuum. A large number of delegates from all over India take part in the deliberations of such seminars and symposia and present their work. IVS also arranges technical visits to different industries and research institutes. The society also helped in the UNESCO sponsored post-graduate level courses in vacuum science, technology and applications conducted by Mumbai University. The society has also designed a certificate and diploma course for graduate level students studying vacuum science and technology and has submitted a syllabus to the academic council of the University of Mumbai for their approval, we hope that some colleges affiliated to the university will start this course from the coming academic year. IVS extended its support in standardizing many of the vacuum instruments and played a vital role in helping to set up a Regional Testing Centre along with BARC. As part of the development of vacuum education, the society arranges the participation of

  17. Numerical simulations of epitaxial growth process in MOVPE reactor as a tool for design of modern semiconductors for high power electronics

    NASA Astrophysics Data System (ADS)

    Skibinski, Jakub; Caban, Piotr; Wejrzanowski, Tomasz; Kurzydlowski, Krzysztof J.

    2014-10-01

    In the present study numerical simulations of epitaxial growth of gallium nitride in Metal Organic Vapor Phase Epitaxy reactor AIX-200/4RF-S is addressed. Epitaxial growth means crystal growth that progresses while inheriting the laminar structure and the orientation of substrate crystals. One of the technological problems is to obtain homogeneous growth rate over the main deposit area. Since there are many agents influencing reaction on crystal area such as temperature, pressure, gas flow or reactor geometry, it is difficult to design optimal process. According to the fact that it's impossible to determine experimentally the exact distribution of heat and mass transfer inside the reactor during crystal growth, modeling is the only solution to understand the process precisely. Numerical simulations allow to understand the epitaxial process by calculation of heat and mass transfer distribution during growth of gallium nitride. Including chemical reactions in numerical model allows to calculate the growth rate of the substrate and estimate the optimal process conditions for obtaining the most homogeneous product.

  18. Optical effects induced by epitaxial tension in lead titanate

    NASA Astrophysics Data System (ADS)

    Dejneka, A.; Chvostova, D.; Pacherova, O.; Kocourek, T.; Jelinek, M.; Tyunina, M.

    2018-01-01

    Single-crystal-type epitaxial films of perovskite oxide ferroelectrics are attractive for integrated photonic applications because of the remarkable optical properties and effects in ferroelectrics. The properties of the films may be influenced by epitaxial strain arising from the film-substrate mismatch. Here, dramatic strain-induced changes of the absorption and refraction are experimentally detected by spectroscopic ellipsometry in epitaxial films of archetypical ferroelectric PbTiO3. Comparison of the properties of a tensile-strained film with those of reference films and crystals reveals that epitaxial tension produces blueshifts of the primary above-bandgap absorption peaks by 1 eV and a decrease in the refractive index by 0.5 in the transparent spectral range. The obtained quadratic electrooptic and effective elastooptic coefficients exceed the bulk values by orders of magnitude. The experimental observations prove that epitaxy is a powerful tool for engineering unprecedented optical properties that may enable future photonics innovations.

  19. Vacancies in epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Davydov, S. Yu., E-mail: Sergei-Davydov@mail.ru

    The coherent-potential method is used to consider the problem of the influence of a finite concentration of randomly arranged vacancies on the density of states of epitaxial graphene. To describe the density of states of the substrate, simple models (the Anderson model, Haldane-Anderson model, and parabolic model) are used. The electronic spectrum of free single-sheet graphene is considered in the low-energy approximation. Charge transfer in the graphene-substrate system is discussed. It is shown that, in all cases, the density of states of epitaxial graphene decreases proportionally to the vacancy concentration. At the same time, the average charge transferred from graphenemore » to the substrate increases.« less

  20. Epitaxial CdSe-Au nanocrystal heterostructures by thermal annealing.

    PubMed

    Figuerola, Albert; van Huis, Marijn; Zanella, Marco; Genovese, Alessandro; Marras, Sergio; Falqui, Andrea; Zandbergen, Henny W; Cingolani, Roberto; Manna, Liberato

    2010-08-11

    The thermal evolution of a collection of heterogeneous CdSe-Au nanosystems (Au-decorated CdSe nanorods, networks, vertical assemblies) prepared by wet-chemical approaches was monitored in situ in the transmission electron microscope. In contrast to interfaces that are formed during kinetically controlled wet chemical synthesis, heating under vacuum conditions results in distinct and well-defined CdSe/Au interfaces, located at the CdSe polar surfaces. The high quality of these interfaces should make the heterostructures more suitable for use in nanoscale electronic devices.

  1. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    PubMed Central

    Wang, Rui; Lu, Fen; Fan, Wei Jun; Liu, Chong Yang; Loh, Ter-Hoe; Nguyen, Hoai Son; Narayanan, Balasubramanian

    2007-01-01

    Si/Si0.66Ge0.34coupled quantum well (CQW) structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD) system. The samples were characterized using high resolution x-ray diffraction (HRXRD), cross-sectional transmission electron microscopy (XTEM) and photoluminescence (PL) spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  2. Recent advances and applications of gas chromatography vacuum ultraviolet spectroscopy.

    PubMed

    Santos, Inês C; Schug, Kevin A

    2017-01-01

    The vacuum ultraviolet spectrophotometer was developed recently as an alternative to existing gas chromatography detectors. This detector measures the absorption of gas-phase chemical species in the range of 120-240 nm, where all chemical compounds present unique absorption spectra. Therefore, qualitative analysis can be performed and quantification follows standard Beer-Lambert law principles. Different fields of application, such as petrochemical, food, and environmental analysis have been explored. Commonly demonstrated is the capability for facile deconvolution of co-eluting analytes. The concept of additive absorption for co-eluting analytes has also been advanced for classification and speciation of complex mixtures using a data treatment procedure termed time interval deconvolution. Furthermore, pseudo-absolute quantitation can be performed for system diagnosis, as well as potentially calibrationless quantitation. In this manuscript an overview of these features, the vacuum ultraviolet spectrophotometer instrumentation, and performance capabilities are given. A discussion of the applications of the vacuum ultraviolet detector is provided by describing and discussing the papers published thus far since 2014. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Epitaxy of Fe/Cu/Si(1 1 1) ultrathin films: an Auger electron diffraction study

    NASA Astrophysics Data System (ADS)

    Castrucci, P.; Gunnella, R.; Bernardini, R.; Montecchiari, A.; Carboni, R.; De Crescenzi, M.

    2001-06-01

    Epitaxial Fe films, with thickness in the range between 1 and 50 ML (monolayer, ML), were grown in ultrahigh vacuum conditions on the 7×7 reconstructed (1 1 1)-Si surface. The films were evaporated on a Cu thick buffer layer to avoid iron silicides formation. Auger electron diffraction (AED) technique has been used to investigate the growth of the pseudomorphic film of fcc γ-Fe(1 1 1) and the successive growth of bcc Fe(1 1 0) domains in the Kurdjumov-Sachs orientation. The early stages of growth have been carefully investigated through AED to assess the pseudomorphism of iron γ-phase. AED patterns clearly show the presence of diffraction features that are fingerprints of the existence of a few bcc arranged atomic structures even for 1 ML iron coverage.

  4. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-12-01

    As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic-inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I-V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI2 and CH3NH3I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell.

  5. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    PubMed Central

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic–inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I-V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI2 and CH3NH3I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell. PMID

  6. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy.

    PubMed

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic-inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH 3 NH 3 I) and inorganic halide (B-site: PbI 2 ) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I - V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI 2 and CH 3 NH 3 I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell.

  7. High vacuum tip-enhanced Raman spectroscope based on a scanning tunneling microscope.

    PubMed

    Fang, Yurui; Zhang, Zhenglong; Sun, Mengtao

    2016-03-01

    In this paper, we present the construction of a high-vacuum tip-enhanced Raman spectroscopy (HV-TERS) system that allows in situ sample preparation and measurement. A detailed description of the prototype instrument is presented with experimental validation of its use and novel ex situ experimental results using the HV-TERS system. The HV-TERS system includes three chambers held under a 10(-7) Pa vacuum. The three chambers are an analysis chamber, a sample preparation chamber, and a fast loading chamber. The analysis chamber is the core chamber and contains a scanning tunneling microscope (STM) and a Raman detector coupled with a 50 × 0.5 numerical aperture objective. The sample preparation chamber is used to produce single-crystalline metal and sub-monolayer molecular films by molecular beam epitaxy. The fast loading chamber allows ex situ preparation of samples for HV-TERS analysis. Atomic resolution can be achieved by the STM on highly ordered pyrolytic graphite. We demonstrate the measurement of localized temperature using the Stokes and anti-Stokes TERS signals from a monolayer of 1,2-benzenedithiol on a gold film using a gold tip. Additionally, plasmonic catalysis can be monitored label-free at the nanoscale using our device. Moreover, the HV-TERS experiments show simultaneously activated infrared and Raman vibrational modes, Fermi resonance, and some other non-linear effects that are not observed in atmospheric TERS experiments. The high spatial and spectral resolution and pure environment of high vacuum are beneficial for basic surface studies.

  8. High vacuum tip-enhanced Raman spectroscope based on a scanning tunneling microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fang, Yurui; Bionanophotonics, Department of Applied Physics, Chalmers University of Technology, Göteborg, SE 41296; Zhang, Zhenglong

    2016-03-15

    In this paper, we present the construction of a high-vacuum tip-enhanced Raman spectroscopy (HV-TERS) system that allows in situ sample preparation and measurement. A detailed description of the prototype instrument is presented with experimental validation of its use and novel ex situ experimental results using the HV-TERS system. The HV-TERS system includes three chambers held under a 10{sup −7} Pa vacuum. The three chambers are an analysis chamber, a sample preparation chamber, and a fast loading chamber. The analysis chamber is the core chamber and contains a scanning tunneling microscope (STM) and a Raman detector coupled with a 50 ×more » 0.5 numerical aperture objective. The sample preparation chamber is used to produce single-crystalline metal and sub-monolayer molecular films by molecular beam epitaxy. The fast loading chamber allows ex situ preparation of samples for HV-TERS analysis. Atomic resolution can be achieved by the STM on highly ordered pyrolytic graphite. We demonstrate the measurement of localized temperature using the Stokes and anti-Stokes TERS signals from a monolayer of 1,2-benzenedithiol on a gold film using a gold tip. Additionally, plasmonic catalysis can be monitored label-free at the nanoscale using our device. Moreover, the HV-TERS experiments show simultaneously activated infrared and Raman vibrational modes, Fermi resonance, and some other non-linear effects that are not observed in atmospheric TERS experiments. The high spatial and spectral resolution and pure environment of high vacuum are beneficial for basic surface studies.« less

  9. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    PubMed

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  10. Giant magnetoresistive structures based on CrO{sub 2} with epitaxial RuO{sub 2} as the spacer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miao, G.X.; Gupta, A.; Sims, H.

    2005-05-15

    Epitaxial ruthenium dioxide (RuO{sub 2})/chromium dioxide(CrO{sub 2}) thin film heterostructures have been grown on (100)-TiO{sub 2} substrates by chemical vapor deposition. Both current-in-plane (CIP) and current-perpendicular-to-plane (CPP) giant magnetoresistive stacks were fabricated with either Co or another epitaxial CrO{sub 2} layer as the top electrode. The Cr{sub 2}O{sub 3} barrier, which forms naturally on CrO{sub 2} surfaces, is no longer present after the RuO{sub 2} deposition, resulting in a highly conductive interface that has a resistance at least four orders of magnitude lower. However, only very limited magnetoresistance (MR) was observed. Such low MR is due to the appearance ofmore » a chemically and magnetically disordered layer at the CrO{sub 2} and RuO{sub 2} interfaces when Cr{sub 2}O{sub 3} is transformed into rutile structures during its intermixing with RuO{sub 2}.« less

  11. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  12. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  13. Solidification observations and sliding wear behavior of vacuum arc melting processed Ni-Al-TiC composites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Karantzalis, A.E., E-mail: akarantz@cc.uoi.gr; Lekatou, A.; Tsirka, K.

    2012-07-15

    Monolithic Ni{sub 3}Al and Ni-25 at.%Al intermetallic matrix TiC-reinforced composites were successfully produced by vacuum arc melting. TiC crystals were formed through a dissolution-reprecipitation mechanism and their final morphology is explained by means of a) Jackson's classical nucleation and growth phenomena and b) solidification rate considerations. The TiC presence altered the matrix microconstituents most likely due to specific melt-particle interactions and crystal plane epitaxial matching. TiC particles caused a significant decrease on the specific wear rate of the monolithic Ni{sub 3}Al alloy and the possible wear mechanisms are approached by means of a) surface oxidation, b) crack/flaws formation, c) materialmore » detachment and d) debris-counter surfaces interactions. - Highlights: Black-Right-Pointing-Pointer Vacuum arc melting (VAM) of Ni-Al based intermetallic matrix composite materials. Black-Right-Pointing-Pointer Solidification phenomena examination. Black-Right-Pointing-Pointer TiC crystal formation and growth mechanisms. Black-Right-Pointing-Pointer Sliding wear examination.« less

  14. Performance of epitaxial back surface field cells

    NASA Technical Reports Server (NTRS)

    Brandhorst, H. W., Jr.; Baraona, C. R.; Swartz, C. K.

    1973-01-01

    Epitaxial back surface field structures were formed by depositing a 10 micron thick 10 Omega-cm epitaxial silicon layer onto substrates with resistivities of 0.01, 0.1, 1.0 and 10 Omega-cm. A correlation between cell open-circuit voltage and substrate resistivity was observed and was compared to theory. The cells were also irradiated with 1 MeV electrons to a fluence of 5 X 10 to the 15th power e/cm2. The decrease of cell open-circuit voltage was in excellent agreement with theoretical predictions and the measured short circuit currents were within 2% of the prediction. Calculations are presented of optimum cell performance as functions of epitaxial layer thickness, radiation fluence and substrate diffusion length.

  15. Kinetic limitation of chemical ordering in Bi2Te3-x Se x layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Schreyeck, S.; Brunner, K.; Kirchner, A.; Bass, U.; Grauer, S.; Schumacher, C.; Gould, C.; Karczewski, G.; Geurts, J.; Molenkamp, L. W.

    2016-04-01

    We study the chemical ordering in Bi2Te3-x Se x grown by molecular beam epitaxy on Si substrates. We produce films in the full composition range from x  =  0 to 3, and determine their material properties using energy dispersive x-ray spectroscopy, x-ray diffraction and Raman spectroscopy. By fitting the parameters of a kinetic growth model to these results, we obtain a consistent description of growth at a microscopic level. Our main finding is that despite the incorporation of Se in the central layer being much more probable than that of Te, the formation of a fully ordered Te-Bi-Se-Bi-Te layer is prevented by kinetic of the growth process. Indeed, the Se concentration in the central layer of Bi2Te2Se1 reaches a maximum of only  ≈75% even under ideal growth conditions. A second finding of our work is that the intensity ratio of the 0 0 12 and 0 0 6 x-ray reflections serves as an experimentally accessible quantitative measure of the degree of ordering in these films.

  16. Investigation of the asymmetric misfit dislocation morphology in epitaxial layers with the zinc-blende structure

    NASA Technical Reports Server (NTRS)

    Fox, Bradley A.; Jesser, William A.

    1990-01-01

    The source of the asymmetry in the dislocation morphology exhibited in the epitaxial growth of compound semiconductors on (100) was investigated. A thickness wedge of p- and n-type GaAs(0.95)P(0.05) was grown on GaAs by metalorganic chemical vapor deposition, and the effect of misorientation on the resolved shear stress for each slip system was calculated and eliminated as the source of the asymmetry. Another potential source of asymmetry, the thickness gradient, was also eliminated. Results show that the substrate misorientation and the thickness gradient do not significantly contribute to the asymmetry and that the dominant contributor to the asymmetry of misfit dislocations in the (001) epitaxial interface can be attributed to the differences in the Peierls barriers between the two types of dilocations in GaAsP/GaAs.

  17. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  18. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  19. Complex technology of vacuum-arc processing of structural material surface

    NASA Astrophysics Data System (ADS)

    Arustamov, V. N.; Ashurov, Kh. B.; Kadyrov, Kh. Kh.; Khudoikulov, I. Kh.

    2015-08-01

    The development of environmentally friendly and energy-resource-saving technologies based on vacuum arc discharge is a topical problem in science and engineering. In view of their unique properties, cathode spots of a vacuum arc induce cleaning of the surface of an article (cathode) from various contaminations and pulsed thermal action on the surface layers. These processes occur in complex with vacuum-arc deposition of coatings in the same technological cycle, which makes it possible to considerably increase the efficiency of methods for changing physical, mechanical, and chemical properties of the surface of steel articles, which considerably increase their service life. Analysis of the formation of the temperature regime of the surface during vacuum arc action and of the parameters of the deposited coating will make it possible to optimize the regimes of complex treatment of the surfaces of articles and is of considerable theoretical and practical importance.

  20. Vacuum mechatronics

    NASA Technical Reports Server (NTRS)

    Hackwood, Susan; Belinski, Steven E.; Beni, Gerardo

    1989-01-01

    The discipline of vacuum mechatronics is defined as the design and development of vacuum-compatible computer-controlled mechanisms for manipulating, sensing and testing in a vacuum environment. The importance of vacuum mechatronics is growing with an increased application of vacuum in space studies and in manufacturing for material processing, medicine, microelectronics, emission studies, lyophylisation, freeze drying and packaging. The quickly developing field of vacuum mechatronics will also be the driving force for the realization of an advanced era of totally enclosed clean manufacturing cells. High technology manufacturing has increasingly demanding requirements for precision manipulation, in situ process monitoring and contamination-free environments. To remove the contamination problems associated with human workers, the tendency in many manufacturing processes is to move towards total automation. This will become a requirement in the near future for e.g., microelectronics manufacturing. Automation in ultra-clean manufacturing environments is evolving into the concept of self-contained and fully enclosed manufacturing. A Self Contained Automated Robotic Factory (SCARF) is being developed as a flexible research facility for totally enclosed manufacturing. The construction and successful operation of a SCARF will provide a novel, flexible, self-contained, clean, vacuum manufacturing environment. SCARF also requires very high reliability and intelligent control. The trends in vacuum mechatronics and some of the key research issues are reviewed.

  1. A vacuum gauge based on an ultracold gas

    NASA Astrophysics Data System (ADS)

    Makhalov, V. B.; Turlapov, A. V.

    2017-06-01

    We report the design and application of a primary vacuum gauge based on an ultracold gas of atoms in an optical dipole trap. The pressure is calculated from the confinement time for atoms in the trap. The relationship between pressure and confinement time is established from the first principles owing to elimination of all channels introducing losses, except for knocking out an atom from the trap due to collisions with a residual gas particle. The method requires the knowledge of the gas chemical composition in the vacuum chamber, and, in the absence of this information, the systematic error is less than that of the ionisation sensor.

  2. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    PubMed Central

    Liao, Yu-Kuang; Liu, Yung-Tsung; Hsieh, Dan-Hua; Shen, Tien-Lin; Hsieh, Ming-Yang; Tzou, An-Jye; Chen, Shih-Chen; Tsai, Yu-Lin; Lin, Wei-Sheng; Chan, Sheng-Wen; Shen, Yen-Ping; Cheng, Shun-Jen; Chen, Chyong-Hua; Wu, Kaung-Hsiung; Chen, Hao-Ming; Kuo, Shou-Yi; Charlton, Martin D. B.; Hsieh, Tung-Po; Kuo, Hao-Chung

    2017-01-01

    Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs) with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD) and chemical bath deposition (CBD) as used by the Cu(In,Ga)Se2 (CIGS) thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase. PMID:28383488

  3. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  4. Natural vacuum electronics

    NASA Technical Reports Server (NTRS)

    Leggett, Nickolaus

    1990-01-01

    The ambient natural vacuum of space is proposed as a basis for electron valves. Each valve is an electron controlling structure similiar to a vacuum tube that is operated without a vacuum sustaining envelope. The natural vacuum electron valves discussed offer a viable substitute for solid state devices. The natural vacuum valve is highly resistant to ionizing radiation, system generated electromagnetic pulse, current transients, and direct exposure to space conditions.

  5. Enhanced vacuum laser-impulse coupling by volume absorption at infrared wavelengths

    NASA Astrophysics Data System (ADS)

    Phipps, C. R., Jr.; Harrison, R. F.; Shimada, T.; York, G. W.; Turner, R. F.

    1990-03-01

    This paper reports measurements of vacuum laser impulse coupling coefficients as large as 90 dyne/W, obtained with single microsec-duration CO2 laser pulses incident on a volume-absorbing, cellulose-nitrate-based plastic. This result is the largest coupling coefficient yet reported at any wavelength for a simple, planar target in vacuum, and partly results from expenditure of internal chemical energy in this material. Enhanced coupling was also observed in several other target materials that are chemically passive, but absorb light in depth at 10- and 3-micron wavelengths. The physical distinctions are discussed between this important case and that of simple, planar surface absorbers (such as metals) which were studied in the same experimental series, in light of the predictions of a simple theoretical model.

  6. Vacuum fusion bonded glass plates having microstructures thereon

    DOEpatents

    Swierkowski, Steve P.; Davidson, James C.; Balch, Joseph W.

    2001-01-01

    An improved apparatus and method for vacuum fusion bonding of large, patterned glass plates. One or both glass plates are patterned with etched features such as microstructure capillaries and a vacuum pumpout moat, with one plate having at least one hole therethrough for communication with a vacuum pumpout fixture. High accuracy alignment of the plates is accomplished by a temporary clamping fixture until the start of the fusion bonding heat cycle. A complete, void-free fusion bond of seamless, full-strength quality is obtained through the plates; because the glass is heated well into its softening point and because of a large, distributed force that is developed that presses the two plates together from the difference in pressure between the furnace ambient (high pressure) and the channeling and microstructures in the plates (low pressure) due to the vacuum drawn. The apparatus and method may be used to fabricate microcapillary arrays for chemical electrophoresis; for example, any apparatus using a network of microfluidic channels embedded between plates of glass or similar moderate melting point substrates with a gradual softening point curve, or for assembly of glass-based substrates onto larger substrates, such as in flat panel display systems.

  7. Studies of Niobium Thin Film Produced by Energetic Vacuum Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genfa Wu; Anne-Marie Valente; H. Phillips

    2004-05-01

    An energetic vacuum deposition system has been used to study deposition energy effects on the properties of niobium thin films on copper and sapphire substrates. The absence of working gas avoids the gaseous inclusions commonly seen with sputtering deposition. A biased substrate holder controls the deposition energy. Transition temperature and residual resistivity ratio of the niobium thin films at several deposition energies are obtained together with surface morphology and crystal orientation measurements by AFM inspection, XRD and TEM analysis. The results show that niobium thin films on sapphire substrate exhibit the best cryogenic properties at deposition energy around 123 eV.more » The TEM analysis revealed that epitaxial growth of film was evident when deposition energy reaches 163 eV for sapphire substrate. Similarly, niobium thin film on copper substrate shows that film grows more oriented with higher deposition energy and grain size reaches the scale of the film thickness at the deposition energy around 153 eV.« less

  8. VACUUM TRAP

    DOEpatents

    Gordon, H.S.

    1959-09-15

    An improved adsorption vacuum trap for use in vacuum systems was designed. The distinguishing feature is the placement of a plurality of torsionally deformed metallic fins within a vacuum jacket extending from the walls to the central axis so that substantially all gas molecules pass through the jacket will impinge upon the fin surfaces. T fins are heated by direct metallic conduction, thereby ol taining a uniform temperature at the adeorbing surfaces so that essentially all of the condensible impurities from the evacuating gas are removed from the vacuum system.

  9. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    NASA Astrophysics Data System (ADS)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  10. Long-life micro vacuum chamber for a micromachined cryogenic cooler

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cao, Haishan, E-mail: H.Cao@utwente.nl, E-mail: HaishanCao@gmail.com; Vermeer, Cristian H.; Vanapalli, Srinivas

    2015-11-15

    Micromachined cryogenic coolers can be used for cooling small electronic devices to improve their performance. However, for reaching cryogenic temperatures, they require a very good thermal insulation from the warm environment. This is established by a vacuum space that for adequate insulation has to be maintained at a pressure of 0.01 Pa or lower. In this paper, the challenge of maintaining a vacuum chamber with a volume of 3.6 × 10{sup −5} m{sup 3} and an inner wall area of 8.1 × 10{sup −3} m{sup 2} at a pressure no higher than 0.01 Pa for five years is theoretically analyzed. The possiblemore » sources of gas, the mechanisms by which these gases enter the vacuum space and their effects on the pressure in the vacuum chamber are discussed. In a long-duration experiment with four stainless steel chambers of the above dimensions and equipped with a chemical getter, the vacuum pressures were monitored for a period of two years. In that period, the measured pressure increase stayed within 0.01 Pa. This study can be used to guide the design of long-lifetime micro vacuum chambers that operate without continuous mechanical pumping.« less

  11. Better vacuum by removal of diffusion-pump-oil contaminants

    NASA Technical Reports Server (NTRS)

    Buggele, A. E.

    1975-01-01

    The complex problem of why large space simulation chambers do not realize true ultimate vacuum was investigated. Some contaminating factors affecting diffusion pump performance were identified, and some advances in vacuum distillation-fractionation technology were achieved which resulted in a two-decade-or-more lower ultimate pressure. Data are presented to show the overall or individual contaminating effects of commonly used phthalate ester plasticizers of 390 to 530 molecular weight on diffusion pump performance. Methods for removing contaminants from diffusion pump silicone oil during operation and for reclaiming contaminated oil by high-vacuum molecular distillation are described. Conceptual self-cleansing designs and operating procedures are proposed for modifying large diffusion pumps into high-efficiency distillation devices. The potential exists for application of these technological advancements to other disciplines, such as medicine, biomedical materials, metallurgy, refining, and chemical (diffusion-enrichment) processing.

  12. Matter-antimatter asymmetry induced by a running vacuum coupling

    NASA Astrophysics Data System (ADS)

    Lima, J. A. S.; Singleton, D.

    2017-12-01

    We show that a CP-violating interaction induced by a derivative coupling between the running vacuum and a non-conserving baryon current may dynamically break CPT and trigger baryogenesis through an effective chemical potential. By assuming a non-singular class of running vacuum cosmologies which provides a complete cosmic history (from an early inflationary de Sitter stage to the present day quasi-de Sitter acceleration), it is found that an acceptable baryon asymmetry is generated for many different choices of the model parameters. It is interesting that the same ingredient (running vacuum energy density) addresses several open cosmological questions/problems: avoids the initial singularity, provides a smooth exit for primordial inflation, alleviates both the coincidence and the cosmological constant problems, and, finally, is also capable of explaining the generation of matter-antimatter asymmetry in the very early Universe.

  13. Vacuum Pyrolysis and Related ISRU Techniques

    NASA Technical Reports Server (NTRS)

    Cardiff, Eric H.; Pomeroy, Brian R.; Banks, Ian S.; Benz, Alexis

    2007-01-01

    A number of ISRU-related techniques have been developed at NASA Goddard Space Flight Center. The focus of the team has been on development of the vacuum pyrolysis technique for the production of oxygen from the lunar regolith. However, a number of related techniques have also been developed, including solar concentration, solar heating of regolith, resistive heating of regolith, sintering, regolith boiling, process modeling, parts manufacturing, and instrumentation development. An initial prototype system was developed to vaporize regolith simulants using a approx. l square meter Fresnel lens. This system was successfully used to vaporize quantities of approx. lg, and both mass spectroscopy of the gasses produced and Scanning Electron Microscopy (SEM) of the slag were done to show that oxygen was produced. Subsequent tests have demonstrated the use of a larger system With a 3.8m diameter reflective mirror to vaporize the regolith. These results and modeling of the vacuum pyrolysis reaction have indicated that the vaporization of the oxides in the regolith will occur at lower temperature for stronger vacuums. The chemical modeling was validated by testing of a resistive heating system that vaporized quantities of approx. 10g of MLS-1A. This system was also used to demonstrate the sintering of regolith simulants at reduced temperatures in high vacuum. This reduction in the required temperature prompted the development of a small-scale resistive heating system for application as a scientific instrument as well as a proof-of principle experiment for oxygen production.

  14. Systems and methods for analyzing liquids under vacuum

    DOEpatents

    Yu, Xiao-Ying; Yang, Li; Cowin, James P.; Iedema, Martin J.; Zhu, Zihua

    2013-10-15

    Systems and methods for supporting a liquid against a vacuum pressure in a chamber can enable analysis of the liquid surface using vacuum-based chemical analysis instruments. No electrical or fluid connections are required to pass through the chamber walls. The systems can include a reservoir, a pump, and a liquid flow path. The reservoir contains a liquid-phase sample. The pump drives flow of the sample from the reservoir, through the liquid flow path, and back to the reservoir. The flow of the sample is not substantially driven by a differential between pressures inside and outside of the liquid flow path. An aperture in the liquid flow path exposes a stable portion of the liquid-phase sample to the vacuum pressure within the chamber. The radius, or size, of the aperture is less than or equal to a critical value required to support a meniscus of the liquid-phase sample by surface tension.

  15. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  16. Self-Lubricating, Wear-Resistant Diamond Films Developed for Use in Vacuum Environment

    NASA Technical Reports Server (NTRS)

    1996-01-01

    Diamond's outstanding properties--extreme hardness, chemical and thermal inertness, and high strength and rigidity--make it an ideal material for many tribological applications, such as the bearings, valves, and engine parts in the harsh environment found in internal-combustion engines, jet engines, and space propulsion systems. It has been demonstrated that chemical-vapor-deposited diamond films have low coefficients of friction (on the order of 0.01) and low wear rates (less than 10(sup -7) mm (sup 3/N-m)) both in humid air and dry nitrogen but that they have both high coefficients of friction (greater than 0.4) and high wear rates (on the order of 1(sup -4) mm sup 3/N-m)) in vacuum. It is clear that surface modifications that provide acceptable levels of friction and wear properties will be necessary before diamond films can be used for tribological applications in a space-like, vacuum environment. Previously, it was found that coatings of amorphous, non-diamond carbon can provide low friction in vacuum. Therefore, to reduce the friction and wear of diamond film in vacuum, carbon ions were implanted in an attempt to form a surface layer of amorphous carbon phases on the diamond films.

  17. Epitaxial nickel disilicide with low resistivity and excellent reliability.

    PubMed

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-12

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black's equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  18. Epitaxial nickel disilicide with low resistivity and excellent reliability

    NASA Astrophysics Data System (ADS)

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-01

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black’s equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  19. Advanced performance of small diaphragm vacuum pumps through the use of mechatronics

    NASA Astrophysics Data System (ADS)

    Lachenmann, R.; Dirscherl, J.

    Oil-free diaphragm vacuum pumps have proven to be the best way in vacuum generation for the chemical laboratory and they also find increasing use as backing pumps for modern wide-range turbo molecular pumps. The majority of vacuum pumps in practical use pump only a rather small percentage of their lifetime at full gas load. A pump backing a turbo molecular pump does not have to pump a significant gas load when the high-vacuum pump is running at ultimate vacuum pressure. Also, for a vacuum distillation the vacuum pump has to operate at full speed only at the beginning to lower the pressure inside the system to a vacuum level where evaporation starts. In a rather leak-tight system the distillation process continues by evaporating from the hot liquid and condensing at the cold condenser without the need of a mechanical vacuum pump. Rotational speed controlled diaphragm pumps are now available through progress in mechatronics and offer high pumping speed capability for fast pump-down cycles and precise pressure control for distillations. At low gas load the rotational speed can be reduced, improving maintenance intervals, power consumption, noise, vibration and - surprisingly - also ultimate pressure. The different behaviour in pumping speed and ultimate pressure of rotational speed controlled diaphragm pumps in comparison to constant-speed pumps is related to the mechanical properties of the valves and gas dynamics .

  20. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  1. Impact of vacuum frying on quality of potato crisps and frying oil.

    PubMed

    Belkova, Beverly; Hradecky, Jaromir; Hurkova, Kamila; Forstova, Veronika; Vaclavik, Lukas; Hajslova, Jana

    2018-02-15

    This research was focused on a critical assessment of vacuum frying as a technology enabling minimization of acrylamide formation in potato crisps and reducing undesirable chemical changes that occur in frying oil at high temperatures. The potato slices were fried in rapeseed oil under vacuum at 125°C and atmospheric pressure at 165°C. The experiments were performed on two potato varieties, Saturna and Impala. Vacuum frying reduced the formation of acrylamide by 98% and also other Maillard reaction products, specifically alkylpyrazines. Concurrently a lower extent of oxidative changes was observed in the frying oil, while 3-MCPD esters decreased fairly quickly during conventional frying. Sensory characteristics of the vacuum and conventionally fried potato crisps were evaluated by a 23-member panel. The majority of panellists preferred the flavour of 'conventional crisps', while only a few of them appreciated potato-like fresh flavour of 'vacuum crisps' and classified this product as 'tasty'. Copyright © 2017. Published by Elsevier Ltd.

  2. Retention of antioxidant capacity of vacuum microwave dried cranberry.

    PubMed

    Leusink, Gwen J; Kitts, David D; Yaghmaee, Parastoo; Durance, Tim

    2010-04-01

    In this study, cranberries were dried by vacuum-microwave drying (VMD), freeze-drying (FD), or hot air-drying (AD), to compare the effects of different drying processes on both physical changes as well as the retention of bioactive components in dried samples. Total porosity (%) and average pore radius of dehydrated cranberries were greater using VMD compared to FD and AD (P < 0.05). Crude methanol cranberry powdered extracts were fractionated by solid phase extraction (SPE) into organic acid-, total phenolics-, anthocyanin-, or proanthocyanidin-enriched extracts, respectively. The chemical composition of the 60% acidified methanol fractions contained cyanidin-3-galactoside, cyanidin-3-arabinoside, peonidin-3-galactoside, and peonidin-3-arabinoside, as assessed by HPLC. Antioxidant activities of cranberry fractions were measured using chemical ORAC and ABTS methods. The 60% acidified methanol fraction had a significantly higher (P < 0.05) antioxidant potential than the other chemical fractions, which was largely attributed to the relatively higher anthocyanin content. In general, vacuum-microwave drying and freeze-drying resulted in similar retention of anthocyanins and antioxidant activity, which were both relatively higher (P < 0.05) than that recovered from cranberries dried by hot air drying.

  3. Iso-oriented monolayer α-MoO 3 (010) films epitaxially grown on SrTiO 3 (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Du, Yingge; Li, Guoqiang; Peterson, Erik W.

    The ability to synthesis well-ordered two-dimensional materials under ultra-high vacuum and directly characterize them by other techniques in-situ can greatly advance our current understanding on their physical and chemical properties. In this paper, we demonstrate that iso-oriented α-MoO3 films with as low as single monolayer thickness can be reproducibly grown on SrTiO3(001) substrates by molecular beam epitaxy ( (010)MoO3 || (001)STO, [100]MoO3 || [100]STO or [010]STO) through a self-limiting process. While one in-plane lattice parameter of the MoO3 is very close to that of the SrTiO3 (aMoO3 = 3.96 Å, aSTO = 3.905 Å), the lattice mismatch along other directionmore » is large (~5%, cMoO3 = 3.70 Å), which leads to relaxation as clearly observed from the splitting of streaks in reflection high-energy electron diffraction (RHEED) patterns. A narrow range in the growth temperature is found to be optimal for the growth of monolayer α-MoO3 films. Increasing deposition time will not lead to further increase in thickness, which is explained by a balance between deposition and thermal desorption due to the weak van der Waals force between α-MoO3 layers. Lowering growth temperature after the initial iso-oriented α-MoO3 monolayer leads to thicker α-MoO3(010) films with excellent crystallinity.« less

  4. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  5. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  6. Molecular-beam epitaxy of (Zn,Mn)Se on Si(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Slobodskyy, T.; Ruester, C.; Fiederling, R.

    2004-12-20

    We have investigated the growth by molecular-beam epitaxy of the II-VI diluted magnetic semiconductor (Zn,Mn)Se on As-passivated Si(100) substrates. The growth start has been optimized by using low-temperature epitaxy. Surface properties were assessed by Nomarski and scanning electron microscopy. Optical properties of (Zn,Mn)Se have been studied by photoluminescence and a giant Zeeman splitting of up to 30 meV has been observed. Our observations indicate a high crystalline quality of the epitaxial films.

  7. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  8. Comparison of vacuum and non-vacuum urine tubes for urinary sediment analysis.

    PubMed

    Topcuoglu, Canan; Sezer, Sevilay; Kosem, Arzu; Ercan, Mujgan; Turhan, Turan

    2017-12-01

    Urine collection systems with aspiration system for vacuum tubes are becoming increasingly common for urinalysis, especially for microscopic examination of the urine. In this study, we aimed to examine whether vacuum aspiration of the urine sample has any adverse effect on sediment analysis by comparing results from vacuum and non-vacuum urine tubes. The study included totally 213 urine samples obtained from inpatients and outpatients in our hospital. Urine samples were collected to containers with aspiration system for vacuum tubes. Each sample was aliquoted to both vacuum and non-vacuum urine tubes. Urinary sediment analysis was performed using manual microscope. Results were evaluated using chi-square test. Comparison of the sediment analysis results from vacuum and non-vacuum urine tubes showed that results were highly concordant for erythrocyte, leukocyte and epithelial cells (gamma values 1, 0.997, and 0.994, respectively; p < .001). Results were also concordant for urinary casts, crystals and yeast (kappa values 0.815, 0.945 and 1, respectively; p < .001). The results show that in urinary sediment analysis, vacuum aspiration has no adverse effect on the cellular components except on casts.

  9. Device for collecting chemical compounds and related methods

    DOEpatents

    Scott, Jill R.; Groenewold, Gary S.; Rae, Catherine

    2013-01-01

    A device for sampling chemical compounds from fixed surfaces and related methods are disclosed. The device may include a vacuum source, a chamber and a sorbent material. The device may utilize vacuum extraction to volatilize the chemical compounds from the fixed surfaces so that they may be sorbed by the sorbent material. The sorbent material may then be analyzed using conventional thermal desorption/gas chromatography/mass spectrometry (TD/GC/MS) instrumentation to determine presence of the chemical compounds. The methods may include detecting release and presence of one or more chemical compounds and determining the efficacy of decontamination. The device may be useful in collection and analysis of a variety of chemical compounds, such as residual chemical warfare agents, chemical attribution signatures and toxic industrial chemicals.

  10. Cold cathode vacuum discharge tube

    DOEpatents

    Boettcher, G.E.

    1998-04-14

    A cold cathode vacuum discharge tube, and method for making same, with an interior surface of the trigger probe coated with carbon deposited by chemical vapor deposition (CVD) or diamond-like carbon (DLC) deposition are disclosed. Preferably a solid graphite insert is employed in the probe-cathode structure in place of an aluminum bushing employed in the prior art. The CVD or DLC probe face is laser scribed to allow resistance trimming to match available trigger voltage signals and to reduce electrical aging. 14 figs.

  11. Electrostatic transfer of epitaxial graphene to glass.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohta, Taisuke; Pan, Wei; Howell, Stephen Wayne

    2010-12-01

    We report on a scalable electrostatic process to transfer epitaxial graphene to arbitrary glass substrates, including Pyrex and Zerodur. This transfer process could enable wafer-level integration of graphene with structured and electronically-active substrates such as MEMS and CMOS. We will describe the electrostatic transfer method and will compare the properties of the transferred graphene with nominally-equivalent 'as-grown' epitaxial graphene on SiC. The electronic properties of the graphene will be measured using magnetoresistive, four-probe, and graphene field effect transistor geometries [1]. To begin, high-quality epitaxial graphene (mobility 14,000 cm2/Vs and domains >100 {micro}m2) is grown on SiC in an argon-mediated environmentmore » [2,3]. The electrostatic transfer then takes place through the application of a large electric field between the donor graphene sample (anode) and the heated acceptor glass substrate (cathode). Using this electrostatic technique, both patterned few-layer graphene from SiC(000-1) and chip-scale monolayer graphene from SiC(0001) are transferred to Pyrex and Zerodur substrates. Subsequent examination of the transferred graphene by Raman spectroscopy confirms that the graphene can be transferred without inducing defects. Furthermore, the strain inherent in epitaxial graphene on SiC(0001) is found to be partially relaxed after the transfer to the glass substrates.« less

  12. Method of varying a characteristic of an optical vertical cavity structure formed by metalorganic vapor phase epitaxy

    DOEpatents

    Hou, Hong Q.; Coltrin, Michael E.; Choquette, Kent D.

    2001-01-01

    A process for forming an array of vertical cavity optical resonant structures wherein the structures in the array have different detection or emission wavelengths. The process uses selective area growth (SAG) in conjunction with annular masks of differing dimensions to control the thickness and chemical composition of the materials in the optical cavities in conjunction with a metalorganic vapor phase epitaxy (MOVPE) process to build these arrays.

  13. Hydrothermal epitaxy and resultant properties of EuTiO3 films on SrTiO3(001) substrate

    PubMed Central

    2014-01-01

    We report a novel epitaxial growth of EuTiO3 films on SrTiO3(001) substrate by hydrothermal method. The morphological, structural, chemical, and magnetic properties of these epitaxial EuTiO3 films were examined by scanning electron microscopy, transmission electron microscopy, high-resolution X-ray diffractometry, X-ray photoelectron spectroscopy, and superconducting quantum interference device magnetometry, respectively. As-grown EuTiO3 films with a perovskite structure were found to show an out-of-plane lattice shrinkage and room-temperature ferromagnetism, possibly resulting from an existence of Eu3+. Postannealing at 1,000°C could reduce the amount of Eu3+, relax the out-of-plane lattice shrinkage, and impact the magnetic properties of the films. PACS 81.10.Aj; 81.15.-z; 61.05.-a PMID:24948889

  14. Physical properties of nanometer graphene oxide films partially and fully reduced by annealing in ultra-high vacuum

    NASA Astrophysics Data System (ADS)

    Jernigan, Glenn G.; Nolde, Jill A.; Mahadik, Nadeem A.; Cleveland, Erin R.; Boercker, Janice E.; Katz, Michael B.; Robinson, Jeremy T.; Aifer, Edward H.

    2017-08-01

    The properties of reduced graphene oxide (GO) are reported from a non-chemical reduction method. Ultra-high vacuum annealing of GO films in the thickness of 1-80 nm was studied by XPS, AFM, UV-Vis-NIR, Raman, and TEM to observe the controlled removal of oxygen. We observed the loss of hydroxyl (C-OH) at low temperatures (<600 °C) followed by the complete loss of carbonyls (C = O) and epoxy (C-O-C) species by 1200 °C. As oxygen was removed, we observed a decrease in the layer spacing between the GO sheets and a concurrent decrease in the film resistance. While the Raman spectroscopy showed no change with reduction, indicating no change in the overall defect density or the general structure of the GO, the transmission spectra showed a shift in the transmission minimum from 245 nm to 260 nm, and a total decrease in transmission above 800 nm occurs as the films visibly darken. TEM indicated that there is turbostratic stacking of the graphene layers as the reduction occurs, leading us to conclude that at a certain threshold of reduction the film properties are similar to epitaxial graphene growth on the C-face of SiC, but that a reduction gone too far results in a layer spacing equivalent to graphite.

  15. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  16. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  17. Thermal Vacuum Integrated System Test at B-2

    NASA Technical Reports Server (NTRS)

    Kudlac, Maureen T.; Weaver, Harold F.; Cmar, Mark D.

    2012-01-01

    The National Aeronautics and Space Administration (NASA) Glenn Research Center (GRC) Plum Brook Station (PBS) Space Propulsion Research Facility, commonly referred to as B-2, is NASA s third largest thermal vacuum facility. It is the largest designed to store and transfer large quantities of liquid hydrogen and liquid oxygen, and is perfectly suited to support developmental testing of chemical propulsion systems as well as fully integrated stages. The facility is also capable of providing thermal-vacuum simulation services to support testing of large lightweight structures, Cryogenic Fluid Management (CFM) systems, electric propulsion test programs, and other In-Space propulsion programs. A recently completed integrated system test demonstrated the refurbished thermal vacuum capabilities of the facility. The test used the modernized data acquisition and control system to monitor the facility during pump down of the vacuum chamber, operation of the liquid nitrogen heat sink (or cold wall) and the infrared lamp array. A vacuum level of 1.3x10(exp -4)Pa (1x10(exp -6)torr) was achieved. The heat sink provided a uniform temperature environment of approximately 77 K (140deg R) along the entire inner surface of the vacuum chamber. The recently rebuilt and modernized infrared lamp array produced a nominal heat flux of 1.4 kW/sq m at a chamber diameter of 6.7 m (22 ft) and along 11 m (36 ft) of the chamber s cylindrical vertical interior. With the lamp array and heat sink operating simultaneously, the thermal systems produced a heat flux pattern simulating radiation to space on one surface and solar exposure on the other surface. The data acquired matched pretest predictions and demonstrated system functionality.

  18. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  19. 49 CFR 570.56 - Vacuum brake assist unit and vacuum brake system.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    .... The following requirements apply to vehicles with vacuum brake assist units and vacuum brake systems. (a) Vacuum brake assist unit integrity. The vacuum brake assist unit shall demonstrate integrity as... maintained on the pedal. (1) Inspection procedure. Stop the engine and apply service brake several times to...

  20. Demonstrations with a Vacuum: Old Demonstrations for New Vacuum Pumps.

    ERIC Educational Resources Information Center

    Greenslade, Thomas B., Jr.

    1989-01-01

    Explains mechanisms of 19th-century vacuum pumps. Describes demonstrations using the pump including guinea and feather tube, aurora tube, electric egg, Gassiots cascade, air mill, bell in vacuum, density and buoyancy of air, fountain in vacuum, mercury shower, palm and bladder glasses, Bacchus demonstration, pneumatic man-lifter, and Magdeburg…

  1. Amorphization and recrystallization of epitaxial ReSi2 films grown on Si(100)

    NASA Technical Reports Server (NTRS)

    Kim, Kun HO; Bai, G.; Nicolet, MARC-A.; Mahan, John E.; Geib, Kent M.

    1991-01-01

    The effects of implantation damage and the chemical species of the implant on structural and electrical properties of epitaxial ReSi2 films on Si(100) implanted with Si-28 or Ar-40 ions, at doses ranging from 10 to the 13th/sq cm to 10 to the 15th/sq cm, were investigated using the backscattering spectrometry, XRD, and the van der Pauw techniques. Results showed that ion implantation produces damage in the film, which increases monotonically with dose; the resistivity of the film decreases monotonically with dose.

  2. Growth of high-quality thin-film Ge single crystals by plasma-enhanced chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Outlaw, R. A.; Hopson, P., Jr.

    1986-01-01

    Thin-film Ge single crystals (approx. 10 microns) have been epitaxially grown on polished NaCl(100) substrates at 450C by using plasma-enhanced chemical vapor deposition. Films on approximately 1 sq cm and larger were separated from the NaCl by either melting the salt or by differential shear stress upon cooling to room temperature. The ordered growth of the Ge was found to be most sensitive to the initial plasma power and to the continuum flow dynamics within the carbon susceptor. The films were visually specular and exhibited a high degree of crysalline order when examined by X-ray diffraction. The films were found to be p-type with a carrier concentration of approximately 3 x 10 to the 16th power/cu cm, a resistivity of 0.11 ohm-cm, and a Hall hole mobility of 1820 sq cm/v/s at room temperature. Vacuum firing minimized the primary contaminant, Na, and corresponding lowered the carrier concentration to 4 x 10 to the 14th power/cu cm.

  3. Effects of a modular two-step ozone-water and annealing process on silicon carbide graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Webb, Matthew J., E-mail: matthew.webb@cantab.net; Lundstedt, Anna; Grennberg, Helena

    By combining ozone and water, the effect of exposing epitaxial graphene on silicon carbide to an aggressive wet-chemical process has been evaluated after high temperature annealing in ultra high vacuum. The decomposition of ozone in water produces a number of oxidizing species, however, despite long exposure times to the aqueous-ozone environment, no graphene oxide was observed after the two-step process. The systems were comprehensively characterized before and after processing using Raman spectroscopy, core level photoemission spectroscopy, and angle resolved photoemission spectroscopy together with low energy electron diffraction, low energy electron microscopy, and atomic force microscopy. In spite of the chemicalmore » potential of the aqueous-ozone reaction environment, the graphene domains were largely unaffected raising the prospect of employing such simple chemical and annealing protocols to clean or prepare epitaxial graphene surfaces.« less

  4. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    NASA Astrophysics Data System (ADS)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (< 200 nm) for the MBE-grown TMDs reported in the literature thus far is unsuitable for high-performance device applications. In this dissertation, the synthesis of TMDs by MBE and their implementation in device structures were investigated. van der Waals epitaxial growth of these TMDs (HfSe2, WTe2, WSe2, WTex Se2-x), due to the relaxed interactions at the interface, have been demonstrated on large lattice-mismatched substrates without strain and misfit dislocations. The fundamental nucleation and growth behavior of WSe2 was investigated through a detailed experimental design, combined with on-lattice, diffusion-based first principles kinetic modeling. Over one order of magnitude improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2

  5. Improved Vacuum Bazooka

    NASA Astrophysics Data System (ADS)

    Cockman, John

    2003-04-01

    This apparatus is a modification to the well-known "vacuum bazooka" (PIRA 2B30.70). My vacuum bazooka is easy to construct and demonstrate, requires no precise fittings, foil, or vacuum grease, and propels ping-pong balls at a tremendous velocity!

  6. Perspective. Extremely fine tuning of doping enabled by combinatorial molecular-beam epitaxy

    DOE PAGES

    Wu, J.; Bozovic, I.

    2015-04-06

    Chemical doping provides an effective method to control the electric properties of complex oxides. However, the state-of-art accuracy in controlling doping is limited to about 1%. This hampers elucidation of the precise doping dependences of physical properties and phenomena of interest, such as quantum phase transitions. Using the combinatorial molecular beam epitaxy, we improve the accuracy in tuning the doping level by two orders of magnitude. We illustrate this novel method by two examples: a systematic investigation of the doping dependence of interface superconductivity, and a study of the competing ground states in the vicinity of the insulator-to-superconductor transition.

  7. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  8. Substrate effects on the epitaxial growth of ZnGeP2 thin films by open tube organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.

    1991-01-01

    Epitaxial ZnGeP2-Ge films have been grown on (111)GaP substrates using MOCVD. The films grown with dimethylzinc to germane flow rate ratio R greater than 10 show mirror-smooth surface morphology. Films grown with R less than 10 show a high density of twinning, including both double position and growth twins. Compared to films grown on (001) GaP substrates, the layers on (111) GaP generally show a higher density of microstructural defects. TEM electron diffraction patterns show that the films grown on (111) GaP substrates are more disordered than films grown on (001) GaP under comparable conditions. The growth rate on (111) GaP substrates is about 2.5 times slower than that on (001) GaP, and films grown on Si substrates show extensive twinning formation. Both TEM and SEM examinations indicate that smooth epitaxial overgrowth may be easier on (111) Si substrates than on (001) Si.

  9. Epitaxial Growth of Aligned and Continuous Carbon Nanofibers from Carbon Nanotubes.

    PubMed

    Lin, Xiaoyang; Zhao, Wei; Zhou, Wenbin; Liu, Peng; Luo, Shu; Wei, Haoming; Yang, Guangzhi; Yang, Junhe; Cui, Jie; Yu, Richeng; Zhang, Lina; Wang, Jiaping; Li, Qunqing; Zhou, Weiya; Zhao, Weisheng; Fan, Shoushan; Jiang, Kaili

    2017-02-28

    Exploiting the superior properties of nanomaterials at macroscopic scale is a key issue of nanoscience. Different from the integration strategy, "additive synthesis" of macroscopic structures from nanomaterial templates may be a promising choice. In this paper, we report the epitaxial growth of aligned, continuous, and catalyst-free carbon nanofiber thin films from carbon nanotube films. The fabrication process includes thickening of continuous carbon nanotube films by gas-phase pyrolytic carbon deposition and further graphitization of the carbon layer by high-temperature treatment. As-fabricated nanofibers in the film have an "annual ring" cross-section, with a carbon nanotube core and a graphitic periphery, indicating the templated growth mechanism. The absence of a distinct interface between the carbon nanotube template and the graphitic periphery further implies the epitaxial growth mechanism of the fiber. The mechanically robust thin film with tunable fiber diameters from tens of nanometers to several micrometers possesses low density, high electrical conductivity, and high thermal conductivity. Further extension of this fabrication method to enhance carbon nanotube yarns is also demonstrated, resulting in yarns with ∼4-fold increased tensile strength and ∼10-fold increased Young's modulus. The aligned and continuous features of the films together with their outstanding physical and chemical properties would certainly promote the large-scale applications of carbon nanofibers.

  10. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  11. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  12. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection.

    PubMed

    Ding, Hong; Dwaraknath, Shyam S; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  13. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE PAGES

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; ...

    2016-05-04

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO 2 compounds which provides a rich chemical and structural polymorph space. Here, we find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO 2 substrates, where the VO 2 brookite phase would be preferentially grown on the a-c TiO 2 brookite plane whilemore » the columbite and anatase structures favor the a-b plane on the respective TiO 2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO 2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. Our criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  14. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structuresmore » favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  15. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO 2 compounds which provides a rich chemical and structural polymorph space. Here, we find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO 2 substrates, where the VO 2 brookite phase would be preferentially grown on the a-c TiO 2 brookite plane whilemore » the columbite and anatase structures favor the a-b plane on the respective TiO 2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO 2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. Our criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  16. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  17. Spin Polarization of Alternate Monatomic Epitaxial [Fe/Co]n Superlattice

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Doi, Masaaki; Sahashi, Masashi; Rajanikanth, Ammanabrolu; Takahashi, Yukiko; Hono, Kazuhiro

    2012-09-01

    The spin polarization (P) of alternate monatomic layered (AML) epitaxial [Fe/Co]n superlattices grown on MgO(001) substrates by electron beam (EB) evaporation has been measured by the point contact Andreev reflection (PCAR) method. The intrinsic transport P of 0.60 was obtained for the AML epitaxial [Fe/Co]n superlattice grown at 75 °C, which is comparable to that of half-metallic Heusler alloys measured by PCAR. The AML epitaxial [Fe/Co]n superlattices on MgO(001), which are expected to possess the B2 ordered structure, show the highest spin polarization of metallic Fe-Co alloy films.

  18. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  19. The Classical Vacuum.

    ERIC Educational Resources Information Center

    Boyer, Timothy H.

    1985-01-01

    The classical vacuum of physics is not empty, but contains a distinctive pattern of electromagnetic fields. Discovery of the vacuum, thermal spectrum, classical electron theory, zero-point spectrum, and effects of acceleration are discussed. Connection between thermal radiation and the classical vacuum reveals unexpected unity in the laws of…

  20. Electroweak vacuum instability and renormalized Higgs field vacuum fluctuations in the inflationary universe

    NASA Astrophysics Data System (ADS)

    Kohri, Kazunori; Matsui, Hiroki

    2017-08-01

    In this work, we investigated the electroweak vacuum instability during or after inflation. In the inflationary Universe, i.e., de Sitter space, the vacuum field fluctuations < δ phi 2 > enlarge in proportion to the Hubble scale H2. Therefore, the large inflationary vacuum fluctuations of the Higgs field < δ phi 2 > are potentially catastrophic to trigger the vacuum transition to the negative-energy Planck-scale vacuum state and cause an immediate collapse of the Universe. However, the vacuum field fluctuations < δ phi 2 >, i.e., the vacuum expectation values have an ultraviolet divergence, and therefore a renormalization is necessary to estimate the physical effects of the vacuum transition. Thus, in this paper, we revisit the electroweak vacuum instability from the perspective of quantum field theory (QFT) in curved space-time, and discuss the dynamical behavior of the homogeneous Higgs field phi determined by the effective potential V eff( phi ) in curved space-time and the renormalized vacuum fluctuations < δ phi 2 >ren via adiabatic regularization and point-splitting regularization. We simply suppose that the Higgs field only couples the gravity via the non-minimal Higgs-gravity coupling ξ(μ). In this scenario, the electroweak vacuum stability is inevitably threatened by the dynamical behavior of the homogeneous Higgs field phi, or the formations of AdS domains or bubbles unless the Hubble scale is small enough H< ΛI .

  1. Dimensionality Controlled Octahedral Symmetry-Mismatch and Functionalities in Epitaxial LaCoO₃/SrTiO₃ Heterostructures.

    PubMed

    Qiao, Liang; Jang, Jae Hyuck; Singh, David J; Gai, Zheng; Xiao, Haiyan; Mehta, Apurva; Vasudevan, Rama K; Tselev, Alexander; Feng, Zhenxing; Zhou, Hua; Li, Sean; Prellier, Wilfrid; Zu, Xiaotao; Liu, Zijiang; Borisevich, Albina; Baddorf, Arthur P; Biegalski, Michael D

    2015-07-08

    Epitaxial strain provides a powerful approach to manipulate physical properties of materials through rigid compression or extension of their chemical bonds via lattice-mismatch. Although symmetry-mismatch can lead to new physics by stabilizing novel interfacial structures, challenges in obtaining atomic-level structural information as well as lack of a suitable approach to separate it from the parasitical lattice-mismatch have limited the development of this field. Here, we present unambiguous experimental evidence that the symmetry-mismatch can be strongly controlled by dimensionality and significantly impact the collective electronic and magnetic functionalities in ultrathin perovskite LaCoO3/SrTiO3 heterojunctions. State-of-art diffraction and microscopy reveal that symmetry breaking dramatically modifies the interfacial structure of CoO6 octahedral building-blocks, resulting in expanded octahedron volume, reduced covalent screening, and stronger electron correlations. Such phenomena fundamentally alter the electronic and magnetic behaviors of LaCoO3 thin-films. We conclude that for epitaxial systems, correlation strength can be tuned by changing orbital hybridization, thus affecting the Coulomb repulsion, U, instead of by changing the band structure as the common paradigm in bulks. These results clarify the origin of magnetic ordering for epitaxial LaCoO3 and provide a route to manipulate electron correlation and magnetic functionality by orbital engineering at oxide heterojunctions.

  2. Germanium detector vacuum encapsulation

    NASA Technical Reports Server (NTRS)

    Madden, N. W.; Malone, D. F.; Pehl, R. H.; Cork, C. P.; Luke, P. N.; Landis, D. A.; Pollard, M. J.

    1991-01-01

    This paper describes an encapsulation technology that should significantly improve the viability of germanium gamma-ray detectors for a number of important applications. A specialized vacuum chamber has been constructed in which the detector and the encapsulating module are processed in high vacuum. Very high vacuum conductance is achieved within the valveless encapsulating module. The detector module is then sealed without breaking the chamber vacuum. The details of the vacuum chamber, valveless module, processing, and sealing method are presented.

  3. Development of High Interruption Capability Vacuum Circuit Breaker -Technology of Vacuum Arc Control-

    NASA Astrophysics Data System (ADS)

    Niwa, Yoshimitsu; Kaneko, Eiji

    Vacuum circuit breakers (VCB) have been widely used for power distribution systems. Vacuum Interrupters, which are the current interruption unit, have been increased its interruption capability with the development of vacuum arc control technology by magnetic field. There are three major type electrodes: disk shaped electrodes, radial magnetic field electrodes, axial magnetic field (AMF) electrodes. In the disk shaped electrode, the vacuum arc between the electrodes is not controlled. In the AMF electrode, the vacuum arc is diffused and stabilized by an axial magnetic field, which is parallel to the arc current. In the last type of electrodes, the vacuum arc column is rotated by magnetic force generated by the current flowing in the electrodes. The interruption current and the voltage of one break VCB is increased to 100 kA, 144 kV respectively. This paper describes basic configurations and functions of VCB, vacuum arc control technology in vacuum interrupters, recent researches and applications of VCB.

  4. Electroweak vacuum instability and renormalized Higgs field vacuum fluctuations in the inflationary universe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kohri, Kazunori; Matsui, Hiroki, E-mail: kohri@post.kek.jp, E-mail: matshiro@post.kek.jp

    In this work, we investigated the electroweak vacuum instability during or after inflation. In the inflationary Universe, i.e., de Sitter space, the vacuum field fluctuations < δ φ {sup 2} > enlarge in proportion to the Hubble scale H {sup 2}. Therefore, the large inflationary vacuum fluctuations of the Higgs field < δ φ {sup 2} > are potentially catastrophic to trigger the vacuum transition to the negative-energy Planck-scale vacuum state and cause an immediate collapse of the Universe. However, the vacuum field fluctuations < δ φ {sup 2} >, i.e., the vacuum expectation values have an ultraviolet divergence, andmore » therefore a renormalization is necessary to estimate the physical effects of the vacuum transition. Thus, in this paper, we revisit the electroweak vacuum instability from the perspective of quantum field theory (QFT) in curved space-time, and discuss the dynamical behavior of the homogeneous Higgs field φ determined by the effective potential V {sub eff}( φ ) in curved space-time and the renormalized vacuum fluctuations < δ φ {sup 2} >{sub ren} via adiabatic regularization and point-splitting regularization. We simply suppose that the Higgs field only couples the gravity via the non-minimal Higgs-gravity coupling ξ(μ). In this scenario, the electroweak vacuum stability is inevitably threatened by the dynamical behavior of the homogeneous Higgs field φ, or the formations of AdS domains or bubbles unless the Hubble scale is small enough H < Λ {sub I} .« less

  5. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  6. Effects of processing parameters on immersion vacuum cooling time and physico-chemical properties of pork hams.

    PubMed

    Feng, Chao-Hui; Drummond, Liana; Zhang, Zhi-Hang; Sun, Da-Wen

    2013-10-01

    The effects of agitation (1002 rpm), different pressure reduction rates (60 and 100 mbar/min), as well as employing cold water with different initial temperatures (IWT: 7 and 20°C) on immersion vacuum cooling (IVC) of cooked pork hams were experimentally investigated. Final pork ham core temperature, cooling time, cooling loss, texture properties, colour and chemical composition were evaluated. The application for the first time of agitation during IVC substantially reduced the cooling time (47.39%) to 4.6°C, compared to IVC without agitation. For the different pressure drop rates, there was a trend that shorter IVC cooling times were achieved with lower cooling rate, although results were not statistically significant (P>0.05). For both IWTs tested, the same trend was observed: shorter cooling time and lower cooling loss were obtained under lower linear pressure drop rate of 60 mbar/min (not statistically significant, P>0.05). Compared to the reference cooling method (air blast cooling), IVC achieved higher cooling rates and better meat quality. Copyright © 2013 Elsevier Ltd. All rights reserved.

  7. Epitaxial structure and transport in LaTiO3+x films on (001) SrTiO3

    NASA Astrophysics Data System (ADS)

    Kim, K. H.; Norton, D. P.; Budai, J. D.; Chisholm, M. F.; Sales, B. C.; Christen, D. K.; Cantoni, C.

    2003-12-01

    The structure and transport properties of LaTiO3+x epitaxial thin films grown on (001) SrTiO3 by pulsed-laser deposition is examined. Four-circle X-ray diffraction indicates that the films possess the defect perovskite LaTiO3 structure when deposited in vacuum, with the higher X compounds forming at moderate oxygen pressures. The crystal structure of the LaTiO3 films is tetragonal in the epitaxial films, in contrast to the orthorhombic structure observed in bulk materials. A domain structure is observed in the films, consisting of LaTiO3 oriented either with the [110] or [001] directions perpendicular to the substrate surface. Z-contrast scanning transmission electron microscopy reveals that this domain structure is not present in the first few unit cells of the film, but emerges approximately 2-3 nm from the SrTiO3/LaTiO3 interface. Upon increasing the oxygen pressure during growth, a shift in the lattice d-spacing parallel to the substrate surface is observed, and is consistent with the growth of the La2Ti2O7 phase. However, van der Pauw measurements show that the films with the larger d-spacing remain conductive, albeit with a resistivity that is significantly higher than that for the perovskite LaTiO3 films. The transport behavior suggests that the films grown at higher oxygen pressures are LaTiO3+x with 0.4 < x < 0.5. (

  8. Electronic structure of a laterally graded ZrO2-TiO2 film on Si(100) prepared by metal-organic chemical vapor deposition in ultrahigh vacuum

    NASA Astrophysics Data System (ADS)

    Richter, J. H.; Karlsson, P. G.; Sandell, A.

    2008-05-01

    A TiO2-ZrO2 film with laterally graded stoichiometry has been prepared by metal-organic chemical vapor deposition in ultrahigh vacuum. The film was characterized in situ using synchrotron radiation photoelectron spectroscopy (PES) and x-ray absorption spectroscopy. PES depth profiling clearly shows that Ti ions segregate toward the surface region when mixed with ZrO2. The binding energy of the ZrO2 electronic levels is constant with respect to the local vacuum level. The binding energy of the TiO2 electronic levels is aligned to the Fermi level down to a Ti /Zr ratio of about 0.5. At a Ti /Zr ratio between 0.1 and 0.5, the TiO2 related electronic levels become aligned to the local vacuum level. The addition of small amounts of TiO2 to ZrO2 results in a ZrO2 band alignment relative to the Fermi level that is less asymmetric than for pure ZrO2. The band edge positions shift by -0.6eV for a Ti /Zr ratio of 0.03. This is explained in terms of an increase in the work function when adding TiO2, an effect that becomes emphasized by Ti surface segregation.

  9. High-efficiency solar cells fabricated by vacuum MO-CVD

    NASA Technical Reports Server (NTRS)

    Fraas, L. M.; Cape, J. A.; Partain, L. D.; Mcleod, P. S.

    1984-01-01

    High-efficiency, monolithic, two-color, three-terminal solar cells were fabricated by a novel growth technique, vacuum metal-organic chemical vapor deposition. The technique uses the expensive metal alkyls efficiently and toxic gases sparingly. The fact that the outer chamber is constructed of nonbreakable stainless steel is an attractive safety feature associated with this deposition system.

  10. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  11. Growth of InN on Ge substrate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Doolittle, W. Alan; Liu, Rong; Mei, Jin; Ponce, Fernando; Cheung, Maurice; Chen, Fei; Furis, Madalina; Cartwright, Alexander

    2005-06-01

    InN epitaxial growth on a (1 1 1)-oriented, Ga-doped germanium substrate using molecular beam epitaxy is described. X-ray diffraction and transmission electron microscopy investigations have shown that the InN epitaxial layer consists of a wurtzite structure, which has the epitaxial relationship of (0 0 0 1) InN∥(1 1 1) Ge. Transmission electron microscopy shows an intermediate layer at the interface between the InN/Ge substrate. Consistent with recent reports implying a narrow bandgap of InN [Phys. Stat Sol. B 229 (2002) R1, Appl. Phys. Lett. 80 (2002) 3967], a strong photoluminescence with peak energy of 0.69 eV at 15 K was observed for this InN epilayer, in contrast to the peak energy of 0.71 eV for Ga-doped Ge under the same measurement conditions.

  12. Quasi free-standing epitaxial graphene fabrication on 3C-SiC/Si(111)

    NASA Astrophysics Data System (ADS)

    Amjadipour, Mojtaba; Tadich, Anton; Boeckl, John J.; Lipton-Duffin, Josh; MacLeod, Jennifer; Iacopi, Francesca; Motta, Nunzio

    2018-04-01

    Growing graphene on SiC thin films on Si is a cheaper alternative to the growth on bulk SiC, and for this reason it has been recently intensively investigated. Here we study the effect of hydrogen intercalation on epitaxial graphene obtained by high temperature annealing on 3C-SiC/Si(111) in ultra-high vacuum. By using a combination of core-level photoelectron spectroscopy, low energy electron diffraction, and near-edge x-ray absorption fine structure (NEXAFS) we find that hydrogen saturates the Si atoms at the topmost layer of the substrate, leading to free-standing graphene on 3C-SiC/Si(111). The intercalated hydrogen fully desorbs after heating the sample at 850 °C and the buffer layer appears again, similar to what has been reported for bulk SiC. However, the NEXAFS analysis sheds new light on the effect of hydrogen intercalation, showing an improvement of graphene’s flatness after annealing in atomic H at 600 °C. These results provide new insight into free-standing graphene fabrication on SiC/Si thin films.

  13. Perspective: Rapid synthesis of complex oxides by combinatorial molecular beam epitaxy

    DOE PAGES

    A. T. Bollinger; Wu, J.; Bozovic, I.

    2016-03-15

    In this study, the molecular beam epitaxy(MBE) technique is well known for producing atomically smooth thin films as well as impeccable interfaces in multilayers of many different materials. In particular, molecular beam epitaxy is well suited to the growth of complex oxides, materials that hold promise for many applications. Rapid synthesis and high throughput characterization techniques are needed to tap into that potential most efficiently. We discuss our approach to doing that, leaving behind the traditional one-growth-one-compound scheme and instead implementing combinatorial oxide molecular beam epitaxy in a custom built system.

  14. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  15. Atomic composition and electrical characteristics of epitaxial CVD diamond layers doped with boron

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surovegina, E. A., E-mail: suroveginaka@ipmras.ru; Demidov, E. V.; Drozdov, M. N.

    2016-12-15

    The results of analysis of the atomic composition, doping level, and hole mobility in epitaxial diamond layers when doped with boron are reported. The layers are produced by chemical-vapor deposition. The possibilities of uniform doping with boron to a level in the range 5 × 10{sup 17} to ~10{sup 20} at cm{sup –3} and of δ doping to the surface concentration (0.3–5) × 10{sup 13} at cm{sup –3} are shown. The conditions for precision ion etching of the structures are determined, and barrier and ohmic contacts to the layers are formed.

  16. Vacuum MOCVD fabrication of high efficience cells

    NASA Technical Reports Server (NTRS)

    Partain, L. D.; Fraas, L. M.; Mcleod, P. S.; Cape, J. A.

    1985-01-01

    Vacuum metal-organic-chemical-vapor-deposition (MOCVD) is a new fabrication process with improved safety and easier scalability due to its metal rather than glass construction and its uniform multiport gas injection system. It uses source materials more efficiently than other methods because the vacuum molecular flow conditions allow the high sticking coefficient reactants to reach the substrates as undeflected molecular beams and the hot chamber walls cause the low sticking coefficient reactants to bounce off the walls and interact with the substrates many times. This high source utilization reduces the materials costs power device and substantially decreases the amounts of toxic materials that must be handled as process effluents. The molecular beams allow precise growth control. With improved source purifications, vacuum MOCVD has provided p GaAs layers with 10-micron minority carrier diffusion lengths and GaAs and GaAsSb solar cells with 20% AMO efficiencies at 59X and 99X sunlight concentration ratios. Mechanical stacking has been identified as the quickest, most direct and logical path to stacked multiple-junction solar cells that perform better than the best single-junction devices. The mechanical stack is configured for immediate use in solar arrays and allows interconnections that improve the system end-of-life performance in space.

  17. Theoretical study of the composition pulling effect in InGaN metalorganic vapor-phase epitaxy growth

    NASA Astrophysics Data System (ADS)

    Inatomi, Yuya; Kangawa, Yoshihiro; Ito, Tomonori; Suski, Tadeusz; Kumagai, Yoshinao; Kakimoto, Koichi; Koukitu, Akinori

    2017-07-01

    The composition pulling effect in metalorganic vapor-phase InGaN epitaxy was theoretically investigated by thermodynamic analysis. The excess energies of biaxial-strained In x Ga1- x N were numerically calculated using empirical interatomic potentials considering different situations: (i) coherent growth on GaN(0001), (ii) coherent growth on In0.2Ga0.8N(0001), and (iii) bulk growth. Using the excess energies, the excess chemical potentials of InN and GaN alloys were computed. Our results show that compressive strain suppresses In incorporation, whereas tensile strain promotes it. Moreover, assuming chemical equilibrium, the relationship between the solid composition and the growth conditions was predicted. The results successfully reproduced the typical composition pulling effect.

  18. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  19. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  20. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE PAGES

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.; ...

    2017-07-12

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  1. Numerical modeling study on the epitaxial growth of silicon from dichlorosilane

    NASA Astrophysics Data System (ADS)

    Zaidi, Imama; Jang, Yeon-Ho; Ko, Dong Guk; Im, Ik-Tae

    2018-02-01

    Computer simulations play an important role in determining the optimal design parameters for chemical vapor deposition (CVD) reactors, such as flow rates, positions of the inlet and outlet orifices, and rotational rates, etc. Reliability of the results of these simulations depends on the set of chemical reaction used to represent the process of deposition in the reactor. Aim of the present work is to validate the simple empirical reaction to model the epitaxial growth of silicon for a Dichlorosilane-H2 (DCS)-H2 system. Governing equations for continuity, momentum, energy, and reacting species are solved numerically using the finite volume method. The agreement between experimental and predicted growth rates for various DCS flow rates is shown to be satisfactory. The increase in growth rate with the increase in pressure is in accordance with the available data. Based on the validated chemical reaction model, a study was carried out to analyze the uniformity of the silicon layer thickness for two different flow rates in a planetary reactor. It was concluded that, based on the operating conditions, the uniformity of the silicon layer over the wafer is independent of the satellite rotational rate in the reactor.

  2. Effect of ultrasound dielectric pretreatment on the oxidation resistance of vacuum-fried apple chips.

    PubMed

    Shen, Xu; Zhang, Min; Bhandari, Bhesh; Guo, Zhimei

    2018-02-15

    In order to investigate the effect of ultrasound dielectric pretreatment on the oxidation resistance of vacuum-fried apple chips, apple slices were pretreated at ultrasonic powers of 150, 250 and 400 W for times of 10, 20 and 30 min before vacuum frying. The quality and oxidation resistance of fried apple were evaluated by testing the dielectric properties and comparing the moisture content, oil uptake, color, acid value (AV) and peroxide value (PV) of apple chips. Ultrasonic treatment significantly changed the dielectric properties of apple slices. Moisture and oil contents of apple chips decreased with increasing ultrasonic power and time. During storage, the color retention of fried apple chips processed by ultrasound was improved. AV and PV values of fried apple chips processed by ultrasound were lower, which improved their antioxidant properties. The results of the present study indicated that ultrasound dielectric pretreatment improved not only the quality of vacuum-fried apple chips but also their antioxidant properties. © 2018 Society of Chemical Industry. © 2018 Society of Chemical Industry.

  3. Self-organization of quantum-dot pairs by high-temperature droplet epitaxy

    PubMed Central

    Holmes, Kyland; Mazur, Yuriy I; Ramsey, Kimberly A; Salamo, Gregory J

    2006-01-01

    The spontaneously formation of epitaxial GaAs quantum-dot pairs was demonstrated on an AlGaAs surface using Ga droplets as a Ga nano-source. The dot pair formation was attributed to the anisotropy of surface diffusion during high-temperature droplet epitaxy.

  4. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  5. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    NASA Astrophysics Data System (ADS)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  6. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  7. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  8. Focal Point Inside the Vacuum Chamber for Solar Thermal Propulsion

    NASA Technical Reports Server (NTRS)

    1999-01-01

    Researchers at the Marshall Space Flight Center (MSFC) have designed, fabricated, and tested the first solar thermal engine, a non-chemical rocket engine that produces lower thrust but has better thrust efficiency than a chemical combustion engine. MSFC turned to solar thermal propulsion in the early 1990s due to its simplicity, safety, low cost, and commonality with other propulsion systems. Solar thermal propulsion works by acquiring and redirecting solar energy to heat a propellant. The 20- by 24-ft heliostat mirror (not shown in this photograph) has dual-axis control that keeps a reflection of the sunlight on an 18-ft diameter concentrator mirror, which then focuses the sunlight to a 4-in focal point inside the vacuum chamber. The focal point has 10 kilowatts of intense solar power. This photograph is a close-up view of a 4-in focal point inside the vacuum chamber at the MSFC Solar Thermal Propulsion Test facility. As part of MSFC's Space Transportation Directorate, the Propulsion Research Center serves as a national resource for research of advanced, revolutionary propulsion technologies. The mission is to move the Nation's capabilities beyond the confines of conventional chemical propulsion into an era of aircraft-like access to Earth orbit, rapid travel throughout the solar system, and exploration of interstellar space.

  9. Radiation hard vacuum switch

    DOEpatents

    Boettcher, Gordon E.

    1990-01-01

    A vacuum switch with an isolated trigger probe which is not directly connected to the switching electrodes. The vacuum switch within the plasmatron is triggered by plasma expansion initiated by the trigger probe which travels through an opening to reach the vacuum switch elements. The plasma arc created is directed by the opening to the space between the anode and cathode of the vacuum switch to cause conduction.

  10. Radiation hard vacuum switch

    DOEpatents

    Boettcher, Gordon E.

    1990-03-06

    A vacuum switch with an isolated trigger probe which is not directly connected to the switching electrodes. The vacuum switch within the plasmatron is triggered by plasma expansion initiated by the trigger probe which travels through an opening to reach the vacuum switch elements. The plasma arc created is directed by the opening to the space between the anode and cathode of the vacuum switch to cause conduction.

  11. van der Waals epitaxial ZnTe thin film on single-crystalline graphene

    NASA Astrophysics Data System (ADS)

    Sun, Xin; Chen, Zhizhong; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Washington, Morris; Lu, Toh-Ming

    2018-01-01

    Graphene template has long been promoted as a promising host to support van der Waals flexible electronics. However, van der Waals epitaxial growth of conventional semiconductors in planar thin film form on transferred graphene sheets is challenging because the nucleation rate of film species on graphene is significantly low due to the passive surface of graphene. In this work, we demonstrate the epitaxy of zinc-blende ZnTe thin film on single-crystalline graphene supported by an amorphous glass substrate. Given the amorphous nature and no obvious remote epitaxy effect of the glass substrate, this study clearly proves the van der Waals epitaxy of a 3D semiconductor thin film on graphene. X-ray pole figure analysis reveals the existence of two ZnTe epitaxial orientational domains on graphene, a strong X-ray intensity observed from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [10] orientation domain, and a weaker intensity from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [11] orientation domain. Furthermore, this study systematically investigates the optoelectronic properties of this epitaxial ZnTe film on graphene using temperature-dependent Raman spectroscopy, steady-state and time-resolved photoluminescence spectroscopy, and fabrication and characterization of a ZnTe-graphene photodetector. The research suggests an effective approach towards graphene-templated flexible electronics.

  12. Failure of non-vacuum steam sterilization processes for dental handpieces.

    PubMed

    Winter, S; Smith, A; Lappin, D; McDonagh, G; Kirk, B

    2017-12-01

    Dental handpieces are used in critical and semi-critical operative interventions. Although some dental professional bodies recommend that dental handpieces are sterilized between patient use there is a lack of clarity and understanding of the effectiveness of different steam sterilization processes. The internal mechanisms of dental handpieces contain narrow lumens (0.8-2.3 mm) which can impede the removal of air and ingress of saturated steam required to achieve sterilization conditions. To identify the extent of sterilization failure in dental handpieces using a non-vacuum process. In-vitro and in-vivo investigations were conducted on widely used UK bench-top steam sterilizers and three different types of dental handpieces. The sterilization process was monitored inside the lumens of dental handpieces using thermometric (TM; dataloggers), chemical indicator (CI), and biological indicator (BI) methods. All three methods of assessing achievement of sterility within dental handpieces that had been exposed to non-vacuum sterilization conditions demonstrated a significant number of failures [CI: 8/3024 (fails/no. of tests); BI: 15/3024; TM: 56/56] compared to vacuum sterilization conditions (CI: 2/1944; BI: 0/1944; TM: 0/36). The dental handpiece most likely to fail sterilization in the non-vacuum process was the surgical handpiece. Non-vacuum sterilizers located in general dental practice had a higher rate of sterilization failure (CI: 25/1620; BI: 32/1620; TM: 56/56) with no failures in vacuum process. Non-vacuum downward/gravity displacement, type N steam sterilizers are an unreliable method for sterilization of dental handpieces in general dental practice. The handpiece most likely to fail sterilization is the type most frequently used for surgical interventions. Copyright © 2017 The Healthcare Infection Society. Published by Elsevier Ltd. All rights reserved.

  13. Suppression of superconductivity in epitaxial MgB2 ultrathin films

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Wang, Yue; Wang, Da; Zhang, Yan; Liu, Zheng-Hao; Feng, Qing-Rong; Gan, Zi-Zhao

    2013-07-01

    MgB2 ultrathin films have potential to make sensitive superconducting devices such as superconducting single-photon detectors working at relatively high temperatures. We have grown epitaxial MgB2 films in thicknesses ranging from about 40 nm to 6 nm by using the hybrid physical-chemical vapor deposition method and performed electrical transport measurements to study the thickness dependence of the superconducting critical temperature Tc. With reducing film thickness d, although a weak depression of the Tc has been observed, which could be attributed to an increase of disorder (interband impurity scattering) in the film, the Tc retains close to the bulk value of MgB2 (39 K), being about 35 K in the film of 6 nm thick. We show that this result, beneficial to the application of MgB2 ultrathin films and in accordance with recent theoretical calculations, is in contrast to previous findings in MgB2 films prepared by other methods such as co-evaporation and molecular-beam epitaxy, where a severe Tc suppression has been observed with Tc about one third of the bulk value in films of ˜5 nm thick. We discuss this apparent discrepancy in experiments and suggest that, towards the ultrathin limit, the different degrees of Tc suppression displayed in currently obtained MgB2 films by various techniques may arise from the different levels of disorder present in the film or different extents of proximity effect at the film surface or film-substrate interface.

  14. [Sterilization effect analysis of B-class pulsation table top vacuum sterilizer to dental handpieces].

    PubMed

    Zeng, Shu-Rong; Jiang, Bo; Xiao, Xiao-Rong

    2007-06-01

    Discuss sterilization effect of B-class pulsation table top vacuum pressure steam sterilizer for dental handpiece. Analysis selection of sterilizer for dental handpiece and sterilization management processes and sterilization effect monitoring, evaluation of monitoring result and effective sterilization method. The B-class pulsation table top vacuum pressure steam sterilizer to dental handpiece in West China Stomatological Hospital of Sichuan University met the requirement of the chemical and biological monitoring. Its efficiency of sterilization was 100%. The results of aerobic culture, anaerobic culture, B-type hepatitis mark monitoring to sterilized dental handpiece were negative. It is effective method for dental handpiece sterilization to use B-class pulsation table top vacuum pressure steam sterilizer.

  15. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    NASA Astrophysics Data System (ADS)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  16. High-quality EuO thin films the easy way via topotactic transformation

    DOE PAGES

    Mairoser, Thomas; Mundy, Julia A.; Melville, Alexander; ...

    2015-07-16

    Epitaxy is widely employed to create highly oriented crystalline films. A less appreciated, but nonetheless powerful means of creating such films is via topotactic transformation, in which a chemical reaction transforms a single crystal of one phase into a single crystal of a different phase, which inherits its orientation from the original crystal. Topotactic reactions may be applied to epitactic films to substitute, add or remove ions to yield epitactic films of different phases. Here we exploit a topotactic reduction reaction to provide a non-ultra-high vacuum (UHV) means of growing highly oriented single crystalline thin films of the easily over-oxidizedmore » half-metallic semiconductor europium monoxide (EuO) with a perfection rivalling that of the best films of the same material grown by molecular-beam epitaxy or UHV pulsed-laser deposition. Lastly, as the technique only requires high-vacuum deposition equipment, it has the potential to drastically improve the accessibility of high-quality single crystalline films of EuO as well as other difficult-to-synthesize compounds.« less

  17. Vacuum fluctuations in an ancestor vacuum: A possible dark energy candidate

    NASA Astrophysics Data System (ADS)

    Aoki, Hajime; Iso, Satoshi; Lee, Da-Shin; Sekino, Yasuhiro; Yeh, Chen-Pin

    2018-02-01

    We consider an open universe created by bubble nucleation, and study possible effects of our "ancestor vacuum," a de Sitter space in which bubble nucleation occurred, on the present universe. We compute vacuum expectation values of the energy-momentum tensor for a minimally coupled scalar field, carefully taking into account the effect of the ancestor vacuum by the Euclidean prescription. We pay particular attention to the so-called supercurvature mode, a non-normalizable mode on a spatial slice of the open universe, which has been known to exist for sufficiently light fields. This mode decays in time most slowly, and may leave residual effects of the ancestor vacuum, potentially observable in the present universe. We point out that the vacuum energy of the quantum field can be regarded as dark energy if mass of the field is of order the present Hubble parameter or smaller. We obtain preliminary results for the dark energy equation of state w (z ) as a function of the redshift.

  18. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  19. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  20. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  1. Step-by-step growth of epitaxially aligned polythiophene by surface-confined reaction

    PubMed Central

    Lipton-Duffin, J. A.; Miwa, J. A.; Kondratenko, M.; Cicoira, F.; Sumpter, B. G.; Meunier, V.; Perepichka, D. F.; Rosei, F.

    2010-01-01

    One of the great challenges in surface chemistry is to assemble aromatic building blocks into ordered structures that are mechanically robust and electronically interlinked—i.e., are held together by covalent bonds. We demonstrate the surface-confined growth of ordered arrays of poly(3,4-ethylenedioxythiophene) (PEDOT) chains, by using the substrate (the 110 facet of copper) simultaneously as template and catalyst for polymerization. Copper acts as promoter for the Ullmann coupling reaction, whereas the inherent anisotropy of the fcc 110 facet confines growth to a single dimension. High resolution scanning tunneling microscopy performed under ultrahigh vacuum conditions allows us to simultaneously image PEDOT oligomers and the copper lattice with atomic resolution. Density functional theory calculations confirm an unexpected adsorption geometry of the PEDOT oligomers, which stand on the sulfur atom of the thiophene ring rather than lying flat. This polymerization approach can be extended to many other halogen-terminated molecules to produce epitaxially aligned conjugated polymers. Such systems might be of central importance to develop future electronic and optoelectronic devices with high quality active materials, besides representing model systems for basic science investigations. PMID:20534511

  2. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  3. Hole traps associated with high-concentration residual carriers in p-type GaAsN grown by chemical beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Elleuch, Omar, E-mail: mr.omar.elleuch@gmail.com; Wang, Li; Lee, Kan-Hua

    2015-01-28

    The hole traps associated with high background doping in p-type GaAsN grown by chemical beam epitaxy are studied based on the changes of carrier concentration, junction capacitance, and hole traps properties due to the annealing. The carrier concentration was increased dramatically with annealing time, based on capacitance–voltage (C–V) measurement. In addition, the temperature dependence of the junction capacitance (C–T) was increased rapidly two times. Such behavior is explained by the thermal ionization of two acceptor states. These acceptors are the main cause of high background doping in the film, since the estimated carrier concentration from C–T results explains the measuredmore » carrier concentration at room temperature using C–V method. The acceptor states became shallower after annealing, and hence their structures are thermally unstable. Deep level transient spectroscopy (DLTS) showed that the HC2 hole trap was composed of two signals, labeled HC21 and HC22. These defects correspond to the acceptor levels, as their energy levels obtained from DLTS are similar to those deduced from C–T. The capture cross sections of HC21 and HC22 are larger than those of single acceptors. In addition, their energy levels and capture cross sections change in the same way due to the annealing. This tendency suggests that HC21 and HC22 signals originate from the same defect which acts as a double acceptor.« less

  4. Basic experiments during loss of vacuum event (LOVE) in fusion experimental reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ogawa, Masuro; Kunugi, Tomoaki; Seki, Yasushi

    If a loss of vacuum event (LOVE) occurs due to damage of the vacuum vessel of a nuclear fusion experimental reactor, some chemical reactions such as a graphic oxidation and a buoyancy-driven exchange flow take place after equalization of the gas pressure between the inside and outside of the vacuum vessel. The graphite oxidation would generate inflammable carbon monoxide and release tritium retained in the graphite. The exchange flow through the breaches may transport the carbon monoxide and tritium out of the vacuum vessel. To add confidence to the safety evaluations and analyses, it is important to grasp the basicmore » phenomena such as the exchange flow and the graphite oxidation. Experiments of the exchange flow and the graphite oxidation were carried out to obtain the exchange flow rate and the rate constant for the carbon monoxide combustion, respectively. These experimental results were compared with existing correlations. The authors plan a scaled-model test and a full-scale model test for the LOVE.« less

  5. Two-dimensional GaSe/MoSe2 misfit bilayer heterojunctions by van der Waals epitaxy.

    PubMed

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao; Huang, Bing; Puretzky, Alexander A; Ma, Cheng; Wang, Kai; Zhou, Wu; Pantelides, Sokrates T; Chi, Miaofang; Kravchenko, Ivan; Fowlkes, Jason; Rouleau, Christopher M; Geohegan, David B; Xiao, Kai

    2016-04-01

    Two-dimensional (2D) heterostructures hold the promise for future atomically thin electronics and optoelectronics because of their diverse functionalities. Although heterostructures consisting of different 2D materials with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) epitaxy, constructing heterostructures from layered semiconductors with large lattice misfits remains challenging. We report the growth of 2D GaSe/MoSe2 heterostructures with a large lattice misfit using two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientation between the two layers, forming a periodic superlattice. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe2 monolayer domains in lateral GaSe/MoSe2 heterostructures, GaSe monolayers are found to overgrow MoSe2 during CVD, forming a stripe of vertically stacked vdW heterostructures at the crystal interface. Such vertically stacked vdW GaSe/MoSe2 heterostructures are shown to form p-n junctions with effective transport and separation of photogenerated charge carriers between layers, resulting in a gate-tunable photovoltaic response. These GaSe/MoSe2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells.

  6. Two-dimensional GaSe/MoSe2 misfit bilayer heterojunctions by van der Waals epitaxy

    PubMed Central

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao; Huang, Bing; Puretzky, Alexander A.; Ma, Cheng; Wang, Kai; Zhou, Wu; Pantelides, Sokrates T.; Chi, Miaofang; Kravchenko, Ivan; Fowlkes, Jason; Rouleau, Christopher M.; Geohegan, David B.; Xiao, Kai

    2016-01-01

    Two-dimensional (2D) heterostructures hold the promise for future atomically thin electronics and optoelectronics because of their diverse functionalities. Although heterostructures consisting of different 2D materials with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) epitaxy, constructing heterostructures from layered semiconductors with large lattice misfits remains challenging. We report the growth of 2D GaSe/MoSe2 heterostructures with a large lattice misfit using two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientation between the two layers, forming a periodic superlattice. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe2 monolayer domains in lateral GaSe/MoSe2 heterostructures, GaSe monolayers are found to overgrow MoSe2 during CVD, forming a stripe of vertically stacked vdW heterostructures at the crystal interface. Such vertically stacked vdW GaSe/MoSe2 heterostructures are shown to form p-n junctions with effective transport and separation of photogenerated charge carriers between layers, resulting in a gate-tunable photovoltaic response. These GaSe/MoSe2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells. PMID:27152356

  7. Vacuum Virtues

    ERIC Educational Resources Information Center

    Rathey, Allen

    2007-01-01

    Upright vacuums, like cars, vary in quality, features and performance. Like automobiles, some uprights are reliable, others may be problematic, and some become a problem as a result of neglect or improper use. So, how do education institutions make an informed choice and, having done so, ensure that an upright vacuum goes the distance? In this…

  8. Interface magnetic anisotropy for monatomic layer-controlled Co/Ni epitaxial multilayers

    NASA Astrophysics Data System (ADS)

    Shioda, A.; Seki, T.; Shimada, J.; Takanashi, K.

    2015-05-01

    The magnetic properties for monatomic layer (ML)-controlled Co/Ni epitaxial multilayers were investigated in order to evaluate the interface magnetic anisotropy energy (Ks) between Ni and Co layers. The Co/Ni epitaxial multilayers were prepared on an Al2O3 (11-20) substrate with V/Au buffer layers. The value of Ks was definitely larger than that for the textured Co/Ni grown on a thermally oxidized Si substrate. We consider that the sharp interface for the epitaxial Co/Ni played a role to increase the value of Ks, which also enabled us to obtain perpendicular magnetization even for the 1 ML-Co/1 ML-Ni multilayer.

  9. Microfabricated triggered vacuum switch

    DOEpatents

    Roesler, Alexander W [Tijeras, NM; Schare, Joshua M [Albuquerque, NM; Bunch, Kyle [Albuquerque, NM

    2010-05-11

    A microfabricated vacuum switch is disclosed which includes a substrate upon which an anode, cathode and trigger electrode are located. A cover is sealed over the substrate under vacuum to complete the vacuum switch. In some embodiments of the present invention, a metal cover can be used in place of the trigger electrode on the substrate. Materials used for the vacuum switch are compatible with high vacuum, relatively high temperature processing. These materials include molybdenum, niobium, copper, tungsten, aluminum and alloys thereof for the anode and cathode. Carbon in the form of graphitic carbon, a diamond-like material, or carbon nanotubes can be used in the trigger electrode. Channels can be optionally formed in the substrate to mitigate against surface breakdown.

  10. Influence of Gap Distance on Vacuum Arc Characteristics of Cup Type AMF Electrode in Vacuum Interrupters

    NASA Astrophysics Data System (ADS)

    Cheng, Shaoyong; Xiu, Shixin; Wang, Jimei; Shen, Zhengchao

    2006-11-01

    The greenhouse effect of SF6 is a great concern today. The development of high voltage vacuum circuit breakers becomes more important. The vacuum circuit breaker has minimum pollution to the environment. The vacuum interrupter is the key part of a vacuum circuit breaker. The interrupting characteristics in vacuum and arc-controlling technique are the main problems to be solved for a longer gap distance in developing high voltage vacuum interrupters. To understand the vacuum arc characteristics and provide effective technique to control vacuum arc in a long gap distance, the arc mode transition of a cup-type axial magnetic field electrode is observed by a high-speed charge coupled device (CCD) video camera under different gap distances while the arc voltage and arc current are recorded. The controlling ability of the axial magnetic field on vacuum arc obviously decreases when the gap distance is longer than 40 mm. The noise components and mean value of the arc voltage significantly increase. The effective method for controlling the vacuum arc characteristics is provided by long gap distances based on the test results. The test results can be used as a reference to develop high voltage and large capacity vacuum interrupters.

  11. Selenidation of epitaxial silicene on ZrB2

    NASA Astrophysics Data System (ADS)

    Wiggers, F. B.; Yamada-Takamura, Y.; Kovalgin, A. Y.; de Jong, M. P.

    2018-01-01

    The deposition of elemental Se on epitaxial silicene on ZrB2 thin films was investigated with synchrotron-based core-level photoelectron spectroscopy and low-energy electron diffraction. The deposition of Se at room temperature caused the appearance of Si 2p peaks with chemical shifts of n × 0.51 ± 0.04 eV (n = 1-4), suggesting the formation of SiSe2. This shows that capping the silicene monolayer, without affecting its structural and electronic properties, is not possible with Se. The annealing treatments that followed caused the desorption of Se and Si, resulting in the etching of the Si atoms formerly part of the silicene layer, and the formation of bare ZrB2(0001) surface area. In addition, a ZrB2(0001)-(√7 × 3)R40.9° surface reconstruction was observed, attributed to a Se-termination of the surface of the transition metal diboride thin film.

  12. Choice of Substrate Material for Epitaxial CdTe Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-06-14

    Epitaxial CdTe with high quality, low defect density, and high carrier concentration should in principle yield high-efficiency photovoltaic devices. However, insufficient effort has been given to explore the choice of substrate for high-efficiency epitaxial CdTe solar cells. In this paper, we use numerical simulations to investigate three crystalline substrates: silicon (Si), InSb, and CdTe each substrate material are generally discussed.

  13. Three-dimensional lattice matching of epitaxially embedded nanoparticles

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Anderson, Peter M.; Myers, Roberto C.

    2017-02-01

    For a given degree of in-plane lattice mismatch between a two-dimensional (2D) epitaxial layer and a substrate (ɛIP*), there is a critical thickness above which interfacial defects form to relax the elastic strain energy. Here, we extend the 2D lattice-matching conditions to three-dimensions in order to predict the critical size beyond which epitaxially encased nanoparticles, characterized by both ɛIP* and out-of-plane lattice mismatch (ɛOP*), relax by dislocation formation. The critical particle length (Lc) at which defect formation proceeds is determined by balancing the reduction in elastic energy associated with dislocation introduction with the corresponding increase in defect energy. Our results, which use a modified Eshelby inclusion technique for an embedded, arbitrarily-faceted nanoparticle, provide new insight to the nanoepitaxy of low dimensional structures, especially quantum dots and nanoprecipitates. By engineering ɛIP* and ɛOP* , the predicted Lc for nanoparticles can be increased to well beyond the case of encapsulation in a homogenous matrix. For the case of truncated pyramidal shaped InAs, Lc 10.8 nm when fully embedded in GaAs (ɛIP* = ɛOP* = - 0.072); 16.4 nm when the particle is grown on GaAs, but capped with InSb (ɛIP* = - 0.072 and ɛOP* =+0.065); and a maximum of 18.4 nm if capped with an alloy corresponding to ɛOP* =+0.037. The effect, which we term "3D Poisson-stabilization" provides a means to increase the epitaxial strain tolerance in epitaxial heterostructures by tailoring ɛOP*.

  14. Selective epitaxy using the gild process

    DOEpatents

    Weiner, Kurt H.

    1992-01-01

    The present invention comprises a method of selective epitaxy on a semiconductor substrate. The present invention provides a method of selectively forming high quality, thin GeSi layers in a silicon circuit, and a method for fabricating smaller semiconductor chips with a greater yield (more error free chips) at a lower cost. The method comprises forming an upper layer over a substrate, and depositing a reflectivity mask which is then removed over selected sections. Using a laser to melt the unmasked sections of the upper layer, the semiconductor material in the upper layer is heated and diffused into the substrate semiconductor material. By varying the amount of laser radiation, the epitaxial layer is formed to a controlled depth which may be very thin. When cooled, a single crystal epitaxial layer is formed over the patterned substrate. The present invention provides the ability to selectively grow layers of mixed semiconductors over patterned substrates such as a layer of Ge.sub.x Si.sub.1-x grown over silicon. Such a process may be used to manufacture small transistors that have a narrow base, heavy doping, and high gain. The narrowness allows a faster transistor, and the heavy doping reduces the resistance of the narrow layer. The process does not require high temperature annealing; therefore materials such as aluminum can be used. Furthermore, the process may be used to fabricate diodes that have a high reverse breakdown voltage and a low reverse leakage current.

  15. Epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) by reactive high-power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per, E-mail: perek@ifm.liu.se; Frodelius, Jenny; Hultman, Lars

    2014-01-15

    Al{sub 2}O{sub 3} was deposited by reactive high-power impulse magnetron sputtering at 600 °C onto pre-deposited Ti{sub 2}AlC(0001) thin films on α-Al{sub 2}O{sub 3}(0001) substrates. The Al{sub 2}O{sub 3} was deposited to a thickness of 65 nm and formed an adherent layer of epitaxial γ-Al{sub 2}O{sub 3}(111) as shown by transmission electron microscopy. The demonstration of epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) open prospects for growth of crystalline alumina as protective coatings on Ti{sub 2}AlC and related nanolaminated materials. The crystallographic orientation relationships are γ-Al{sub 2}O{sub 3}(111)//Ti{sub 2}AlC(0001) (out-of-plane) and γ- Al {sub 2}O{sub 3}(22{sup ¯}0)// Timore » {sub 2} AlC (112{sup ¯}0) (in-plane) as determined by electron diffraction. Annealing in vacuum at 900 °C resulted in partial decomposition of the Ti{sub 2}AlC by depletion of Al and diffusion into and through the γ-Al{sub 2}O{sub 3} layer.« less

  16. Surface Chemical Conversion of Organosilane Self-Assembled Monolayers with Active Oxygen Species Generated by Vacuum Ultraviolet Irradiation of Atmospheric Oxygen Molecules

    NASA Astrophysics Data System (ADS)

    Kim, Young-Jong; Lee, Kyung-Hwang; Sano, Hikaru; Han, Jiwon; Ichii, Takashi; Murase, Kuniaki; Sugimura, Hiroyuki

    2008-01-01

    The chemical conversion of the top surface of n-octadecyltrimethoxy silane self-assembled monolayers (ODS-SAMs) on oxide-covered Si substrates using active oxygen species generated from atmospheric oxygen molecules irradiated with vacuum ultraviolet (VUV) light at 172 nm in wavelength has been studied on the basis of water contact angle measurements, ellipsometry, X-ray photoelectron spectroscopy, and atomic force microscopy. An ODS-SAM whose water contact angle was 104° on average was prepared using chemical vapor deposition with substrate and vapor temperatures of 150 °C. The VUV treatment of an ODS-SAM sample was carried out by placing the sample in air and then irradiating the sample surface with a Xe-excimer lamp. The distance between the lamp and the sample was regulated so that the VUV light emitted from the lamp was almost entirely absorbed by atmospheric oxygen molecules to generate active oxygen species, such as ozone and atomic oxygen before reaching the sample surface. Hence, the surface chemical conversion of the ODS-SAM was primarily promoted through chemical reactions with the active oxygen species. Photochemical changes in the ODS-SAM were found to be the generation of polar functional groups, such as -COOH, -CHO, and -OH, on the surface and the subsequent etching of the monolayer. Irradiation parameters, such as irradiation time, were optimized to achieve a better functionalization of the SAM top surface while minimizing the etching depth of the ODS-SAM. The ability to graft another SAM onto the modified ODS-SAM bearing polar functional groups was demonstrated by the formation of alkylsilane bilayers.

  17. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  18. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    NASA Astrophysics Data System (ADS)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  19. Growth and characterization of GaN thin film on Si substrate by thermionic vacuum arc (TVA)

    NASA Astrophysics Data System (ADS)

    Kundakçı, Mutlu; Mantarcı, Asim; Erdoğan, Erman

    2017-01-01

    Gallium nitride (GaN) is an attractive material with a wide-direct band gap (3.4 eV) and is one of the significant III-nitride materials, with many advantageous device applications such as high electron mobility transistors, lasers, sensors, LEDs, detectors, and solar cells, and has found applications in optoelectronic devices. GaN could also be useful for industrial research in the future. Chemical vapor deposition (CVD), molecular beam epitaxy (MBE), sputter, and pulsed laser deposition (PLD) are some of the methods used to fabricate GaN thin film. In this research, a GaN thin film grown on a silicon substrate using the thermionic vacuum arc (TVA) technique has been extensively studied. Fast deposition, short production time, homogeneity, and uniform nanostructure with low roughness can be seen as some of the merits of this method. The growth of the GaN was conducted at an operating pressure of 1× {{10}-6} \\text{Torr} , a plasma current 0.6 \\text{A} and for a very short period of time of 40 s. For the characterization process, scanning electron microscopy (SEM) was conducted to determine the structure and surface morphology of the material. Energy dispersive x-ray spectroscopy (EDX) was used to comprehend the elemental analysis characterization of the film. X-ray diffraction (XRD) was used to analyze the structure of the film. Raman measurements were taken to investigate the phonon modes of the material. The morphological properties of the material were analyzed in detail by atomic force microscopy (AFM).

  20. Comparison of electrical properties and deep traps in p-AlxGa1-xN grown by molecular beam epitaxy and metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Kozhukhova, E. A.; Dabiran, A. M.; Chow, P. P.; Wowchak, A. M.; Lee, In-Hwan; Ju, Jin-Woo; Pearton, S. J.

    2009-10-01

    The electrical properties, admittance spectra, microcathodoluminescence, and deep trap spectra of p-AlGaN films with an Al mole fraction up to 45% grown by both metal organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) were compared. The ionization energy of Mg increases from 0.15 to 0.17 eV in p-GaN to 0.3 eV in 45% Al p-AlGaN. In p-GaN films grown by MBE and MOCVD and in MOCVD grown p-AlGaN, we observed additional acceptors with a concentration an order lower than that of Mg acceptors, with a higher hole capture cross section and an ionization energy close to that of Mg. For some of the MBE grown p-AlGaN, we also detected the presence of additional acceptor centers, but in that case the centers were located near the p-AlGaN layer interface with the semi-insulating AlGaN buffer and showed activation energies considerably lower than those of Mg.

  1. Studies of the Initial Stages of Epitaxial Growth of Germanium on Silicon

    NASA Astrophysics Data System (ADS)

    Krishnamurthy, Mohan

    The epitaxial growth of ultra-thin films (< 1nm thick) of Ge on Si(100) has been studied in -situ in an Ultra High Vacuum-Scanning Transmission Electron Microscope. Ge was deposited on clean Si(100) using molecular beam techniques to study two types of growth processes, Molecular Beam Epitaxy (MBE) and Solid Phase MBE. Ge grows in the Stranski-Krastanov growth mode, forming islands after initial layer growth. This islanding transition has been studied with high spatial resolution biassed Secondary Electron Imaging and Auger spectroscopy and imaging. Ex -situ Transmission Electron Microscopy (TEM) and Reflection High Energy Diffraction (RHEED) were also used to characterize the transition. The islanding process and its subsequent evolution was monitored with the help of island size distributions, sensitive to islands above 2nm in size. The studies indicate that Ge forms islands in equilibrium with a 3 monolayer (ML) thick intermediate layer. These islands may initially grow coherently strained (dislocation free) with radii usually below 10nm under the conditions. The strain in these islands reduces the adatom sticking coefficient and strongly influences the microstructural evolution. The intermediate layer may grow metastably under certain conditions to as much as 7 ML before collapsing to its equilibrium form. The influence of three types of adatom sinks--strained islands, dislocated islands and contaminant particles have been studied. The contaminant particles are the strongest sinks, followed by dislocated islands and strained islands. Stepped (vicinal) surfaces (1^circ and 5 ^circ toward {110 }) had no significant influence possibly due to the steps being weak adatom sinks. The coarsening of Ge islands does not follow the Ostwald ripening model at the early stages and is influenced by the supersaturation in the intermediate layer and the strain in the coherent islands. A novel mechanism has been observed, where the larger (dislocated) islands grow at the expense

  2. Graphitic carbon grown on fluorides by molecular beam epitaxy.

    PubMed

    Jerng, Sahng-Kyoon; Lee, Jae Hong; Kim, Yong Seung; Chun, Seung-Hyun

    2013-01-03

    We study the growth mechanism of carbon molecules supplied by molecular beam epitaxy on fluoride substrates (MgF2, CaF2, and BaF2). All the carbon layers form graphitic carbon with different crystallinities depending on the cation. Especially, the growth on MgF2 results in the formation of nanocrystalline graphite (NCG). Such dependence on the cation is a new observation and calls for further systematic studies with other series of substrates. At the same growth temperature, the NCG on MgF2 has larger clusters than those on oxides. This is contrary to the general expectation because the bond strength of the carbon-fluorine bond is larger than that of the carbon-oxygen bond. Our results show that the growth of graphitic carbon does not simply depend on the chemical bonding between the carbon and the anion in the substrate.

  3. Graphitic carbon grown on fluorides by molecular beam epitaxy

    PubMed Central

    2013-01-01

    We study the growth mechanism of carbon molecules supplied by molecular beam epitaxy on fluoride substrates (MgF2, CaF2, and BaF2). All the carbon layers form graphitic carbon with different crystallinities depending on the cation. Especially, the growth on MgF2 results in the formation of nanocrystalline graphite (NCG). Such dependence on the cation is a new observation and calls for further systematic studies with other series of substrates. At the same growth temperature, the NCG on MgF2 has larger clusters than those on oxides. This is contrary to the general expectation because the bond strength of the carbon-fluorine bond is larger than that of the carbon-oxygen bond. Our results show that the growth of graphitic carbon does not simply depend on the chemical bonding between the carbon and the anion in the substrate. PMID:23286607

  4. Using vacuum pyrolysis and mechanical processing for recycling waste printed circuit boards.

    PubMed

    Long, Laishou; Sun, Shuiyu; Zhong, Sheng; Dai, Wencan; Liu, Jingyong; Song, Weifeng

    2010-05-15

    The constant growth in generation of waste printed circuit boards (WPCB) poses a huge disposal problem because they consist of a heterogeneous mixture of organic and metallic chemicals as well as glass fiber. Also the presence of heavy metals, such as Pb and Cd turns this scrap into hazardous waste. Therefore, recycling of WPCB is an important subject not only from the recovery of valuable materials but also from the treatment of waste. The aim of this study was to present a recycling process without negative impact to the environment as an alternative for recycling WPCB. In this work, a process technology containing vacuum pyrolysis and mechanical processing was employed to recycle WPCB. At the first stage of this work, the WPCB was pyrolyzed under vacuum in a self-made batch pilot-scale fixed bed reactor to recycle organic resins contained in the WPCB. By vacuum pyrolysis the organic matter was decomposed to gases and liquids which could be used as fuels or chemical material resources, however, the inorganic WPCB matter was left unaltered as solid residues. At the second stage, the residues obtained at the first stage were investigated to separate and recover the copper through mechanical processing such as crushing, screening, and gravity separation. The copper grade of 99.50% with recovery of 99.86% based on the whole WPCB was obtained. And the glass fiber could be obtained by calcinations in a muffle furnace at 600 degrees C for 10 min. This study had demonstrated the feasibility of vacuum pyrolysis and mechanical processing for recycling WPCB. Copyright (c) 2009 Elsevier B.V. All rights reserved.

  5. Vacuum probe surface sampler

    NASA Technical Reports Server (NTRS)

    Zahlava, B. A. (Inventor)

    1973-01-01

    A vacuum probe surface sampler is described for rapidly sampling relatively large surface areas which possess relatively light loading densities of micro-organism, drug particles or the like. A vacuum head with a hollow handle connected to a suitable vacuum source is frictionally attached to a cone assembly terminating in a flared tip adapted to be passed over the surface to be sampled. A fine mesh screen carried by the vacuum head provides support for a membrane filter which collects the microorganisms or other particles. The head assembly is easily removed from the cone assembly without contacting the cone assembly with human hands.

  6. Electrochemical Reduction Properties of Extended Space Charge InGaP and GaP Epitaxial Layers

    DOE PAGES

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-06-17

    Two lattice-matched epitaxial III-V phosphide films of thicknesses between 400 and 500 nm are grown by metal-organic chemical vapor deposition: InGaP on GaAs and GaP on Si. These structures are designed as photocathodes for solar-driven chemical reduction processes such as the hydrogen evolution reaction (HER) and CO 2 reduction into higher-order hydrocarbons. By using p + substrates and undoped epitaxial layers, an extended space-charge active region is achieved in the electrode with a design analogous to a p-i-n solar cell. When in contact with the methyl viologen MV + / + + redox couple, the InGaP/GaAs and GaP/Si cathodes generatemore » a photovoltage of 388 mV and 274 mV, respectively, under 1 sun illumination. Incident photon-to-current efficiency (IPCE) measurements confirm that the undoped active layers are exclusively performing light absorption and minority carrier diffusion-based charge transfer of high-energy photons. This shows that performance can be significantly boosted with lower-doped substrates. The InGaP/GaAs and GaP/Si electrodes are shown to drive the HER at saturation photocurrent densities of 9.05 mA/cm 2 and 2.34 mA/cm 2, respectively, under 1 sun illumination without a co-catalyst and under a large reduction bias. As a result, thicker films did not show a corresponding increased performance, and can be explained through understanding of crystalline defects and the electrostatics of the junctions.« less

  7. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  8. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    NASA Astrophysics Data System (ADS)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  9. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  10. Comparison of work rates, energy expenditure, and perceived exertion during a 1-h vacuuming task with a backpack vacuum cleaner and an upright vacuum cleaner.

    PubMed

    Mengelkoch, Larry J; Clark, Kirby

    2006-03-01

    The purpose of this study was to evaluate two types of industrial vacuum cleaners, in terms of cleaning rates, energy expenditure, and perceived exertion. Twelve industrial cleaners (six males and six females, age 28-39 yr) performed two 1-h vacuuming tasks with an upright vacuum cleaner (UVC) and a backpack vacuum cleaner (BPVC). Measures for oxygen uptake (VO2) and ratings of perceived exertion (RPE) were collected continuously during the 1-h vacuuming tasks. Cleaning rates for the UVC and BPVC were 7.23 and 14.98 m2min(-1), respectively. On a separate day subjects performed a maximal treadmill exercise test to determine their maximal aerobic capacity (peak VO2). Average absolute energy costs (in Metabolic equivalents), relative energy costs of the vacuum task compared to the subjects' maximal aerobic capacity (% peak VO2), and RPE responses for the 1-h vacuuming tasks were similar between vacuum cleaners, but % peak VO2 and RPE values differed between genders. These results indicate that the BPVC was more efficient than the UVC. With the BPVC, experienced workers vacuumed at a cleaning rate 2.07 times greater than the UVC and had similar levels of energy expenditure and perceived effort, compared to the slower cleaning rate with the UVC.

  11. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysismore » also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.« less

  12. Surface effects of vapour-liquid-solid driven Bi surface droplets formed during molecular-beam-epitaxy of GaAsBi

    PubMed Central

    Steele, J. A.; Lewis, R. A.; Horvat, J.; Nancarrow, M. J. B.; Henini, M.; Fan, D.; Mazur, Y. I.; Schmidbauer, M.; Ware, M. E.; Yu, S.-Q.; Salamo, G. J.

    2016-01-01

    Herein we investigate a (001)-oriented GaAs1−xBix/GaAs structure possessing Bi surface droplets capable of catalysing the formation of nanostructures during Bi-rich growth, through the vapour-liquid-solid mechanism. Specifically, self-aligned “nanotracks” are found to exist trailing the Bi droplets on the sample surface. Through cross-sectional high-resolution transmission electron microscopy the nanotracks are revealed to in fact be elevated above surface by the formation of a subsurface planar nanowire, a structure initiated mid-way through the molecular-beam-epitaxy growth and embedded into the epilayer, via epitaxial overgrowth. Electron microscopy studies also yield the morphological, structural, and chemical properties of the nanostructures. Through a combination of Bi determination methods the compositional profile of the film is shown to be graded and inhomogeneous. Furthermore, the coherent and pure zincblende phase property of the film is detailed. Optical characterisation of features on the sample surface is carried out using polarised micro-Raman and micro-photoluminescence spectroscopies. The important light producing properties of the surface nanostructures are investigated through pump intensity-dependent micro-PL measurements, whereby relatively large local inhomogeneities are revealed to exist on the epitaxial surface for important optical parameters. We conclude that such surface effects must be considered when designing and fabricating optical devices based on GaAsBi alloys. PMID:27377213

  13. In-vacuum scattered light reduction with black cupric oxide surfaces for sensitive fluorescence detection.

    PubMed

    Norrgard, E B; Sitaraman, N; Barry, J F; McCarron, D J; Steinecker, M H; DeMille, D

    2016-05-01

    We demonstrate a simple and easy method for producing low-reflectivity surfaces that are ultra-high vacuum compatible, may be baked to high temperatures, and are easily applied even on complex surface geometries. Black cupric oxide (CuO) surfaces are chemically grown in minutes on any copper surface, allowing for low-cost, rapid prototyping, and production. The reflective properties are measured to be comparable to commercially available products for creating optically black surfaces. We describe a vacuum apparatus which uses multiple blackened copper surfaces for sensitive, low-background detection of molecules using laser-induced fluorescence.

  14. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  15. Electrical transport properties of epitaxial titanium nitride nanowire

    NASA Astrophysics Data System (ADS)

    Makise, K.; Shinozaki, B.

    2018-03-01

    We have measured the transport properties of epitaxial titanium nitride (TiN) nanowires. Epitaxial TiN layer, deposited by dc magnetron sputtering on MgO(100) substrates at growth temperature T = 1073 K. Samples of nanowire were fabricated by e-beam lithography and reactive ion etching. Although TiN films with 100 nm-thickness have superconducting transition temperature T C ∼ 5 K, nanowires does not appear resistive transition until 0.15 K. The magnetoresistance (MR) are always negative. Furthermore for MR experimental results, we attempt to fit the data using one-dimensional weak localization theory. In addition we observed oscillations of magnetoresistance below 5 K.

  16. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    PubMed

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  17. Two-dimensional GaSe/MoSe 2 misfit bilayer heterojunctions by van der Waals epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao

    Two-dimensional (2D) heterostructures hold the promise for future atomically-thin electronics and optoelectronics due to their diverse functionalities. While heterostructures consisting of different transition metal dichacolgenide monolayers with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) or edge epitaxy, constructing heterostructures from monolayers of layered semiconductors with large lattice misfits still remains challenging. Here, we report the growth of monolayer GaSe/MoSe 2 heterostructures with large lattice misfit by two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe 2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientationmore » between the two layers, forming an incommensurate vdW heterostructure. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe 2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe 2 monolayer domains in lateral GaSe/MoSe 2 heterostructures, GaSe monolayers are found to overgrow MoSe 2 during CVD, forming a stripe of vertically stacked vdW heterostructure at the crystal interface. Such vertically-stacked vdW GaSe/MoSe 2 heterostructures are shown to form p-n junctions with effective transport and separation of photo-generated charge carriers between layers, resulting in a gate-tunable photovoltaic response. In conclusion, these GaSe/MoSe 2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells.« less

  18. Two-dimensional GaSe/MoSe 2 misfit bilayer heterojunctions by van der Waals epitaxy

    DOE PAGES

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao; ...

    2016-04-01

    Two-dimensional (2D) heterostructures hold the promise for future atomically-thin electronics and optoelectronics due to their diverse functionalities. While heterostructures consisting of different transition metal dichacolgenide monolayers with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) or edge epitaxy, constructing heterostructures from monolayers of layered semiconductors with large lattice misfits still remains challenging. Here, we report the growth of monolayer GaSe/MoSe 2 heterostructures with large lattice misfit by two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe 2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientationmore » between the two layers, forming an incommensurate vdW heterostructure. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe 2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe 2 monolayer domains in lateral GaSe/MoSe 2 heterostructures, GaSe monolayers are found to overgrow MoSe 2 during CVD, forming a stripe of vertically stacked vdW heterostructure at the crystal interface. Such vertically-stacked vdW GaSe/MoSe 2 heterostructures are shown to form p-n junctions with effective transport and separation of photo-generated charge carriers between layers, resulting in a gate-tunable photovoltaic response. In conclusion, these GaSe/MoSe 2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells.« less

  19. Composition, morphology and surface recombination rate of HCl-isopropanol treated and vacuum annealed InAs(1 1 1)A surfaces

    NASA Astrophysics Data System (ADS)

    Kesler, V. G.; Seleznev, V. A.; Kovchavtsev, A. P.; Guzev, A. A.

    2010-05-01

    X-ray photoelectron spectroscopy and atomic force microscopy were used to examine the chemical composition and surface morphology of InAs(1 1 1)A surface chemically etched in isopropanol-hydrochloric acid solution (HCl-iPA) and subsequently annealed in vacuum in the temperature range 200-500 °C. Etching for 2-30 min resulted in the formation of "pits" and "hillocks" on the sample surface, respectively 1-2 nm deep and high, with lateral dimensions 50-100 nm. The observed local formations, whose density was up to 3 × 10 8 cm -2, entirely vanished from the surface after the samples were vacuum-annealed at temperatures above 300 °C. Using a direct method, electron beam microanalysis, we have determined that the defects of the hillock type includes oxygen and excessive As, while the "pits" proved to be identical in their chemical composition to InAs. Vacuum anneals were found to cause a decrease in As surface concentration relative to In on InAs surface, with a concomitant rise of surface recombination rate.

  20. CHEMICAL RIGIDIZATION OF EXPANDABLE STRUCTURES.

    DTIC Science & Technology

    The objective of this program was to develop a chemical rigidization process that could be activated by an on-command mechanism and be capable of...and rigidized in the high vacuum facilities atWright-Patterson AFB, Ohio and were delivered to the Air Force. A fail-safe chemical rigidization system...have been varied from fifteen minutes to two hours. The chemical system, a vinyl-type monomer, has exhibited a sustained shelf-life, under ambient

  1. A radiation hard vacuum switch

    DOEpatents

    Boettcher, G.E.

    1988-07-19

    A vacuum switch with an isolated trigger probe which is not directly connected to the switching electrodes. The vacuum switch within the plasmatron is triggered by plasma expansion initiated by the trigger probe which travels through an opening to reach the vacuum switch elements. The plasma arc created is directed by the opening to the space between the anode and cathode of the vacuum switch to cause conduction. 3 figs.

  2. [Physical and chemical evaluation during refrigeration storage of salted catfish (Pseudoplatystoma sp.) in brine solution, and packed under vacuum].

    PubMed

    Rodríguez, Diana; Barrero, Marinela; Kodaira, Makie

    2009-06-01

    Salting fish in the south Venezuelan towns are still the main method of preserving fish including cutt, and salting fish process, storage and commercialization. As the result, salted-dried fish is particularly susceptible to spoilage by a number of factors, including lipid oxidation, browning meat. Packing salted fish product is an alternative increasing storage life time reducing lost of quality and enhancing the storage time. The present study evaluated the physic, chemist, and sensory quality of fish fillet from cat fish (Pseudoplatystoma sp.) from Apure state, Venezuela. Fillet fish were placed in brine solution at 36% of sodium chloride 1:2 fillet: brine solution; after, they were packed under followed conditions: vacuum, vacuum and storage under refrigeration condition, and room temperature. The results showed significant differences (p < 0.01) for moisture, salt content, and Aw. The fillets packed at vacuum and storage at 4 degrees C were significant different from the resting treatments; not significant differences were presented at room and refrigeration temperature after three moths. The best conditions treatment was vacuum packing and refrigeration at 4 degrees C.

  3. Comparison of vacuum rise time, vacuum limit accuracy, and occlusion break surge of 3 new phacoemulsification systems.

    PubMed

    Han, Young Keun; Miller, Kevin M

    2009-08-01

    To compare vacuum rise time, vacuum limit accuracy, and occlusion break surge of 3 new phacoemulsification machines. Jules Stein Eye Institute and Department of Ophthalmology, David Geffen School of Medicine at UCLA, Los Angeles, California, USA. The vacuum rise time under normal and enhanced aspiration modes, vacuum limit accuracy, and occlusion break surge of the Infiniti Vision System, Stellaris Vision Enhancement System, and WhiteStar Signature Phacoemulsification System were tested. Vacuum rise time and limit accuracy were measured at limit settings of 400 mm Hg and 600 mm Hg. Surge area was recorded at vacuum limit settings of 200 mm Hg, 300 mm Hg, 400 mm Hg, and 500 mm Hg. The Infiniti had the fastest vacuum rise times under normal and enhanced aspiration modes. At 4 seconds, the vacuum limit accuracy was greatest with the Infiniti at the 400 mm Hg limit and the Signature at the 600 mm Hg limit. The Stellaris did not reach either vacuum target. The Infiniti performed better than the other 2 machines during testing of occlusion break surge at all vacuum limit settings above 200 mm Hg. Under controlled laboratory test conditions, the Infiniti had the fastest vacuum rise time, greatest vacuum limit accuracy at 400 mm Hg, and least occlusion break surge. These results can be explained by the lower compliance of the Infiniti system.

  4. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  5. The Effect of Oxygen Partial Pressure on Microstructure and Properties of Fe40Al Alloy Sintered under Vacuum

    PubMed Central

    Siemiaszko, Dariusz; Kowalska, Beata; Jóźwik, Paweł; Kwiatkowska, Monika

    2015-01-01

    This paper presents the results of studies on the influence of oxygen partial pressure (vacuum level in the chamber) on the properties of FeAl intermetallics. One of the problems in the application of classical methods of prepared Fe-Al intermetallic is the occurrence of oxides. Applying a vacuum during sintering should reduce this effect. In order to analyze the effect of oxygen partial pressure on sample properties, five samples were processed (by a pressure-assisted induction sintering—PAIS method) under the following pressures: 3, 8, 30, 80, and 300 mbar (corresponding to oxygen partial pressures of 0.63, 1.68, 6.3, 16.8, and 63 mbar, respectively). The chemical and phase composition, hardness, density, and microstructure observations indicate that applying a vacuum significantly impacts intermetallic samples. The compact sintered at pressure 3 mbar is characterized by the most homogeneous microstructure, the highest density, high hardness, and nearly homogeneous chemical composition. PMID:28788015

  6. Influence of microwave vacuum drying on glass transition temperature, gelatinization temperature, physical and chemical qualities of lotus seeds.

    PubMed

    Zhao, Yingting; Jiang, Yajun; Zheng, Baodong; Zhuang, Weijing; Zheng, Yafeng; Tian, Yuting

    2017-08-01

    This study investigated the effects of microwave power density on effective moisture diffusion coefficient (D eff ), glass transition temperature (T g ), gelatinization temperature (T P ), physical and chemical qualities of lotus seeds during microwave vacuum drying. D eff increased by 42% and 127% at 15W/g and 20W/g, respectively, when compared with 10W/g. T P was negatively correlated with the relaxation times of T 21 and T 22 , while T g was negatively correlated with the relative areas A 22 . The rates of change of color were observed to be divided roughly into two periods, consisting of a rapid change caused by enzymatic browning and a slow change caused by non-enzymatic browning. An equation is provided to illustrate the relationship of k 1 and k 2 of Peleg's model depending on power density during rehydration kinetics. The samples at 20W/g exhibited the higher content of amino acid (540.19mg/100gd.b.) while lower starch (17.53g/100gd.b.). Copyright © 2017 Elsevier Ltd. All rights reserved.

  7. Growth of ferroelectric Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} epitaxial films by ultraviolet pulsed laser irradiation of chemical solution derived precursor layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Queraltó, A.; Pérez del Pino, A., E-mail: aperez@icmab.es; Mata, M. de la

    2015-06-29

    Highly crystalline epitaxial Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} (BST) thin-films are grown on (001)-oriented LaNiO{sub 3}-buffered LaAlO{sub 3} substrates by pulsed laser irradiation of solution derived barium-zirconium-titanium precursor layers using a UV Nd:YAG laser source at atmospheric conditions. The structural analyses of the obtained films, studied by X-ray diffractometry and transmission electron microscopy, demonstrate that laser processing allows the growth of tens of nm-thick BST epitaxial films with crystalline structure similar to that of films obtained through conventional thermal annealing methods. However, the fast pulsed nature of the laser employed leads to crystallization kinetic evolution orders of magnitude faster than inmore » thermal treatments. The combination of specific photothermal and photochemical mechanisms is the main responsible for the ultrafast epitaxial laser-induced crystallization. Piezoresponse microscopy measurements demonstrate equivalent ferroelectric behavior in laser and thermally annealed films, being the piezoelectric constant ∼25 pm V{sup −1}.« less

  8. Magnetic properties of epitaxial hexagonal HoFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Xiao, Zhuyun; Xu, Xiaoshan; Wang, Wenbin; Keavney, David; Liu, Yaohua; Cheng, X. M.

    2014-03-01

    Multiferroic materials exhibit multiple ferroic orders simultaneously and thus have great potential applications in information technology, sensing and actuation. Epitaxial hexagonal HoFeO3 (h-HFO) films are very promising candidates as multiferroic materials with room temperature ferromagnetism, because magnetic Ho3+ ions are expected to have stronger exchange interactions with Fe3+ ions than the well-studied h-LuFeO3 films. We report study of magnetic properties of epitaxial h-HFO thin films deposited using laser molecular beam epitaxy on Yttria-stabilized zirconia (YSZ) substrates. X-ray diffraction measurements confirmed the epitaxial registry and six-fold symmetry of the film. Temperature dependence of magnetization of the film measured by a Quantum Design SQUID magnetometer shows dominating paramagnetic characteristic. Element specific x-ray magnetic circular dichroism measurements performed at beamline 4-ID-C of the Advanced Photon Source show a ferromagnetic ordering of Fe and an exchange coupling between Ho3+ and Fe3+ ions. Work at BMC is supported by NSF Career award (DMR 1053854). Work at ANL is supported by US-DOE, Office of Science, BES (No. DE-AC02-06CH11357).

  9. Air bearing vacuum seal assembly

    DOEpatents

    Booth, Rex

    1978-01-01

    An air bearing vacuum seal assembly capable of rotating at the speed of several thousand revolutions per minute using an air cushion to prevent the rotating and stationary parts from touching, and a two stage differential pumping arrangement to maintain the pressure gradient between the air cushion and the vacuum so that the leak rate into the vacuum is, for example, less than 1 .times. 10.sup.-4 Pa m.sup.3 /s. The air bearing vacuum seal has particular application for mounting rotating targets to an evacuated accelerator beam tube for bombardment of the targets with high-power charged particle beams in vacuum.

  10. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    NASA Astrophysics Data System (ADS)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  11. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  12. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    NASA Astrophysics Data System (ADS)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-<111>B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along <110>A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and <210> lattice images.

  13. Vacuum-Gauge Connection For Shipping Container

    NASA Technical Reports Server (NTRS)

    Henry, Robert H.

    1990-01-01

    External connector enables measurement of vacuum in stored part. Remote-readout connector added to shipping container and connected to thermo-couple vacuum gauge in vacuum-insulated cryogenic line packed in container. Enables monitoring of condition of vacuum without opening container.

  14. Exposing high-energy surfaces by rapid-anneal solid phase epitaxy

    DOE PAGES

    Wang, Y.; Song, Y.; Peng, R.; ...

    2017-08-08

    The functional design of nanoscale transition metal oxide heterostructures depends critically on the growth of atomically flat epitaxial thin films. Much of the time, improved functionality is expected for heterostructures and surfaces with orientations that do not have the lowest surface free energy. For example, crystal faces with a high surface free energy, such as rutile (001) planes, frequently exhibit higher catalytic activities but are correspondingly harder to synthesize due to energy-lowering faceting transitions. We propose a broadly applicable rapid-anneal solid phase epitaxial synthesis approach for the creation of atomically flat, high surface free energy oxide heterostructures. We also demonstratemore » its efficacy via the synthesis of atomically flat, epitaxial RuO 2(001) films with a superior oxygen evolution activity, quantified by their lower onset potential and higher current density, relative to that of more common RuO 2(110) films.« less

  15. Molecular beam epitaxy of quasi-freestanding transition metal disulphide monolayers on van der Waals substrates: a growth study

    NASA Astrophysics Data System (ADS)

    Hall, Joshua; Pielić, Borna; Murray, Clifford; Jolie, Wouter; Wekking, Tobias; Busse, Carsten; Kralj, Marko; Michely, Thomas

    2018-04-01

    Based on an ultra-high vacuum compatible two-step molecular beam epitaxy synthesis with elemental sulphur, we grow clean, well-oriented, and almost defect-free monolayer islands and layers of the transition metal disulphides MoS2, TaS2 and WS2. Using scanning tunneling microscopy and low energy electron diffraction we investigate systematically how to optimise the growth process, and provide insight into the growth and annealing mechanisms. A large band gap of 2.55 eV and the ability to move flakes with the scanning tunneling microscope tip both document the weak interaction of MoS2 with its substrate consisting of graphene grown on Ir(1 1 1). As the method works for the synthesis of a variety of transition metal disulphides on different substrates, we speculate that it could be of great use for providing hitherto unattainable high quality monolayers of transition metal disulphides for fundamental spectroscopic investigations.

  16. Strain-relaxed structure in (001)/(100)-oriented epitaxial PbTiO3 films grown on (100) SrTiO3 substrates by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Nakaki, Hiroshi; Kim, Yong Kwan; Yokoyama, Shintaro; Ikariyama, Rikyu; Funakubo, Hiroshi; Nishida, Ken; Saito, Keisuke

    2007-09-01

    The authors grew (001)- and (001)/(100)-oriented epitaxial PbTiO3 films with various thicknesses on (100)SrTiO3 substrates. They used x-ray diffraction to measure the angles between surface normal [001] of (001)-oriented domains and [100] of (100)-oriented domains. The angles were found to be approximately 3.6° when the film thickness exceeded 1100nm. This value is consistent with the value obtained by a geometric calculation for strain-free PbTiO3. This result suggests that thick epitaxial PbTiO3 films grown on (100)SrTiO3 substrates have a fully strain-relaxed structure.

  17. A synchrotron-radiation-based variable angle ellipsometer for the visible to vacuum ultraviolet spectral range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Neumann, M. D., E-mail: maciej.neumann@isas.de; Cobet, C.; Esser, N.

    2014-05-15

    A rotating analyzer spectroscopic polarimeter and ellipsometer with a wide-range θ-2θ goniometer installed at the Insertion Device Beamline of the Metrology Light Source in Berlin is presented. With a combination of transmission- and reflection-based polarizing elements and the inherent degree of polarization of the undulator radiation, this ellipsometer is able to cover photon energies from about 2 eV up to 40 eV. Additionally, a new compensator design based on a CaF{sub 2} Fresnel rhomb is presented. This compensator allows ellipsometric measurements with circular polarization in the vacuum ultraviolet spectral range and thus, for example, the characterization of depolarizing samples. The new instrumentmore » was initially used for the characterization of the polarization of the beamline. The technical capabilities of the ellipsometer are demonstrated by a cohesive wide-range measurement of the dielectric function of epitaxially grown ZnO.« less

  18. NSLS II Vacuum System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ferreira, M.; Doom, L.; Hseuh, H.

    2009-09-13

    National Synchrotron Light Source II, being constructed at Brookhaven, is a 3-GeV, 500 mA, 3rd generation synchrotron radiation facility with ultra low emittance electron beams. The storage ring vacuum system has a circumference of 792 m and consists of over 250 vacuum chambers with a simulated average operating pressure of less than 1 x 10{sup -9} mbar. A summary of the update design of the vacuum system including girder supports of the chambers, gauges, vacuum pumps, bellows, beam position monitors and simulation of the average pressure will be shown. A brief description of the techniques and procedures for cleaning andmore » mounting the chambers are given.« less

  19. Improved Boat For Liquid-Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Connolly, John C.

    1991-01-01

    Liquid-phase epitaxial (LPE) growth boat redesigned. Still fabricated from ultra-high-purity graphite, but modified to permit easy disassembly and cleaning, along with improved wiping action for more complete removal of melt to reduce carry-over of gallium. Larger substrates and more uniform composition obtained.

  20. High efficiency epitaxial GaAs/GaAs and GaAs/Ge solar cell technology using OM/CVD

    NASA Technical Reports Server (NTRS)

    Wang, K. L.; Yeh, Y. C. M.; Stirn, R. J.; Swerdling, S.

    1980-01-01

    A technology for fabricating high efficiency, thin film GaAs solar cells on substrates appropriate for space and/or terrestrial applications was developed. The approach adopted utilizes organometallic chemical vapor deposition (OM-CVD) to form a GaAs layer epitaxially on a suitably prepared Ge epi-interlayer deposited on a substrate, especially a light weight silicon substrate which can lead to a 300 watt per kilogram array technology for space. The proposed cell structure is described. The GaAs epilayer growth on single crystal GaAs and Ge wafer substrates were investigated.

  1. Effective Porosity Measurements by Wet- and Dry-type Vacuum Saturations using Process-Programmable Vacuum Saturation System

    NASA Astrophysics Data System (ADS)

    Lee, T. J.; Lee, K. S., , Dr; Lee, S. K.

    2017-12-01

    One of the most important factors in measuring effective porosity by vacuum saturation method is that the air in the pore space can be fully substituted by water during the vacuum saturation process. International Society of Rock Mechanics (ISRM) suggests vacuuming a rock sample submerged in the water, while American Society of Test and Materials (ASTM) vacuuming the sample and water separately and then pour the water to the sample. In this study, we call the former wet-type vacuum saturation (WVS) method and the latter dry-type vacuum saturation (DVS) method, and compare the effective porosity measured by the two different vacuum saturation processes. For that purpose, a vacuum saturation system has been developed, which can support both WVS and DVS by only changing the process by programming. Comparison of effective porosity has been made for a cement mortar and rock samples. As a result, DVS can substitute more void volume to water than WVS, which in turn insists that DVS can provide more exact value of effective porosity than WVS.

  2. Epitaxy: Programmable Atom Equivalents Versus Atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Mary X.; Seo, Soyoung E.; Gabrys, Paul A.

    The programmability of DNA makes it an attractive structure-directing ligand for the assembly of nanoparticle superlattices in a manner that mimics many aspects of atomic crystallization. However, the synthesis of multilayer single crystals of defined size remains a challenge. Though previous studies considered lattice mismatch as the major limiting factor for multilayer assembly, thin film growth depends on many interlinked variables. Here, a more comprehensive approach is taken to study fundamental elements, such as the growth temperature and the thermodynamics of interfacial energetics, to achieve epitaxial growth of nanoparticle thin films. Under optimized equilibrium conditions, single crystal, multilayer thin filmsmore » can be synthesized over 500 × 500 μm2 areas on lithographically patterned templates. Importantly, these superlattices follow the same patterns of crystal growth demonstrated in thin film atomic deposition, allowing for these processes to be understood in the context of well-studied atomic epitaxy, and potentially enabling a nanoscale model to study fundamental crystallization processes.« less

  3. Ferromagnetic order in epitaxially strained LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Pinta, C.; Schwarz, T.; Schweiss, P.; Nagel, P.; Schuppler, S.; Schneider, R.; Merz, M.; Roth, G.; v. Löhneysen, H.

    2007-04-01

    LaCoO3 films grown epitaxially on ⟨001⟩ oriented (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates by pulsed laser deposition exhibit ferromagnetic ordering below a critical temperature, Tc , of 85K . Polycrystalline films of LaCoO3 prepared in the same way did not show ferromagnetic order down to T≈5K , and their temperature dependent susceptibility was identical to that of bulk LaCoO3 . The ferromagnetism in epitaxial films is not simply a property of the surface region, rather it extends over the complete film thickness, as shown by the linear increase of the saturated magnetic moment with increasing film thickness. We discuss this surprising result in terms of epitaxial tensile strain via the properly chosen substrate inducing ferromagnetic order.

  4. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects,more » like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.« less

  5. The Preliminary Evaluation of Liquid Lubricants for Space Applications by Vacuum Tribometry

    NASA Technical Reports Server (NTRS)

    Jones, W. R., Jr.; Pepper, S. V.; Herrera-Fierro, P.; Feuchter, D.; Toddy, T. J.; Jayne, D. T.; Wheeler, D. R.; Abel, P. B.; Kingsbury, E.; Morales, W.

    1994-01-01

    Four different vacuum tribometers for the evaluation of liquid lubricants for space applications are described. These range from simple ball-on-flat sliders with maximum in-situ control and surface characterization to an instrument bearing apparatus having no in-situ characterization. Thus, the former provides an abundance of surface chemical information but is not particularly simulative of most triboelements. On the other hand, the instrument bearing apparatus is completely simulative, but only allows post-mortem surface chemical information. Two other devices, a four-ball apparatus and a ball-on-plate tribometer, provide varying degrees of surface chemical information and tribo-simulation. Examples of data from each device are presented.

  6. Template-assisted selective epitaxy of III–V nanoscale devices for co-planar heterogeneous integration with Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmid, H., E-mail: sih@zurich.ibm.com; Borg, M.; Moselund, K.

    2015-06-08

    III–V nanoscale devices were monolithically integrated on silicon-on-insulator (SOI) substrates by template-assisted selective epitaxy (TASE) using metal organic chemical vapor deposition. Single crystal III–V (InAs, InGaAs, GaAs) nanostructures, such as nanowires, nanostructures containing constrictions, and cross junctions, as well as 3D stacked nanowires were directly obtained by epitaxial filling of lithographically defined oxide templates. The benefit of TASE is exemplified by the straightforward fabrication of nanoscale Hall structures as well as multiple gate field effect transistors (MuG-FETs) grown co-planar to the SOI layer. Hall measurements on InAs nanowire cross junctions revealed an electron mobility of 5400 cm{sup 2}/V s, while the alongsidemore » fabricated InAs MuG-FETs with ten 55 nm wide, 23 nm thick, and 390 nm long channels exhibit an on current of 660 μA/μm and a peak transconductance of 1.0 mS/μm at V{sub DS} = 0.5 V. These results demonstrate TASE as a promising fabrication approach for heterogeneous material integration on Si.« less

  7. REQUIREMENTS AND GUIDELINES FOR NSLS EXPERIMENTAL BEAM LINE VACUUM SYSTEMS-REVISION B.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    FOERSTER,C.

    Typical beam lines are comprised of an assembly of vacuum valves and shutters referred to as a ''front end'', optical elements to monochromatize, focus and split the photon beam, and an experimental area where a target sample is placed into the photon beam and data from the interaction is detected and recorded. Windows are used to separate sections of beam lines that are not compatible with storage ring ultra high vacuum. Some experimental beam lines share a common vacuum with storage rings. Sections of beam lines are only allowed to vent up to atmospheric pressure using pure nitrogen gas aftermore » a vacuum barrier is established to protect ring vacuum. The front end may only be bled up when there is no current in the machine. This is especially true on the VUV storage ring where for most experiments, windows are not used. For the shorter wavelength, more energetic photons of the x-ray ring, beryllium windows are used at various beam line locations so that the monochromator, mirror box or sample chamber may be used in a helium atmosphere or rough vacuum. The window separates ring vacuum from the environment of the downstream beam line components. The stored beam lifetime in the storage rings and the maintenance of desirable reflection properties of optical surfaces depend upon hydrocarbon-free, ultra-high vacuum systems. Storage ring vacuum systems will operate at pressures of {approximately} 1 x 10{sup {minus}10} Torr without beam and {approximately} 1 x 10{sup {minus}9} Torr with beam. Systems are free of hydrocarbons in the sense that no pumps, valves, etc. containing organics are used. Components are all-metal, chemically cleaned and bakeable. To the extent that beam lines share a common vacuum with the storage ring, the same criteria will hold for beam line components. The design philosophy for NSLS beam lines is to use all-metal, hydrocarbon-free front end components and recommend that experimenters use this approach for common vacuum hardware downstream

  8. Epitaxial growth of VO2 by periodic annealing

    NASA Astrophysics Data System (ADS)

    Tashman, J. W.; Lee, J. H.; Paik, H.; Moyer, J. A.; Misra, R.; Mundy, J. A.; Spila, T.; Merz, T. A.; Schubert, J.; Muller, D. A.; Schiffer, P.; Schlom, D. G.

    2014-02-01

    We report the growth of ultrathin VO2 films on rutile TiO2 (001) substrates via reactive molecular-beam epitaxy. The films were formed by the cyclical deposition of amorphous vanadium and its subsequent oxidation and transformation to VO2 via solid-phase epitaxy. Significant metal-insulator transitions were observed in films as thin as 2.3 nm, where a resistance change ΔR/R of 25 was measured. Low angle annular dark field scanning transmission electron microscopy was used in conjunction with electron energy loss spectroscopy to study the film/substrate interface and revealed the vanadium to be tetravalent and the titanium interdiffusion to be limited to 1.6 nm.

  9. Rhombohedral Super Hetero Epitaxy of Cubic SiGe on Trigonal c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Choi, Sang H.; Duzik, Adam J.

    2017-01-01

    New rhombohedral super-hetero-epitaxy technology was developed at NASA. This epitaxy technology enables the growth of unprecedented cubic-trigonal hybrid single crystal structures with lattice match on sapphire (Al2O3) substrates, hence with little strain and very few defects at the interface.

  10. CHEMICAL SOLUTION DEPOSITION BASED OXIDE BUFFERS AND YBCO COATED CONDUCTORS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paranthaman, Mariappan Parans

    We have reviewed briefly the growth of buffer and high temperature superconducting oxide thin films using a chemical solution deposition (CSD) method. In the Rolling-Assisted Biaxially Textured Substrates (RABiTS) process, developed at Oak Ridge National Laboratory, utilizes the thermo mechanical processing to obtain the flexible, biaxially oriented copper, nickel or nickel-alloy substrates. Buffers and Rare Earth Barium Copper Oxide (REBCO) superconductors have been deposited epitaxially on the textured nickel alloy substrates. The starting substrate serves as a template for the REBCO layer, which has substantially fewer weak links. Buffer layers play a major role in fabricating the second generation REBCOmore » wire technology. The main purpose of the buffer layers is to provide a smooth, continuous and chemically inert surface for the growth of the REBCO film, while transferring the texture from the substrate to the superconductor layer. To achieve this, the buffer layers need to be epitaxial to the substrate, i.e. they have to nucleate and grow in the same bi-axial texture provided by the textured metal foil. The most commonly used RABiTS multi-layer architectures consist of a starting template of biaxially textured Ni-5 at.% W (Ni-W) substrate with a seed (first) layer of Yttrium Oxide (Y2O3), a barrier (second) layer of Yttria Stabilized Zirconia (YSZ), and a Cerium Oxide (CeO2) cap (third) layer. These three buffer layers are generally deposited using physical vapor deposition (PVD) techniques such as reactive sputtering. On top of the PVD template, REBCO film is then grown by a chemical solution deposition. This article reviews in detail about the list of oxide buffers and superconductor REBCO films grown epitaxially on single crystal and/or biaxially textured Ni-W substrates using a CSD method.« less

  11. Single photon ionization and chemical ionization combined ion source based on a vacuum ultraviolet lamp for orthogonal acceleration time-of-flight mass spectrometry.

    PubMed

    Hua, Lei; Wu, Qinghao; Hou, Keyong; Cui, Huapeng; Chen, Ping; Wang, Weiguo; Li, Jinghua; Li, Haiyang

    2011-07-01

    A novel combined ion source based on a vacuum ultraviolet (VUV) lamp with both single photon ionization (SPI) and chemical ionization (CI) capabilities has been developed for an orthogonal acceleration time-of-flight mass spectrometer (oaTOFMS). The SPI was accomplished using a commercial 10.6 eV krypton discharge lamp with a photon flux of about 10(11) photons s(-1), while the CI was achieved through ion-molecule reactions with O(2)(+) reactant ions generated by photoelectron ionization at medium vacuum pressure (MVP). To achieve high ionization efficiency, the ion source pressure was elevated to 0.3 mbar and the photoionization length was extended to 36 mm. As a result, limits of detection (LODs) down to 3, 4, and 6 ppbv were obtained for benzene, toluene, and p-xylene in MVP-SPI mode, and values of 8 and 10 ppbv were obtained for toluene and chloroform, respectively, in SPI-CI mode. As it is feasible to switch between MVP-SPI mode and SPI-CI mode rapidly, this system is capable of monitoring complex organic mixtures with a wide range of ionization energies (IEs). The analytical capacity of this system was demonstrated by measuring dehydrogenation products of long-chain paraffins to olefins through direct capillary sampling and drinking water disinfection byproducts from chlorine through a membrane interface.

  12. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    NASA Astrophysics Data System (ADS)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  13. Persistent electrochemical performance in epitaxial VO 2(B)

    DOE PAGES

    Lee, Shinbuhm; Sun, Xiao -Guang; Lubimtsev, Andrew A.; ...

    2017-03-07

    Discovering high-performance energy storage materials is indispensable for renewable energy, electric vehicle performance, and mobile computing. Owing to the open atomic framework and good room temperature conductivity, bronze-phase vanadium dioxide [VO 2(B)] has been regarded as a highly promising electrode material for Li ion batteries. However, previous attempts were unsuccessful to show the desired cycling performance and capacity without chemical modification. Here, we show with epitaxial VO 2(B) films that one can accomplish the theoretical limit for capacity with persistent charging–discharging cyclability owing to the high structural stability and unique open pathways for Li ion conduction. Atomic-scale characterization by scanningmore » transmission electron microscopy and density functional theory calculations also reveal that the unique open pathways in VO 2(B) provide the most stable sites for Li adsorption and diffusion. Furthermore, this work ultimately demonstrates that VO 2(B) is a highly promising energy storage material and has no intrinsic hindrance in achieving superior cyclability with a very high power and capacity in a Li-ion conductor.« less

  14. Graphene Substrate for van der Waals Epitaxy of Layer-Structured Bismuth Antimony Telluride Thermoelectric Film.

    PubMed

    Kim, Eun Sung; Hwang, Jae-Yeol; Lee, Kyu Hyoung; Ohta, Hiromichi; Lee, Young Hee; Kim, Sung Wng

    2017-02-01

    Graphene as a substrate for the van der Waals epitaxy of 2D layered materials is utilized for the epitaxial growth of a layer-structured thermoelectric film. Van der Waals epitaxial Bi 0.5 Sb 1.5 Te 3 film on graphene synthesized via a simple and scalable fabrication method exhibits good crystallinity and high thermoelectric transport properties comparable to single crystals. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Multipurpose Vacuum Induction Processing System

    NASA Astrophysics Data System (ADS)

    Govindaraju, M.; Kulkarni, Deepak; Balasubramanian, K.

    2012-11-01

    Multipurpose vacuum processing systems are cost effective; occupy less space, multiple functional under one roof and user friendly. A multipurpose vacuum induction system was designed, fabricated and installed in a record time of 6 months time at NFTDC Hyderabad. It was designed to function as a) vacuum induction melting/refining of oxygen free electronic copper/pure metals, b) vacuum induction melting furnace for ferrous materials c) vacuum induction melting for non ferrous materials d) large vacuum heat treatment chamber by resistance heating (by detachable coil and hot zone) e) bottom discharge vacuum induction melting system for non ferrous materials f) Induction heat treatment system and g) directional solidification /investment casting. It contains provision for future capacity addition. The attachments require to manufacture multiple shaped castings and continuous rod casting can be added whenever need arises. Present capacity is decided on the requirement for 10years of development path; presently it has 1.2 ton liquid copper handling capacity. It is equipped with provision for capacity addition up to 2 ton liquid copper handling capacity in future. Provision is made to carry out the capacity addition in easy steps quickly. For easy operational maintenance and troubleshooting, design was made in easily detachable sections. High vacuum system is also is detachable, independent and easily movable which is first of its kind in the country. Detailed design parameters, advantages and development history are presented in this paper.

  16. High active nitrogen flux growth of GaN by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McSkimming, Brian M., E-mail: mcskimming@engineering.ucsb.edu; Speck, James S.; Chaix, Catherine

    2015-09-15

    In the present study, the authors report on a modified Riber radio frequency (RF) nitrogen plasma source that provides active nitrogen fluxes more than 30 times higher than those commonly used for plasma assisted molecular beam epitaxy (PAMBE) growth of gallium nitride (GaN) and thus a significantly higher growth rate than has been previously reported. GaN films were grown using N{sub 2} gas flow rates between 5 and 25 sccm while varying the plasma source's RF forward power from 200 to 600 W. The highest growth rate, and therefore the highest active nitrogen flux, achieved was ∼7.6 μm/h. For optimized growth conditions,more » the surfaces displayed a clear step-terrace structure with an average RMS roughness (3 × 3 μm) on the order of 1 nm. Secondary ion mass spectroscopy impurity analysis demonstrates oxygen and hydrogen incorporation of 1 × 10{sup 16} and ∼5 × 10{sup 17}, respectively. In addition, the authors have achieved PAMBE growth of GaN at a substrate temperature more than 150 °C greater than our standard Ga rich GaN growth regime and ∼100 °C greater than any previously reported PAMBE growth of GaN. This growth temperature corresponds to GaN decomposition in vacuum of more than 20 nm/min; a regime previously unattainable with conventional nitrogen plasma sources. Arrhenius analysis of the decomposition rate shows that samples with a flux ratio below stoichiometry have an activation energy greater than decomposition of GaN in vacuum while samples grown at or above stoichiometry have decreased activation energy. The activation energy of decomposition for GaN in vacuum was previously determined to be ∼3.1 eV. For a Ga/N flux ratio of ∼1.5, this activation energy was found to be ∼2.8 eV, while for a Ga/N flux ratio of ∼0.5, it was found to be ∼7.9 eV.« less

  17. Effect of vacuum and of strong adsorbed water films on micropore formation in aluminum hydroxide xerogel powders.

    PubMed

    Beruto, Dario T; Botter, Rodolfo; Converti, Attilio

    2009-02-01

    Aluminum hydroxide gels were washed with water, ethanol, methanol and isopropanol to obtain new gels with different liquid phases that were dried either in air at 120 degrees C or under vacuum at 80 degrees C. Drying in air leads to alcoholic xerogels with BET surface areas larger than the aqueous ones. The effect of the alcoholic groups as substitutes of the hydroxyl ones has been discussed to account for the final size of xerogel crystallites. Drying under vacuum decreases the BET surface of the methanol xerogels, but no micropores are formed in all the alcoholic xerogel matrixes. On the contrary, the vacuum drying process changes significantly the microstructure of the aqueous xerogels. Their BET surface increases by 34 m(2)/g, and micropores are formed within their crystallite aggregates. It has been experimentally shown that these changes are due to a shear transformation that occurs in the boehmite xerogels obtained under vacuum. To discuss these data, the existence of chemical compounds such as AlOOHnH(2)O was postulated. On this ground, a neat analogy between vacuum drying process and vacuum interfacial decomposition reactions of inorganic salts can be drawn. This analogy explains how a state of stresses forms in aqueous xerogel matrix during vacuum drying process.

  18. Doping of epitaxial III-V semiconductors for optoelectronic and magnetoelectronic applications

    NASA Astrophysics Data System (ADS)

    Overberg, Mark Eddy

    Doped III-V semiconducting materials were studied in this dissertation for use in optoelectronic and magnetoelectronic applications. The specific areas of use are emitters for fiber optic communication and room temperature ferromagnetic layers for spintronic devices. The general requirement for both application areas is the ability to heavily dope (or alloy) the III-Vs with the intended active element, while still maintaining good crystallinity and semiconducting properties. Four dopant/semiconductor systems were investigated: erbium in gallium nitride (GaN:Er), europium in gallium nitride (GaN:Eu), manganese in gallium nitride (GaMnN), and manganese in gallium phosphide (GaMnP). These materials were fabricated using variants of the molecular beam epitaxy (MBE) technique, where beams of the constituent elements are produced in a high vacuum environment. The technique allows for a wide variety of parameters to be adjusted during the material preparation. The materials were deposited on sapphire, gallium nitride, and gallium phosphide surfaces; with particular emphasis on the correlation between growth conditions and the final chemical, structural, morphological, electronic, optical, and magnetic properties. The materials were characterized using a variety of techniques. Results with the GaN:Er material indicated that several percent of Er could be successfully incorporated into the material, and that the optical emission could be increased by incorporating C impurities into the film. These impurities were found to increase the overall emission and decrease the quenching of the emission with temperature. Optical emission results for GaN:Eu indicated that this material produced a visible red emission that was brighter under optical excitation than the AlGaAs used in commercial red emitting devices. The dilute magnetic semiconductors n-GaMnN and p-GaMnP were produced for the first time by the MBE technique. The SQUID magnetometry and magnetotransport results for n

  19. Fabrication of high-quality single-crystal Cu thin films using radio-frequency sputtering.

    PubMed

    Lee, Seunghun; Kim, Ji Young; Lee, Tae-Woo; Kim, Won-Kyung; Kim, Bum-Su; Park, Ji Hun; Bae, Jong-Seong; Cho, Yong Chan; Kim, Jungdae; Oh, Min-Wook; Hwang, Cheol Seong; Jeong, Se-Young

    2014-08-29

    Copper (Cu) thin films have been widely used as electrodes and interconnection wires in integrated electronic circuits, and more recently as substrates for the synthesis of graphene. However, the ultra-high vacuum processes required for high-quality Cu film fabrication, such as molecular beam epitaxy (MBE), restricts mass production with low cost. In this work, we demonstrated high-quality Cu thin films using a single-crystal Cu target and radio-frequency (RF) sputtering technique; the resulting film quality was comparable to that produced using MBE, even under unfavorable conditions for pure Cu film growth. The Cu thin film was epitaxially grown on an Al2O3 (sapphire) (0001) substrate, and had high crystalline orientation along the (111) direction. Despite the 10(-3) Pa vacuum conditions, the resulting thin film was oxygen free due to the high chemical stability of the sputtered specimen from a single-crystal target; moreover, the deposited film had >5× higher adhesion force than that produced using a polycrystalline target. This fabrication method enabled Cu films to be obtained using a simple, manufacturing-friendly process on a large-area substrate, making our findings relevant for industrial applications.

  20. Vacuum leak detector and method

    DOEpatents

    Edwards, Jr., David

    1983-01-01

    Apparatus and method for detecting leakage in a vacuum system involves a moisture trap chamber connected to the vacuum system and to a pressure gauge. Moisture in the trap chamber is captured by freezing or by a moisture adsorbent to reduce the residual water vapor pressure therein to a negligible amount. The pressure gauge is then read to determine whether the vacuum system is leaky. By directing a stream of carbon dioxide or helium at potentially leaky parts of the vacuum system, the apparatus can be used with supplemental means to locate leaks.

  1. Review of recent developments and applications in low-pressure (vacuum outlet) gas chromatography

    USDA-ARS?s Scientific Manuscript database

    The concept of low pressure (LP) vacuum outlet gas chromatography (GC) was introduced more than 50 years ago, but it was not until the 2000s that its theoretical applicability to fast analysis of GC-amenable chemicals was realized. In practice, LPGC is implemented by placing the outlet of a short, ...

  2. Molecular-Beam-Epitaxy Program

    NASA Technical Reports Server (NTRS)

    Sparks, Patricia D.

    1988-01-01

    Molecular Beam Epitaxy (MBE) computer program developed to aid in design of single- and double-junction cascade cells made of silicon. Cascade cell has efficiency 1 or 2 percent higher than single cell, with twice the open-circuit voltage. Input parameters include doping density, diffusion lengths, thicknesses of regions, solar spectrum, absorption coefficients of silicon (data included for 101 wavelengths), and surface recombination velocities. Results include maximum power, short-circuit current, and open-circuit voltage. Program written in FORTRAN IV.

  3. Robot design for a vacuum environment

    NASA Technical Reports Server (NTRS)

    Belinski, S.; Trento, W.; Imani-Shikhabadi, R.; Hackwood, S.

    1987-01-01

    The cleanliness requirements for many processing and manufacturing tasks are becoming ever stricter, resulting in a greater interest in the vacuum environment. Researchers discuss the importance of this special environment, and the development of robots which are physically and functionally suited to vacuum processing tasks. Work is in progress at the Center for robotic Systems in Microelectronics (CRSM) to provide a robot for the manufacture of a revolutionary new gyroscope in high vacuum. The need for vacuum in this and other processes is discussed as well as the requirements for a vacuum-compatible robot. Finally, researchers present details on work done at the CRSM to modify an existing clean-room compatible robot for use at high vacuum.

  4. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    NASA Astrophysics Data System (ADS)

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika; Hong, Hawoong; Marks, Laurence D.; Fong, Dillon D.

    2018-03-01

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. The high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO3 oxide perovskites containing elements from both the metalorganic source and a traditional effusion cell.

  5. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  6. Microstructural, Magnetic Anisotropy, and Magnetic Domain Structure Correlations in Epitaxial FePd Thin Films with Perpendicular Magnetic Anisotropy

    NASA Technical Reports Server (NTRS)

    Skuza, J. R.; Clavero, C.; Yang, K.; Wincheski, B.; Lukaszew, R. A.

    2009-01-01

    L1(sub 0)-ordered FePd epitaxial thin films were prepared using dc magnetron sputter deposition on MgO (001) substrates. The films were grown with varying thickness and degree of chemical order to investigate the interplay between the microstructure, magnetic anisotropy, and magnetic domain structure. The experimentally measured domain size/period and magnetic anisotropy in this high perpendicular anisotropy system were found to be correlated following the analytical energy model proposed by Kooy and Enz that considers a delicate balance between the domain wall energy and the demagnetizing stray field energy.

  7. Electronic Structure of Tl2Ba2CuO(6+Delta) Epitaxial Films Measured by X-Ray Photoemission

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Ren, Z. F.; Wang, J. H.

    1996-01-01

    The valence electronic structure and core levels of Tl2Ba2CuO(6 + delta) (Tl-2201) epitaxial films have been measured with X-ray photoelectron spectroscopy and are compared to those of Tl2Ba2CaCu2O(8 + delta) (Tl-2212). Changes in the Tl-2201 core-level binding energies with oxygen doping are consistent with a change in the chemical potential. Differences between the Tl-2201 and Tl-2212 measured densities of states are consistent with the calculated Cu 3d and Tl 6s partial densities of states.

  8. All Ultra-High Vacuum In-Situ Growth & Processing Approaches to Realization of Semiconductor Nanostructure Arrays

    DTIC Science & Technology

    1997-05-15

    Quantum Box/Dot, Strained Epitaxy , 3D islands, Patterned Substrates, Molecular Beam Epitaxy Focused Ion Beam , In-Situ Processing, Quantum Box Lasers...Grown on Planar and Patterned GaAs(100) Substrates by Molecular Beam Epitaxy ", J. Vac. Sei. Technol. B13, 642(1995) 5. A. Madhukar, P. Chen, Q. Xie...Formation and Vertical Self-Organization on GaAs(lOO) via Molecular Beam Epitaxy ", Paper presented at MRS Spring 󈨣 Meeting (Apr. 17-21, 1995, San

  9. Bakeout Chamber Within Vacuum Chamber

    NASA Technical Reports Server (NTRS)

    Taylor, Daniel M.; Soules, David M.; Barengoltz, Jack B.

    1995-01-01

    Vacuum-bakeout apparatus for decontaminating and measuring outgassing from pieces of equipment constructed by mounting bakeout chamber within conventional vacuum chamber. Upgrade cost effective: fabrication and installation of bakeout chamber simple, installation performed quickly and without major changes in older vacuum chamber, and provides quantitative data on outgassing from pieces of equipment placed in bakeout chamber.

  10. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  11. Modeling and optimization of red currants vacuum drying process by response surface methodology (RSM).

    PubMed

    Šumić, Zdravko; Vakula, Anita; Tepić, Aleksandra; Čakarević, Jelena; Vitas, Jasmina; Pavlić, Branimir

    2016-07-15

    Fresh red currants were dried by vacuum drying process under different drying conditions. Box-Behnken experimental design with response surface methodology was used for optimization of drying process in terms of physical (moisture content, water activity, total color change, firmness and rehydratation power) and chemical (total phenols, total flavonoids, monomeric anthocyanins and ascorbic acid content and antioxidant activity) properties of dried samples. Temperature (48-78 °C), pressure (30-330 mbar) and drying time (8-16 h) were investigated as independent variables. Experimental results were fitted to a second-order polynomial model where regression analysis and analysis of variance were used to determine model fitness and optimal drying conditions. The optimal conditions of simultaneously optimized responses were temperature of 70.2 °C, pressure of 39 mbar and drying time of 8 h. It could be concluded that vacuum drying provides samples with good physico-chemical properties, similar to lyophilized sample and better than conventionally dried sample. Copyright © 2016 Elsevier Ltd. All rights reserved.

  12. Exploring Ag(111) Substrate for Epitaxially Growing Monolayer Stanene: A First-Principles Study

    PubMed Central

    Gao, Junfeng; Zhang, Gang; Zhang, Yong-Wei

    2016-01-01

    Stanene, a two-dimensional topological insulator composed of Sn atoms in a hexagonal lattice, is a promising contender to Si in nanoelectronics. Currently it is still a significant challenge to achieve large-area, high-quality monolayer stanene. We explore the potential of Ag(111) surface as an ideal substrate for the epitaxial growth of monolayer stanene. Using first-principles calculations, we study the stability of the structure of stanene in different epitaxial relations with respect to Ag(111) surface, and also the diffusion behavior of Sn adatom on Ag(111) surface. Our study reveals that: (1) the hexagonal structure of stanene monolayer is well reserved on Ag(111) surface; (2) the height of epitaxial stanene monolayer is comparable to the step height of the substrate, enabling the growth to cross the surface step and achieve a large-area stanene; (3) the perfect lattice structure of free-standing stanene can be achieved once the epitaxial stanene monolayer is detached from Ag(111) surface; and finally (4) the diffusion barrier of Sn adatom on Ag(111) surface is found to be only 0.041 eV, allowing the epitaxial growth of stanene monolayer even at low temperatures. Our above revelations strongly suggest that Ag(111) surface is an ideal candidate for growing large-area, high-quality monolayer stanene. PMID:27373464

  13. Processing of extraterrestrial materials by high temperature vacuum vaporization

    NASA Technical Reports Server (NTRS)

    Grimley, R. T.; Lipschutz, M. E.

    1983-01-01

    It is noted that problems associated with the extraction and concentration of elements and commpounds important for the construction and operation of space habitats have received little attention. High temperature vacuum vaporization is considered a promising approach; this is a technique for which the space environment offers advantages in the form of low ambient pressures and temperatures and the possibility of sustained high temperatures via solar thermal energy. To establish and refine this new technology, experimental determinations must be made of the material release profiles as a function of temperature, of the release kinetics and chemical forms of material being transported, and of the various means of altering release kinetics. Trace element data determined by neutron activation analysis of meteorites heated to 1400 C in vacuum is summarized. The principal tool, high temperature spectrometry, is used to examine the vaporization thermodynamics and kinetics of major and minor elements from complex multicomponent extraterrestrial materials.

  14. Modelling of nectarine drying under near infrared - Vacuum conditions.

    PubMed

    Alaei, Behnam; Chayjan, Reza Amiri

    2015-01-01

    Drying of nectarine slices was performed to determine the thermal and physical properties in order to reduce product deterioration due to chemical reactions, facilitate storage and lower transportation costs. Because nectarine slices are sensitive to heat with long drying period, the selection of a suitable drying approach is a challenging task. Infrared-vacuum drying can be used as an appropriate method for susceptible materials with high moisture content such as nectarine slices. Modelling of nectarine slices drying was carried out in a thin layer near infraredvacuum conditions. Drying of the samples was implemented at the absolute pressures of 20, 40 and 60 kPa and drying temperatures of 50, 60 and 70°C. Drying behaviour of nectarine slices, as well as the effect of drying conditions on moisture loss trend, drying rate, effective diffusion coefficient, activation energy, shrinkage, colour and energy consumption of nectarine slices, dried in near infrared-vacuum dryer are discussed in this study. Six mathematical models were used to predict the moisture ratio of the samples in thin layer drying. The Midilli model had supremacy in prediction of nectarine slices drying behaviour. The maximum drying rates of the samples were between 0.014-0.047 gwater/gdry material·min. Effective moisture diffusivity of the samples was estimated in the ranges of 2.46·10-10 to 6.48·10-10 m2/s. Activation energy were computed between 31.28 and 35.23 kJ/mol. Minimum shrinkage (48.4%) and total colour difference (15.1) were achieved at temperature of 50°C and absolute pressure of 20 kPa. Energy consumption of the tests was estimated in the ranges of 0.129 to 0.247 kWh. Effective moisture diffusivity was increased with decrease of vacuum pressure and increase of drying temperature but effect of drying temperature on effective moisture diffusivity of nectarine slices was more than vacuum pressure. Activation energy was decreased with decrease in absolute pressure. Total colour

  15. Native oxides formation and surface wettability of epitaxial III-V materials: The case of InP and GaAs

    NASA Astrophysics Data System (ADS)

    Gocalinska, A.; Rubini, S.; Pelucchi, E.

    2016-10-01

    The time dependent transition from hydrophobic to hydrophilic states of the metalorganic vapour phase epitaxy (MOVPE) grown InP, GaAs and InAs is systematically documented by contact angle measurements. Natural oxides forming on the surfaces of air-exposed materials, as well as the results of some typical wet chemical process to remove those oxides, were studied by X-ray photoemission spectroscopy (XPS), revealing, surprisingly, a fundamental lack of strong correlations between the surface oxide composition and the reported systematic changes in hydrophobicity.

  16. Photon Transport in One-Dimensional Incommensurately Epitaxial CsPbX 3 Arrays

    DOE PAGES

    Wang, Yiping; Sun, Xin; Shivanna, Ravichandran; ...

    2016-11-16

    One-dimensional nanoscale epitaxial arrays serve as a great model in studying fundamental physics and for emerging applications. With an increasing focus laid on the Cs-based inorganic halide perovskite out of its outstanding material stability, we have applied vapor phase epitaxy to grow well aligned horizontal CsPbX 3 (X: Cl, Br, or I or their mixed) nanowire arrays in large scale on mica substrate. The as-grown nanowire features a triangular prism morphology with typical length ranging from a few tens of micrometers to a few millimeters. Structural analysis reveals that the wire arrays follow the symmetry of mica substrate through incommensuratemore » epitaxy, paving a way for a universally applicable method to grow a broad family of halide perovskite materials. We have studied the unique photon transport in the one-dimensional structure in the all-inorganic Cs-based perovskite wires via temperature dependent and spatially resolved photoluminescence. Furthermore, epitaxy of well oriented wire arrays in halide perovskite would be a promising direction for enabling the circuit-level applications of halide perovskite in high-performance electro-optics and optoelectronics.« less

  17. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  18. Synthesis of Metal Oxide Nanomaterials for Chemical Sensors by Molecular Beam Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandasiri, Manjula I.; Kuchibhatla, Satyanarayana V N T; Thevuthasan, Suntharampillai

    2013-12-01

    Since the industrial revolution, detection and monitoring of toxic matter, chemical wastes, and air pollutants has become an important environmental issue. Thus, it leads to the development of chemical sensors for various environmental applications. The recent disastrous oil spills over the near-surface of ocean due to the offshore drilling emphasize the use of chemical sensors for prevention and monitoring of the processes that might lead to these mishaps.1, 2 Chemical sensors operated on a simple principle that the sensing platform undergoes a detectable change when exposed to the target substance to be sensed. Among all the types of chemical sensors,more » solid state gas sensors have attracted a great deal of attention due to their advantages such as high sensitivity, greater selectivity, portability, high stability and low cost.3, 4 Especially, semiconducting metal oxides such as SnO2, TiO2, and WO3 have been widely used as the active sensing platforms in solid state gas sensors.5 For the enhanced properties of solid state gas sensors, finding new sensing materials or development of existing materials will be needed. Thus, nanostructured materials such as nanotubes,6-8 nanowires,9-11 nanorods,12-15 nanobelts,16, 17 and nano-scale thin films18-23 have been synthesized and studied for chemical sensing applications.« less

  19. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    NASA Astrophysics Data System (ADS)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  20. Wafer bonded epitaxial templates for silicon heterostructures

    DOEpatents

    Atwater, Jr., Harry A.; Zahler, James M [Pasadena, CA; Morral, Anna Fontcubera I [Paris, FR

    2008-03-11

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  1. Wafer bonded epitaxial templates for silicon heterostructures

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A., Jr. (Inventor); Zahler, James M. (Inventor); Morral, Anna Fontcubera I (Inventor)

    2008-01-01

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  2. Graphene nanoribbons epitaxy on boron nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BNmore » substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.« less

  3. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    DOE PAGES

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika; ...

    2018-03-08

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. In conclusion, the high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO 3 oxide perovskites containing elements from both themore » metalorganic source and a traditional effusion cell.« less

  4. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. In conclusion, the high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO 3 oxide perovskites containing elements from both themore » metalorganic source and a traditional effusion cell.« less

  5. Effect of interfacial structures on spin dependent tunneling in epitaxial L1 0-FePt/MgO/FePt perpendicular magnetic tunnel junctions

    DOE PAGES

    Yang, G.; Li, D. L.; Wang, S. G.; ...

    2015-02-24

    In this study, epitaxial FePt(001)/MgO/FePt magnetic tunnel junctions with L1 0-FePt electrodes showing perpendicular magnetic anisotropy were fabricated by molecular beam epitaxial growth. Tunnel magnetoresistance ratios of 21% and 53% were obtained at 300 K and 10 K, respectively. Our previous work, based on transmission electron microscopy, confirmed a semi-coherent interfacial structure with atomic steps (Kohn et al., APL 102, 062403 (2013)). Here, we show by x-ray photoemission spectroscopy and first-principles calculation that the bottom FePt/MgO interface is either Pt-terminated for regular growth or when an Fe layer is inserted at the interface, it is chemically bonded to O. Finally,more » both these structures have a dominant role in spin dependent tunneling across the MgO barrier resulting in a decrease of the tunneling magnetoresistance ratio compared with previous predictions.« less

  6. High-mobility BaSnO 3 grown by oxide molecular beam epitaxy

    DOE PAGES

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; ...

    2016-01-28

    High-mobility perovskite BaSnO 3 films are of significant interest as newwide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO 3 films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO x. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO 3. We demonstrate room temperature electron mobilities of 150 cm 2 V -1 s -1 in films grownmore » on PrScO 3. Lastly, the results open up a wide range of opportunities for future electronic devices.« less

  7. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  8. Improving Vacuum Cleaners

    NASA Technical Reports Server (NTRS)

    1997-01-01

    Under a Space Act Agreement between the Kirby company and Lewis Research Center, NASA technology was applied to a commercial vacuum cleaner product line. Kirby engineers were interested in advanced operational concepts, such as particle flow behavior and vibration, critical factors to improve vacuum cleaner performance. An evaluation of the company 1994 home care system, the Kirby G4, led to the refinement of the new G5 and future models. Under the cooperative agreement, Kirby had access to Lewis' holography equipment, which added insight into how long a vacuum cleaner fan would perform, as well as advanced computer software that can simulate the flow of air through fans. The collaboration resulted in several successes including fan blade redesign and continuing dialogue on how to improve air-flow traits in various nozzle designs.

  9. Wafer-scale epitaxial graphene on SiC for sensing applications

    NASA Astrophysics Data System (ADS)

    Karlsson, Mikael; Wang, Qin; Zhao, Yichen; Zhao, Wei; Toprak, Muhammet S.; Iakimov, Tihomir; Ali, Amer; Yakimova, Rositza; Syväjärvi, Mikael; Ivanov, Ivan G.

    2015-12-01

    The epitaxial graphene-on-silicon carbide (SiC-G) has advantages of high quality and large area coverage owing to a natural interface between graphene and SiC substrate with dimension up to 100 mm. It enables cost effective and reliable solutions for bridging the graphene-based sensors/devices from lab to industrial applications and commercialization. In this work, the structural, optical and electrical properties of wafer-scale graphene grown on 2'' 4H semi-insulating (SI) SiC utilizing sublimation process were systemically investigated with focus on evaluation of the graphene's uniformity across the wafer. As proof of concept, two types of glucose sensors based on SiC-G/Nafion/Glucose-oxidase (GOx) and SiC-G/Nafion/Chitosan/GOx were fabricated and their electrochemical properties were characterized by cyclic voltammetry (CV) measurements. In addition, a few similar glucose sensors based on graphene by chemical synthesis using modified Hummer's method were also fabricated for comparison.

  10. Switching Circuit for Shop Vacuum System

    NASA Technical Reports Server (NTRS)

    Burley, R. K.

    1987-01-01

    No internal connections to machine tools required. Switching circuit controls vacuum system draws debris from grinders and sanders in machine shop. Circuit automatically turns on vacuum system whenever at least one sander or grinder operating. Debris safely removed, even when operator neglects to turn on vacuum system manually. Pickup coils sense alternating magnetic fields just outside operating machines. Signal from any coil or combination of coils causes vacuum system to be turned on.

  11. Vacuum Brazing of Accelerator Components

    NASA Astrophysics Data System (ADS)

    Singh, Rajvir; Pant, K. K.; Lal, Shankar; Yadav, D. P.; Garg, S. R.; Raghuvanshi, V. K.; Mundra, G.

    2012-11-01

    Commonly used materials for accelerator components are those which are vacuum compatible and thermally conductive. Stainless steel, aluminum and copper are common among them. Stainless steel is a poor heat conductor and not very common in use where good thermal conductivity is required. Aluminum and copper and their alloys meet the above requirements and are frequently used for the above purpose. The accelerator components made of aluminum and its alloys using welding process have become a common practice now a days. It is mandatory to use copper and its other grades in RF devices required for accelerators. Beam line and Front End components of the accelerators are fabricated from stainless steel and OFHC copper. Fabrication of components made of copper using welding process is very difficult and in most of the cases it is impossible. Fabrication and joining in such cases is possible using brazing process especially under vacuum and inert gas atmosphere. Several accelerator components have been vacuum brazed for Indus projects at Raja Ramanna Centre for Advanced Technology (RRCAT), Indore using vacuum brazing facility available at RRCAT, Indore. This paper presents details regarding development of the above mentioned high value and strategic components/assemblies. It will include basics required for vacuum brazing, details of vacuum brazing facility, joint design, fixturing of the jobs, selection of filler alloys, optimization of brazing parameters so as to obtain high quality brazed joints, brief description of vacuum brazed accelerator components etc.

  12. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  13. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    NASA Astrophysics Data System (ADS)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  14. Electronic Structure of HgBa2CaCu2O(6+delta) Epitaxial films measured by x-ray Photoemission

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Rupp, M.; Gupta, A.; Tsuei, C. C.

    1995-01-01

    The electronic structure and chemical states of HgBa2CaCu20(sub 6 + delta), epitaxial films have been studied with x-ray photelectron spectroscopy. Signals from the superconducting phase dominate all the core-level spectra, and a clear Fermi edge is observed in the valence-band region. The Ba, Ca, Cu, and O core levels are similar to those of Tl2Ba2CaCu208(+)O(sub 6 + delta), but distinct differences are observed in the valence bands which are consistent with differences in the calculated densities of states.

  15. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  16. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials.

    PubMed

    Jang, Seon-Min; Yang, Su Chul

    2018-06-08

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO 2 epitaxial growth and BaTiO 3 conversion. Through the TiO 2 epitaxial growth on FTO substrate, (001) oriented TiO 2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO 2 NBA was conducted to enlarge the surface area for effective Ba 2+ ion diffusion during the perovskite conversion process from TiO 2 to BaTiO 3 . The final structure of perovskite BaTiO 3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO 3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  17. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials

    NASA Astrophysics Data System (ADS)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-01

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO2 epitaxial growth and BaTiO3 conversion. Through the TiO2 epitaxial growth on FTO substrate, (001) oriented TiO2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO2 NBA was conducted to enlarge the surface area for effective Ba2+ ion diffusion during the perovskite conversion process from TiO2 to BaTiO3. The final structure of perovskite BaTiO3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  18. Investigation of Dielectric Breakdown Characteristics for Double-break Vacuum Interrupter and Dielectric Breakdown Probability Distribution in Vacuum Interrupter

    NASA Astrophysics Data System (ADS)

    Shioiri, Tetsu; Asari, Naoki; Sato, Junichi; Sasage, Kosuke; Yokokura, Kunio; Homma, Mitsutaka; Suzuki, Katsumi

    To investigate the reliability of equipment of vacuum insulation, a study was carried out to clarify breakdown probability distributions in vacuum gap. Further, a double-break vacuum circuit breaker was investigated for breakdown probability distribution. The test results show that the breakdown probability distribution of the vacuum gap can be represented by a Weibull distribution using a location parameter, which shows the voltage that permits a zero breakdown probability. The location parameter obtained from Weibull plot depends on electrode area. The shape parameter obtained from Weibull plot of vacuum gap was 10∼14, and is constant irrespective non-uniform field factor. The breakdown probability distribution after no-load switching can be represented by Weibull distribution using a location parameter. The shape parameter after no-load switching was 6∼8.5, and is constant, irrespective of gap length. This indicates that the scatter of breakdown voltage was increased by no-load switching. If the vacuum circuit breaker uses a double break, breakdown probability at low voltage becomes lower than single-break probability. Although potential distribution is a concern in the double-break vacuum cuicuit breaker, its insulation reliability is better than that of the single-break vacuum interrupter even if the bias of the vacuum interrupter's sharing voltage is taken into account.

  19. Vacuum-insulated catalytic converter

    DOEpatents

    Benson, David K.

    2001-01-01

    A catalytic converter has an inner canister that contains catalyst-coated substrates and an outer canister that encloses an annular, variable vacuum insulation chamber surrounding the inner canister. An annular tank containing phase-change material for heat storage and release is positioned in the variable vacuum insulation chamber a distance spaced part from the inner canister. A reversible hydrogen getter in the variable vacuum insulation chamber, preferably on a surface of the heat storage tank, releases hydrogen into the variable vacuum insulation chamber to conduct heat when the phase-change material is hot and absorbs the hydrogen to limit heat transfer to radiation when the phase-change material is cool. A porous zeolite trap in the inner canister absorbs and retains hydrocarbons from the exhaust gases when the catalyst-coated substrates and zeolite trap are cold and releases the hydrocarbons for reaction on the catalyst-coated substrate when the zeolite trap and catalyst-coated substrate get hot.

  20. Epitaxial thin films of Dirac semimetal antiperovskite Cu3PdN

    NASA Astrophysics Data System (ADS)

    Quintela, C. X.; Campbell, N.; Shao, D. F.; Irwin, J.; Harris, D. T.; Xie, L.; Anderson, T. J.; Reiser, N.; Pan, X. Q.; Tsymbal, E. Y.; Rzchowski, M. S.; Eom, C. B.

    2017-09-01

    The growth and study of materials showing novel topological states of matter is one of the frontiers in condensed matter physics. Among this class of materials, the nitride antiperovskite Cu3PdN has been proposed as a new three-dimensional Dirac semimetal. However, the experimental realization of Cu3PdN and the consequent study of its electronic properties have been hindered due to the difficulty of synthesizing this material. In this study, we report fabrication and both structural and transport characterization of epitaxial Cu3PdN thin films grown on (001)-oriented SrTiO3 substrates by reactive magnetron sputtering and post-annealed in NH3 atmosphere. The structural properties of the films, investigated by x-ray diffraction and scanning transmission electron microscopy, establish single phase Cu3PdN exhibiting cube-on-cube epitaxy (001)[100]Cu3PdN||(001)[100]SrTiO3. Electrical transport measurements of as-grown samples show metallic conduction with a small temperature coefficient of the resistivity of 1.5 × 10-4 K-1 and a positive Hall coefficient. Post-annealing in NH3 results in the reduction of the electrical resistivity accompanied by the Hall coefficient sign reversal. Using a combination of chemical composition analyses and ab initio band structure calculations, we discuss the interplay between nitrogen stoichiometry and magneto-transport results in the framework of the electronic band structure of Cu3PdN. Our successful growth of thin films of antiperovskite Cu3PdN opens the path to further investigate its physical properties and their dependence on dimensionality, strain engineering, and doping.

  1. Vacuum-Induction, Vacuum-Arc, and Air-Induction Melting of a Complex Heat-Resistant Alloy

    NASA Technical Reports Server (NTRS)

    Decker, R. F.; Rowe, John P.; Freeman, J. W.

    1959-01-01

    The relative hot-workability and creep-rupture properties at 1600 F of a complex 55Ni-20Cr-15Co-4Mo-3Ti-3Al alloy were evaluated for vacuum-induction, vacuum-arc, and air-induction melting. A limited study of the role of oxygen and nitrogen and the structural effects in the alloy associated with the melting process was carried out. The results showed that the level of boron and/or zirconium was far more influential on properties than the melting method. Vacuum melting did reduce corner cracking and improve surface during hot-rolling. It also resulted in more uniform properties within heats. The creep-rupture properties were slightly superior in vacuum heats at low boron plus zirconium or in heats with zirconium. There was little advantage at high boron levels and air heats were superior at high levels of boron plus zirconium. Vacuum heats also had fewer oxide and carbonitride inclusions although this was a function of the opportunity for separation of the inclusions from high oxygen plus nitrogen heats. The removal of phosphorous by vacuum melting was not found to be related to properties. Oxygen plus nitrogen appeared to increase ductility in creep-rupture tests suggesting that vacuum melting removes unidentified elements detrimental to ductility. Oxides and carbonitrides in themselves did not initiate microcracks. Carbonitrides in the grain boundaries of air heats did initiate microcracks. The role of microcracking from this source and as a function of oxygen and nitrogen content was not clear. Oxygen and nitrogen did intensify corner cracking during hot-rolling but were not responsible for poor surface which resulted from rolling heats melted in air.

  2. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  3. The application of vacuum redistillation of patchouli oil to improve patchouli alcohol compound

    NASA Astrophysics Data System (ADS)

    Asnawi, T. M.; Alam, P. N.; Husin, H.; Zaki, M.

    2018-04-01

    Patchouli oil produced by traditional distillation of patchouli leaves and stems by farmers in Aceh still has low patchouli alcohol compound. In order to increase patchouli alcohol concentration, vacuum redistillation process using packed column was introduced. This research was conducted to fractionate terpene (alpha-copinene) from oxygenated hydrocarbon (patchouli alcohol) compound. The operation condition was conducted at two variables that was dependent variable and independent variable. The dependent variable was the 30 cm height distillation packed column, by using raschig ring with 8 mm x 8 mm dimension. And the independent variable was operating temperature 130 °C and 140 °C., vacuum pressure 143,61 mbar, 121,60 mbar and 88,59 mbar and operation time 2 hours, 3 hours and 5 hours. Total of treatments applied in this works were 3 x 3 x 3 or equal to 27 treatments. Patchouli oil used in this research was obtained from Desa Teladan-Lembah Seulawah, Aceh Province. The initial patchouli alcohol compound which analyzed with GC-MS contained 16,02% before treatment applied. After vacuum redistillation process treatment applied patchouli oil concentration increase up to 34,67%. Physico-chemical test of patchouli oil after vacuum redistillation is in accordance with SNI 06-23852006 standard.

  4. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  5. Wireless Integrated Microelectronic Vacuum Sensor System

    NASA Technical Reports Server (NTRS)

    Krug, Eric; Philpot, Brian; Trott, Aaron; Lawrence, Shaun

    2013-01-01

    NASA Stennis Space Center's (SSC's) large rocket engine test facility requires the use of liquid propellants, including the use of cryogenic fluids like liquid hydrogen as fuel, and liquid oxygen as an oxidizer (gases which have been liquefied at very low temperatures). These fluids require special handling, storage, and transfer technology. The biggest problem associated with transferring cryogenic liquids is product loss due to heat transfer. Vacuum jacketed piping is specifically designed to maintain high thermal efficiency so that cryogenic liquids can be transferred with minimal heat transfer. A vacuum jacketed pipe is essentially two pipes in one. There is an inner carrier pipe, in which the cryogenic liquid is actually transferred, and an outer jacket pipe that supports and seals the vacuum insulation, forming the "vacuum jacket." The integrity of the vacuum jacketed transmission lines that transfer the cryogenic fluid from delivery barges to the test stand must be maintained prior to and during engine testing. To monitor the vacuum in these vacuum jacketed transmission lines, vacuum gauge readings are used. At SSC, vacuum gauge measurements are done on a manual rotation basis with two technicians, each using a handheld instrument. Manual collection of vacuum data is labor intensive and uses valuable personnel time. Additionally, there are times when personnel cannot collect the data in a timely fashion (i.e., when a leak is detected, measurements must be taken more often). Additionally, distribution of this data to all interested parties can be cumbersome. To simplify the vacuum-gauge data collection process, automate the data collection, and decrease the labor costs associated with acquiring these measurements, an automated system that monitors the existing gauges was developed by Invocon, Inc. For this project, Invocon developed a Wireless Integrated Microelectronic Vacuum Sensor System (WIMVSS) that provides the ability to gather vacuum

  6. Minority carrier diffusion and defects in InGaAsN grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kurtz, Steven R.; Klem, J. F.; Allerman, A. A.; Sieg, R. M.; Seager, C. H.; Jones, E. D.

    2002-02-01

    To gain insight into the nitrogen-related defects of InGaAsN, nitrogen vibrational mode spectra, Hall mobilities, and minority carrier diffusion lengths are examined for InGaAsN (1.1 eV band gap) grown by molecular beam epitaxy (MBE). Annealing promotes the formation of In-N bonding, and lateral carrier transport is limited by large scale (≫mean free path) material inhomogeneities. Comparing solar cell quantum efficiencies with our earlier results for devices grown by metalorganic chemical vapor deposition (MOCVD), we find significant electron diffusion in the MBE material (reversed from the hole diffusion in MOCVD material), and minority carrier diffusion in InGaAsN cannot be explained by a "universal," nitrogen-related defect.

  7. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  8. Investigation of TiN thin film oxidation depending on the substrate temperature at vacuum break

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Piallat, Fabien, E-mail: fabien.piallat@gmail.com; CEA, LETI, Campus Minatec, F-38054 Grenoble; LTM-CNRS, 17 rue des Martyrs, 38054 Grenoble

    2016-09-15

    Due to the reduction of the thickness of the layers used in the advanced technology nodes, there is a growing importance of the surface phenomena in the definition of the general properties of the materials. One of the least controlled and understood phenomenon is the oxidation of metals after deposition, at the vacuum break. In this study, the influence of the sample temperature at vacuum break on the oxidation level of TiN deposited by metalorganic chemical vapor deposition is investigated. TiN resistivity appears to be lower for samples which underwent vacuum break at high temperature. Using X-ray photoelectron spectrometry analysis,more » this change is correlated to the higher oxidation of the TiN layer. Moreover, angle resolved XPS analysis reveals that higher is the temperature at the vacuum break, higher is the surface oxidation of the sample. This surface oxidation is in turn limiting the diffusion of oxygen in the volume of the layer. Additionally, evolution of TiN layers resistivity was monitored in time and it shows that resistivity increases until a plateau is reached after about 10 days, with the lowest temperature at vacuum break resulting in the highest increase, i.e., the resistivity of the sample released to atmosphere at high temperature increased by a factor 1.7 whereas the resistivity of the sample cooled down under vacuum temperature increased by a factor 2.7.« less

  9. Effect of interfacial structures on spin dependent tunneling in epitaxial L1{sub 0}-FePt/MgO/FePt perpendicular magnetic tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, G.; Li, D. L.; Wang, S. G., E-mail: Sgwang@iphy.ac.cn

    2015-02-28

    Epitaxial FePt(001)/MgO/FePt magnetic tunnel junctions with L1{sub 0}-FePt electrodes showing perpendicular magnetic anisotropy were fabricated by molecular beam epitaxial growth. Tunnel magnetoresistance ratios of 21% and 53% were obtained at 300 K and 10 K, respectively. Our previous work, based on transmission electron microscopy, confirmed a semi-coherent interfacial structure with atomic steps (Kohn et al., APL 102, 062403 (2013)). Here, we show by x-ray photoemission spectroscopy and first-principles calculation that the bottom FePt/MgO interface is either Pt-terminated for regular growth or when an Fe layer is inserted at the interface, it is chemically bonded to O. Both these structures have a dominantmore » role in spin dependent tunneling across the MgO barrier resulting in a decrease of the tunneling magnetoresistance ratio compared with previous predictions.« less

  10. Running vacuum cosmological models: linear scalar perturbations

    NASA Astrophysics Data System (ADS)

    Perico, E. L. D.; Tamayo, D. A.

    2017-08-01

    In cosmology, phenomenologically motivated expressions for running vacuum are commonly parameterized as linear functions typically denoted by Λ(H2) or Λ(R). Such models assume an equation of state for the vacuum given by bar PΛ = - bar rhoΛ, relating its background pressure bar PΛ with its mean energy density bar rhoΛ ≡ Λ/8πG. This equation of state suggests that the vacuum dynamics is due to an interaction with the matter content of the universe. Most of the approaches studying the observational impact of these models only consider the interaction between the vacuum and the transient dominant matter component of the universe. We extend such models by assuming that the running vacuum is the sum of independent contributions, namely bar rhoΛ = Σibar rhoΛi. Each Λ i vacuum component is associated and interacting with one of the i matter components in both the background and perturbation levels. We derive the evolution equations for the linear scalar vacuum and matter perturbations in those two scenarios, and identify the running vacuum imprints on the cosmic microwave background anisotropies as well as on the matter power spectrum. In the Λ(H2) scenario the vacuum is coupled with every matter component, whereas the Λ(R) description only leads to a coupling between vacuum and non-relativistic matter, producing different effects on the matter power spectrum.

  11. Ferroelectric properties of YMnO3 epitaxial films for ferroelectric-gate field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ito, Daisuke; Fujimura, Norifumi; Yoshimura, Takeshi; Ito, Taichiro

    2003-05-01

    Ferroelectric properties of YMnO3 epitaxial films were studied. The ferroelectric properties of epitaxially grown (0001) YMnO3 films on (111)Pt/(0001)sapphire (epi-YMO/Pt) with an excellent crystallinity were compared to (0001)-oriented poly crystalline films on (111)Pt/ZrO2/SiO2/Si. The epi-YMO/Pt had saturated polarization-electric-field (P-E) hysteresis loops, with a remanent polarization (Pr) of 1.7 μC/cm2 and a coercive field (Ec) of 80 kV/cm. The fatigue property showed no degradation up to 1010 measured cycles. These results suggested that the YMnO3 epitaxial films were suitable ferroelectric material for the ferroelectric-gate field-effect transistors. Consequently, epitaxially grown (0001)YMnO3 films on epitaxial Y2O3/Si (epi-YMO/Si) were fabricated. The epi-YMO/Si capacitor had almost equivalent crystallinity compared to epi-YMO/Pt. It was recognized that the epi-YMO/Si capacitor exhibited the ferroelectric type C-V hysteresis loop with the width of the memory window of 4.8 V, which was almost identical to the value of twice coercive voltage of the P-E hysteresis loops of the epi-YMO/Pt. A retention time exceeding 104 s was obtained in the epi-YMO/Si capacitor.

  12. Quantum dots grown in the InSb/GaSb system by liquid-phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parkhomenko, Ya. A.; Dement’ev, P. A.; Moiseev, K. D., E-mail: mkd@iropt2.ioffe.rssi.ru

    2016-07-15

    The first results of the liquid-phase epitaxial growth of quantum dots in the InSb/GaSb system and atomic-force microscopy data on the structural characteristics of the quantum dots are reported. It is shown that the surface density, shape, and size of nanoislands depend on the deposition temperature and the chemical properties of the matrix surface. Arrays of InSb quantum dots on GaSb (001) substrates are produced in the temperature range T = 450–465°C. The average dimensions of the quantum dots correspond to a height of h = 3 nm and a base dimension of D = 30 nm; the surface densitymore » is 3 × 10{sup 9} cm{sup –2}.« less

  13. 14 CFR 29.1433 - Vacuum systems.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Equipment Miscellaneous Equipment § 29.1433 Vacuum systems. (a... the discharge lines from the vacuum air pump when the delivery temperature of the air becomes unsafe. (b) Each vacuum air system line and fitting on the discharge side of the pump that might contain...

  14. Vacuum Technology Considerations For Mass Metrology

    PubMed Central

    Abbott, Patrick J.; Jabour, Zeina J.

    2011-01-01

    Vacuum weighing of mass artifacts eliminates the necessity of air buoyancy correction and its contribution to the measurement uncertainty. Vacuum weighing is also an important process in the experiments currently underway for the redefinition of the SI mass unit, the kilogram. Creating the optimum vacuum environment for mass metrology requires careful design and selection of construction materials, plumbing components, pumping, and pressure gauging technologies. We review the vacuum technology1 required for mass metrology and suggest procedures and hardware for successful and reproducible operation. PMID:26989593

  15. Gas propagation in a liquid helium cooled vacuum tube following a sudden vacuum loss

    NASA Astrophysics Data System (ADS)

    Dhuley, Ram C.

    This dissertation describes the propagation of near atmospheric nitrogen gas that rushes into a liquid helium cooled vacuum tube after the tube suddenly loses vacuum. The loss-of-vacuum scenario resembles accidental venting of atmospheric air to the beam-line of a superconducting radio frequency particle accelerator and is investigated to understand how in the presence of condensation, the in-flowing air will propagate in such geometry. In a series of controlled experiments, room temperature nitrogen gas (a substitute for air) at a variety of mass flow rates was vented to a high vacuum tube immersed in a bath of liquid helium. Pressure probes and thermometers installed on the tube along its length measured respectively the tube pressure and tube wall temperature rise due to gas flooding and condensation. At high mass in-flow rates a gas front propagated down the vacuum tube but with a continuously decreasing speed. Regression analysis of the measured front arrival times indicates that the speed decreases nearly exponentially with the travel length. At low enough mass in-flow rates, no front propagated in the vacuum tube. Instead, the in-flowing gas steadily condensed over a short section of the tube near its entrance and the front appeared to `freeze-out'. An analytical expression is derived for gas front propagation speed in a vacuum tube in the presence of condensation. The analytical model qualitatively explains the front deceleration and flow freeze-out. The model is then simplified and supplemented with condensation heat/mass transfer data to again find the front to decelerate exponentially while going away from the tube entrance. Within the experimental and procedural uncertainty, the exponential decay length-scales obtained from the front arrival time regression and from the simplified model agree.

  16. Developing a vacuum cooking equipment prototype to produce strawberry jam and optimization of vacuum cooking conditions.

    PubMed

    Okut, Dilara; Devseren, Esra; Koç, Mehmet; Ocak, Özgül Özdestan; Karataş, Haluk; Kaymak-Ertekin, Figen

    2018-01-01

    Purpose of this study was to develop prototype cooking equipment that can work at reduced pressure and to evaluate its performance for production of strawberry jam. The effect of vacuum cooking conditions on color soluble solid content, reducing sugars total sugars HMF and sensory properties were investigated. Also, the optimum vacuum cooking conditions for strawberry jam were optimized for Composite Rotatable Design. The optimum cooking temperature and time were determined targeting maximum soluble solid content and sensory attributes (consistency) and minimum Hue value and HMF content. The optimum vacuum cooking conditions determined were 74.4 °C temperature and 19.8 time. The soluble solid content strawberry jam made by vacuum process were similar to those prepared by traditional method. HMF contents of jams produced with vacuum cooking method were well within limit of standards.

  17. Performance characteristics of nanocrystalline diamond vacuum field emission transistor array

    NASA Astrophysics Data System (ADS)

    Hsu, S. H.; Kang, W. P.; Davidson, J. L.; Huang, J. H.; Kerns, D. V.

    2012-06-01

    Nitrogen-incorporated nanocrystalline diamond (ND) vacuum field emission transistor (VFET) with self-aligned gate is fabricated by mold transfer microfabrication technique in conjunction with chemical vapor deposition (CVD) of nanocrystalline diamond on emitter cavity patterned on silicon-on-insulator (SOI) substrate. The fabricated ND-VFET demonstrates gate-controlled emission current with good signal amplification characteristics. The dc characteristics of the ND-VFET show well-defined cutoff, linear, and saturation regions with low gate turn-on voltage, high anode current, negligible gate intercepted current, and large dc voltage gain. The ac performance of the ND-VFET is measured, and the experimental data are analyzed using a modified small signal circuit model. The experimental results obtained for the ac voltage gain are found to agree with the theoretical model. A higher ac voltage gain is attainable by using a better test setup to eliminate the associated parasitic capacitances. The paper reveals the amplifier characteristics of the ND-VFET for potential applications in vacuum microelectronics.

  18. Performance characteristics of nanocrystalline diamond vacuum field emission transistor array

    NASA Astrophysics Data System (ADS)

    Hsu, S. H.; Kang, W. P.; Davidson, J. L.; Huang, J. H.; Kerns, D. V.

    2012-05-01

    Nitrogen-incorporated nanocrystalline diamond (ND) vacuum field emission transistor (VFET) with self-aligned gate is fabricated by mold transfer microfabrication technique in conjunction with chemical vapor deposition (CVD) of nanocrystalline diamond on emitter cavity patterned on silicon-on-insulator (SOI) substrate. The fabricated ND-VFET demonstrates gate-controlled emission current with good signal amplification characteristics. The dc characteristics of the ND-VFET show well-defined cutoff, linear, and saturation regions with low gate turn-on voltage, high anode current, negligible gate intercepted current, and large dc voltage gain. The ac performance of the ND-VFET is measured, and the experimental data are analyzed using a modified small signal circuit model. The experimental results obtained for the ac voltage gain are found to agree with the theoretical model. A higher ac voltage gain is attainable by using a better test setup to eliminate the associated parasitic capacitances. The paper reveals the amplifier characteristics of the ND-VFET for potential applications in vacuum microelectronics.

  19. Domain structure of epitaxial SrRu O3 thin films

    NASA Astrophysics Data System (ADS)

    Herranz, G.; Sánchez, F.; Fontcuberta, J.; García-Cuenca, M. V.; Ferrater, C.; Varela, M.; Angelova, T.; Cros, A.; Cantarero, A.

    2005-05-01

    Growth of multidomains in epitaxial thin-film oxides is known to have a detrimental effect on some functional properties, and, thus, efforts are done to suppress them. It is commonly accepted that optimal properties of the metallic and ferromagnetic SrRuO3 (SRO) epitaxies can only be obtained if vicinal SrTiO3 (001) (STO) substrates are used. It is believed that this results from the suppression of multidomain structure in the SRO film. Here we revise this important issue. Nanometric films of SRO have been grown on STO(001) vicinal substrates with miscut (θV) angles in the ˜0.04°-4° range. Extensive structural analysis by x-ray-reciprocal space maps and μ -Raman spectroscopy indicates that single-domain, orthorhombic, SRO films are already obtained on the almost singular (θV≈0.1°) substrate, and, thus, substrates with large miscut angles are not required to grow twin-free films. In spite of this, transport properties are found to be optimized for films grown on vicinal substrates (θV⩾2°) . We claim that this is the result of the change of the growth mode and the resulting film morphology rather than the change of the domain structure. These findings drive the attention to the relevance of the growth mechanism at the initial stages of film growth, and we discuss its implications in other areas of oxide epitaxies. Moreover, we show that in clamped epitaxies on cubic substrates, in spite of isotropic biaxial substrate-induced strains, films may have an in-plane orthorhombic symmetry which results from the internal degree of freedom defined by rotations of the oxygen octahedrons.

  20. 14 CFR 29.1433 - Vacuum systems.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Vacuum systems. 29.1433 Section 29.1433... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Equipment Miscellaneous Equipment § 29.1433 Vacuum systems. (a.... (b) Each vacuum air system line and fitting on the discharge side of the pump that might contain...